libata: no need to speed down if already at PIO0
[deliverable/linux.git] / drivers / ata / libata-core.c
CommitLineData
1da177e4 1/*
af36d7f0
JG
2 * libata-core.c - helper library for ATA
3 *
4 * Maintained by: Jeff Garzik <jgarzik@pobox.com>
5 * Please ALWAYS copy linux-ide@vger.kernel.org
6 * on emails.
7 *
8 * Copyright 2003-2004 Red Hat, Inc. All rights reserved.
9 * Copyright 2003-2004 Jeff Garzik
10 *
11 *
12 * This program is free software; you can redistribute it and/or modify
13 * it under the terms of the GNU General Public License as published by
14 * the Free Software Foundation; either version 2, or (at your option)
15 * any later version.
16 *
17 * This program is distributed in the hope that it will be useful,
18 * but WITHOUT ANY WARRANTY; without even the implied warranty of
19 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
20 * GNU General Public License for more details.
21 *
22 * You should have received a copy of the GNU General Public License
23 * along with this program; see the file COPYING. If not, write to
24 * the Free Software Foundation, 675 Mass Ave, Cambridge, MA 02139, USA.
25 *
26 *
27 * libata documentation is available via 'make {ps|pdf}docs',
28 * as Documentation/DocBook/libata.*
29 *
30 * Hardware documentation available from http://www.t13.org/ and
31 * http://www.sata-io.org/
32 *
1da177e4
LT
33 */
34
1da177e4
LT
35#include <linux/kernel.h>
36#include <linux/module.h>
37#include <linux/pci.h>
38#include <linux/init.h>
39#include <linux/list.h>
40#include <linux/mm.h>
41#include <linux/highmem.h>
42#include <linux/spinlock.h>
43#include <linux/blkdev.h>
44#include <linux/delay.h>
45#include <linux/timer.h>
46#include <linux/interrupt.h>
47#include <linux/completion.h>
48#include <linux/suspend.h>
49#include <linux/workqueue.h>
67846b30 50#include <linux/jiffies.h>
378f058c 51#include <linux/scatterlist.h>
2dcb407e 52#include <linux/io.h>
1da177e4 53#include <scsi/scsi.h>
193515d5 54#include <scsi/scsi_cmnd.h>
1da177e4
LT
55#include <scsi/scsi_host.h>
56#include <linux/libata.h>
1da177e4
LT
57#include <asm/semaphore.h>
58#include <asm/byteorder.h>
59
60#include "libata.h"
61
fda0efc5 62
d7bb4cc7 63/* debounce timing parameters in msecs { interval, duration, timeout } */
e9c83914
TH
64const unsigned long sata_deb_timing_normal[] = { 5, 100, 2000 };
65const unsigned long sata_deb_timing_hotplug[] = { 25, 500, 2000 };
66const unsigned long sata_deb_timing_long[] = { 100, 2000, 5000 };
d7bb4cc7 67
3373efd8
TH
68static unsigned int ata_dev_init_params(struct ata_device *dev,
69 u16 heads, u16 sectors);
70static unsigned int ata_dev_set_xfermode(struct ata_device *dev);
218f3d30
JG
71static unsigned int ata_dev_set_feature(struct ata_device *dev,
72 u8 enable, u8 feature);
3373efd8 73static void ata_dev_xfermask(struct ata_device *dev);
75683fe7 74static unsigned long ata_dev_blacklisted(const struct ata_device *dev);
1da177e4 75
f3187195 76unsigned int ata_print_id = 1;
1da177e4
LT
77static struct workqueue_struct *ata_wq;
78
453b07ac
TH
79struct workqueue_struct *ata_aux_wq;
80
418dc1f5 81int atapi_enabled = 1;
1623c81e
JG
82module_param(atapi_enabled, int, 0444);
83MODULE_PARM_DESC(atapi_enabled, "Enable discovery of ATAPI devices (0=off, 1=on)");
84
95de719a
AL
85int atapi_dmadir = 0;
86module_param(atapi_dmadir, int, 0444);
87MODULE_PARM_DESC(atapi_dmadir, "Enable ATAPI DMADIR bridge support (0=off, 1=on)");
88
baf4fdfa
ML
89int atapi_passthru16 = 1;
90module_param(atapi_passthru16, int, 0444);
91MODULE_PARM_DESC(atapi_passthru16, "Enable ATA_16 passthru for ATAPI devices; on by default (0=off, 1=on)");
92
c3c013a2
JG
93int libata_fua = 0;
94module_param_named(fua, libata_fua, int, 0444);
95MODULE_PARM_DESC(fua, "FUA support (0=off, 1=on)");
96
2dcb407e 97static int ata_ignore_hpa;
1e999736
AC
98module_param_named(ignore_hpa, ata_ignore_hpa, int, 0644);
99MODULE_PARM_DESC(ignore_hpa, "Ignore HPA limit (0=keep BIOS limits, 1=ignore limits, using full disk)");
100
b3a70601
AC
101static int libata_dma_mask = ATA_DMA_MASK_ATA|ATA_DMA_MASK_ATAPI|ATA_DMA_MASK_CFA;
102module_param_named(dma, libata_dma_mask, int, 0444);
103MODULE_PARM_DESC(dma, "DMA enable/disable (0x1==ATA, 0x2==ATAPI, 0x4==CF)");
104
a8601e5f
AM
105static int ata_probe_timeout = ATA_TMOUT_INTERNAL / HZ;
106module_param(ata_probe_timeout, int, 0444);
107MODULE_PARM_DESC(ata_probe_timeout, "Set ATA probing timeout (seconds)");
108
6ebe9d86 109int libata_noacpi = 0;
d7d0dad6 110module_param_named(noacpi, libata_noacpi, int, 0444);
6ebe9d86 111MODULE_PARM_DESC(noacpi, "Disables the use of ACPI in probe/suspend/resume when set");
11ef697b 112
1da177e4
LT
113MODULE_AUTHOR("Jeff Garzik");
114MODULE_DESCRIPTION("Library module for ATA devices");
115MODULE_LICENSE("GPL");
116MODULE_VERSION(DRV_VERSION);
117
0baab86b 118
1da177e4
LT
119/**
120 * ata_tf_to_fis - Convert ATA taskfile to SATA FIS structure
121 * @tf: Taskfile to convert
1da177e4 122 * @pmp: Port multiplier port
9977126c
TH
123 * @is_cmd: This FIS is for command
124 * @fis: Buffer into which data will output
1da177e4
LT
125 *
126 * Converts a standard ATA taskfile to a Serial ATA
127 * FIS structure (Register - Host to Device).
128 *
129 * LOCKING:
130 * Inherited from caller.
131 */
9977126c 132void ata_tf_to_fis(const struct ata_taskfile *tf, u8 pmp, int is_cmd, u8 *fis)
1da177e4 133{
9977126c
TH
134 fis[0] = 0x27; /* Register - Host to Device FIS */
135 fis[1] = pmp & 0xf; /* Port multiplier number*/
136 if (is_cmd)
137 fis[1] |= (1 << 7); /* bit 7 indicates Command FIS */
138
1da177e4
LT
139 fis[2] = tf->command;
140 fis[3] = tf->feature;
141
142 fis[4] = tf->lbal;
143 fis[5] = tf->lbam;
144 fis[6] = tf->lbah;
145 fis[7] = tf->device;
146
147 fis[8] = tf->hob_lbal;
148 fis[9] = tf->hob_lbam;
149 fis[10] = tf->hob_lbah;
150 fis[11] = tf->hob_feature;
151
152 fis[12] = tf->nsect;
153 fis[13] = tf->hob_nsect;
154 fis[14] = 0;
155 fis[15] = tf->ctl;
156
157 fis[16] = 0;
158 fis[17] = 0;
159 fis[18] = 0;
160 fis[19] = 0;
161}
162
163/**
164 * ata_tf_from_fis - Convert SATA FIS to ATA taskfile
165 * @fis: Buffer from which data will be input
166 * @tf: Taskfile to output
167 *
e12a1be6 168 * Converts a serial ATA FIS structure to a standard ATA taskfile.
1da177e4
LT
169 *
170 * LOCKING:
171 * Inherited from caller.
172 */
173
057ace5e 174void ata_tf_from_fis(const u8 *fis, struct ata_taskfile *tf)
1da177e4
LT
175{
176 tf->command = fis[2]; /* status */
177 tf->feature = fis[3]; /* error */
178
179 tf->lbal = fis[4];
180 tf->lbam = fis[5];
181 tf->lbah = fis[6];
182 tf->device = fis[7];
183
184 tf->hob_lbal = fis[8];
185 tf->hob_lbam = fis[9];
186 tf->hob_lbah = fis[10];
187
188 tf->nsect = fis[12];
189 tf->hob_nsect = fis[13];
190}
191
8cbd6df1
AL
192static const u8 ata_rw_cmds[] = {
193 /* pio multi */
194 ATA_CMD_READ_MULTI,
195 ATA_CMD_WRITE_MULTI,
196 ATA_CMD_READ_MULTI_EXT,
197 ATA_CMD_WRITE_MULTI_EXT,
9a3dccc4
TH
198 0,
199 0,
200 0,
201 ATA_CMD_WRITE_MULTI_FUA_EXT,
8cbd6df1
AL
202 /* pio */
203 ATA_CMD_PIO_READ,
204 ATA_CMD_PIO_WRITE,
205 ATA_CMD_PIO_READ_EXT,
206 ATA_CMD_PIO_WRITE_EXT,
9a3dccc4
TH
207 0,
208 0,
209 0,
210 0,
8cbd6df1
AL
211 /* dma */
212 ATA_CMD_READ,
213 ATA_CMD_WRITE,
214 ATA_CMD_READ_EXT,
9a3dccc4
TH
215 ATA_CMD_WRITE_EXT,
216 0,
217 0,
218 0,
219 ATA_CMD_WRITE_FUA_EXT
8cbd6df1 220};
1da177e4
LT
221
222/**
8cbd6df1 223 * ata_rwcmd_protocol - set taskfile r/w commands and protocol
bd056d7e
TH
224 * @tf: command to examine and configure
225 * @dev: device tf belongs to
1da177e4 226 *
2e9edbf8 227 * Examine the device configuration and tf->flags to calculate
8cbd6df1 228 * the proper read/write commands and protocol to use.
1da177e4
LT
229 *
230 * LOCKING:
231 * caller.
232 */
bd056d7e 233static int ata_rwcmd_protocol(struct ata_taskfile *tf, struct ata_device *dev)
1da177e4 234{
9a3dccc4 235 u8 cmd;
1da177e4 236
9a3dccc4 237 int index, fua, lba48, write;
2e9edbf8 238
9a3dccc4 239 fua = (tf->flags & ATA_TFLAG_FUA) ? 4 : 0;
8cbd6df1
AL
240 lba48 = (tf->flags & ATA_TFLAG_LBA48) ? 2 : 0;
241 write = (tf->flags & ATA_TFLAG_WRITE) ? 1 : 0;
1da177e4 242
8cbd6df1
AL
243 if (dev->flags & ATA_DFLAG_PIO) {
244 tf->protocol = ATA_PROT_PIO;
9a3dccc4 245 index = dev->multi_count ? 0 : 8;
9af5c9c9 246 } else if (lba48 && (dev->link->ap->flags & ATA_FLAG_PIO_LBA48)) {
8d238e01
AC
247 /* Unable to use DMA due to host limitation */
248 tf->protocol = ATA_PROT_PIO;
0565c26d 249 index = dev->multi_count ? 0 : 8;
8cbd6df1
AL
250 } else {
251 tf->protocol = ATA_PROT_DMA;
9a3dccc4 252 index = 16;
8cbd6df1 253 }
1da177e4 254
9a3dccc4
TH
255 cmd = ata_rw_cmds[index + fua + lba48 + write];
256 if (cmd) {
257 tf->command = cmd;
258 return 0;
259 }
260 return -1;
1da177e4
LT
261}
262
35b649fe
TH
263/**
264 * ata_tf_read_block - Read block address from ATA taskfile
265 * @tf: ATA taskfile of interest
266 * @dev: ATA device @tf belongs to
267 *
268 * LOCKING:
269 * None.
270 *
271 * Read block address from @tf. This function can handle all
272 * three address formats - LBA, LBA48 and CHS. tf->protocol and
273 * flags select the address format to use.
274 *
275 * RETURNS:
276 * Block address read from @tf.
277 */
278u64 ata_tf_read_block(struct ata_taskfile *tf, struct ata_device *dev)
279{
280 u64 block = 0;
281
282 if (tf->flags & ATA_TFLAG_LBA) {
283 if (tf->flags & ATA_TFLAG_LBA48) {
284 block |= (u64)tf->hob_lbah << 40;
285 block |= (u64)tf->hob_lbam << 32;
286 block |= tf->hob_lbal << 24;
287 } else
288 block |= (tf->device & 0xf) << 24;
289
290 block |= tf->lbah << 16;
291 block |= tf->lbam << 8;
292 block |= tf->lbal;
293 } else {
294 u32 cyl, head, sect;
295
296 cyl = tf->lbam | (tf->lbah << 8);
297 head = tf->device & 0xf;
298 sect = tf->lbal;
299
300 block = (cyl * dev->heads + head) * dev->sectors + sect;
301 }
302
303 return block;
304}
305
bd056d7e
TH
306/**
307 * ata_build_rw_tf - Build ATA taskfile for given read/write request
308 * @tf: Target ATA taskfile
309 * @dev: ATA device @tf belongs to
310 * @block: Block address
311 * @n_block: Number of blocks
312 * @tf_flags: RW/FUA etc...
313 * @tag: tag
314 *
315 * LOCKING:
316 * None.
317 *
318 * Build ATA taskfile @tf for read/write request described by
319 * @block, @n_block, @tf_flags and @tag on @dev.
320 *
321 * RETURNS:
322 *
323 * 0 on success, -ERANGE if the request is too large for @dev,
324 * -EINVAL if the request is invalid.
325 */
326int ata_build_rw_tf(struct ata_taskfile *tf, struct ata_device *dev,
327 u64 block, u32 n_block, unsigned int tf_flags,
328 unsigned int tag)
329{
330 tf->flags |= ATA_TFLAG_ISADDR | ATA_TFLAG_DEVICE;
331 tf->flags |= tf_flags;
332
6d1245bf 333 if (ata_ncq_enabled(dev) && likely(tag != ATA_TAG_INTERNAL)) {
bd056d7e
TH
334 /* yay, NCQ */
335 if (!lba_48_ok(block, n_block))
336 return -ERANGE;
337
338 tf->protocol = ATA_PROT_NCQ;
339 tf->flags |= ATA_TFLAG_LBA | ATA_TFLAG_LBA48;
340
341 if (tf->flags & ATA_TFLAG_WRITE)
342 tf->command = ATA_CMD_FPDMA_WRITE;
343 else
344 tf->command = ATA_CMD_FPDMA_READ;
345
346 tf->nsect = tag << 3;
347 tf->hob_feature = (n_block >> 8) & 0xff;
348 tf->feature = n_block & 0xff;
349
350 tf->hob_lbah = (block >> 40) & 0xff;
351 tf->hob_lbam = (block >> 32) & 0xff;
352 tf->hob_lbal = (block >> 24) & 0xff;
353 tf->lbah = (block >> 16) & 0xff;
354 tf->lbam = (block >> 8) & 0xff;
355 tf->lbal = block & 0xff;
356
357 tf->device = 1 << 6;
358 if (tf->flags & ATA_TFLAG_FUA)
359 tf->device |= 1 << 7;
360 } else if (dev->flags & ATA_DFLAG_LBA) {
361 tf->flags |= ATA_TFLAG_LBA;
362
363 if (lba_28_ok(block, n_block)) {
364 /* use LBA28 */
365 tf->device |= (block >> 24) & 0xf;
366 } else if (lba_48_ok(block, n_block)) {
367 if (!(dev->flags & ATA_DFLAG_LBA48))
368 return -ERANGE;
369
370 /* use LBA48 */
371 tf->flags |= ATA_TFLAG_LBA48;
372
373 tf->hob_nsect = (n_block >> 8) & 0xff;
374
375 tf->hob_lbah = (block >> 40) & 0xff;
376 tf->hob_lbam = (block >> 32) & 0xff;
377 tf->hob_lbal = (block >> 24) & 0xff;
378 } else
379 /* request too large even for LBA48 */
380 return -ERANGE;
381
382 if (unlikely(ata_rwcmd_protocol(tf, dev) < 0))
383 return -EINVAL;
384
385 tf->nsect = n_block & 0xff;
386
387 tf->lbah = (block >> 16) & 0xff;
388 tf->lbam = (block >> 8) & 0xff;
389 tf->lbal = block & 0xff;
390
391 tf->device |= ATA_LBA;
392 } else {
393 /* CHS */
394 u32 sect, head, cyl, track;
395
396 /* The request -may- be too large for CHS addressing. */
397 if (!lba_28_ok(block, n_block))
398 return -ERANGE;
399
400 if (unlikely(ata_rwcmd_protocol(tf, dev) < 0))
401 return -EINVAL;
402
403 /* Convert LBA to CHS */
404 track = (u32)block / dev->sectors;
405 cyl = track / dev->heads;
406 head = track % dev->heads;
407 sect = (u32)block % dev->sectors + 1;
408
409 DPRINTK("block %u track %u cyl %u head %u sect %u\n",
410 (u32)block, track, cyl, head, sect);
411
412 /* Check whether the converted CHS can fit.
413 Cylinder: 0-65535
414 Head: 0-15
415 Sector: 1-255*/
416 if ((cyl >> 16) || (head >> 4) || (sect >> 8) || (!sect))
417 return -ERANGE;
418
419 tf->nsect = n_block & 0xff; /* Sector count 0 means 256 sectors */
420 tf->lbal = sect;
421 tf->lbam = cyl;
422 tf->lbah = cyl >> 8;
423 tf->device |= head;
424 }
425
426 return 0;
427}
428
cb95d562
TH
429/**
430 * ata_pack_xfermask - Pack pio, mwdma and udma masks into xfer_mask
431 * @pio_mask: pio_mask
432 * @mwdma_mask: mwdma_mask
433 * @udma_mask: udma_mask
434 *
435 * Pack @pio_mask, @mwdma_mask and @udma_mask into a single
436 * unsigned int xfer_mask.
437 *
438 * LOCKING:
439 * None.
440 *
441 * RETURNS:
442 * Packed xfer_mask.
443 */
444static unsigned int ata_pack_xfermask(unsigned int pio_mask,
445 unsigned int mwdma_mask,
446 unsigned int udma_mask)
447{
448 return ((pio_mask << ATA_SHIFT_PIO) & ATA_MASK_PIO) |
449 ((mwdma_mask << ATA_SHIFT_MWDMA) & ATA_MASK_MWDMA) |
450 ((udma_mask << ATA_SHIFT_UDMA) & ATA_MASK_UDMA);
451}
452
c0489e4e
TH
453/**
454 * ata_unpack_xfermask - Unpack xfer_mask into pio, mwdma and udma masks
455 * @xfer_mask: xfer_mask to unpack
456 * @pio_mask: resulting pio_mask
457 * @mwdma_mask: resulting mwdma_mask
458 * @udma_mask: resulting udma_mask
459 *
460 * Unpack @xfer_mask into @pio_mask, @mwdma_mask and @udma_mask.
461 * Any NULL distination masks will be ignored.
462 */
463static void ata_unpack_xfermask(unsigned int xfer_mask,
464 unsigned int *pio_mask,
465 unsigned int *mwdma_mask,
466 unsigned int *udma_mask)
467{
468 if (pio_mask)
469 *pio_mask = (xfer_mask & ATA_MASK_PIO) >> ATA_SHIFT_PIO;
470 if (mwdma_mask)
471 *mwdma_mask = (xfer_mask & ATA_MASK_MWDMA) >> ATA_SHIFT_MWDMA;
472 if (udma_mask)
473 *udma_mask = (xfer_mask & ATA_MASK_UDMA) >> ATA_SHIFT_UDMA;
474}
475
cb95d562 476static const struct ata_xfer_ent {
be9a50c8 477 int shift, bits;
cb95d562
TH
478 u8 base;
479} ata_xfer_tbl[] = {
480 { ATA_SHIFT_PIO, ATA_BITS_PIO, XFER_PIO_0 },
481 { ATA_SHIFT_MWDMA, ATA_BITS_MWDMA, XFER_MW_DMA_0 },
482 { ATA_SHIFT_UDMA, ATA_BITS_UDMA, XFER_UDMA_0 },
483 { -1, },
484};
485
486/**
487 * ata_xfer_mask2mode - Find matching XFER_* for the given xfer_mask
488 * @xfer_mask: xfer_mask of interest
489 *
490 * Return matching XFER_* value for @xfer_mask. Only the highest
491 * bit of @xfer_mask is considered.
492 *
493 * LOCKING:
494 * None.
495 *
496 * RETURNS:
497 * Matching XFER_* value, 0 if no match found.
498 */
499static u8 ata_xfer_mask2mode(unsigned int xfer_mask)
500{
501 int highbit = fls(xfer_mask) - 1;
502 const struct ata_xfer_ent *ent;
503
504 for (ent = ata_xfer_tbl; ent->shift >= 0; ent++)
505 if (highbit >= ent->shift && highbit < ent->shift + ent->bits)
506 return ent->base + highbit - ent->shift;
507 return 0;
508}
509
510/**
511 * ata_xfer_mode2mask - Find matching xfer_mask for XFER_*
512 * @xfer_mode: XFER_* of interest
513 *
514 * Return matching xfer_mask for @xfer_mode.
515 *
516 * LOCKING:
517 * None.
518 *
519 * RETURNS:
520 * Matching xfer_mask, 0 if no match found.
521 */
522static unsigned int ata_xfer_mode2mask(u8 xfer_mode)
523{
524 const struct ata_xfer_ent *ent;
525
526 for (ent = ata_xfer_tbl; ent->shift >= 0; ent++)
527 if (xfer_mode >= ent->base && xfer_mode < ent->base + ent->bits)
528 return 1 << (ent->shift + xfer_mode - ent->base);
529 return 0;
530}
531
532/**
533 * ata_xfer_mode2shift - Find matching xfer_shift for XFER_*
534 * @xfer_mode: XFER_* of interest
535 *
536 * Return matching xfer_shift for @xfer_mode.
537 *
538 * LOCKING:
539 * None.
540 *
541 * RETURNS:
542 * Matching xfer_shift, -1 if no match found.
543 */
544static int ata_xfer_mode2shift(unsigned int xfer_mode)
545{
546 const struct ata_xfer_ent *ent;
547
548 for (ent = ata_xfer_tbl; ent->shift >= 0; ent++)
549 if (xfer_mode >= ent->base && xfer_mode < ent->base + ent->bits)
550 return ent->shift;
551 return -1;
552}
553
1da177e4 554/**
1da7b0d0
TH
555 * ata_mode_string - convert xfer_mask to string
556 * @xfer_mask: mask of bits supported; only highest bit counts.
1da177e4
LT
557 *
558 * Determine string which represents the highest speed
1da7b0d0 559 * (highest bit in @modemask).
1da177e4
LT
560 *
561 * LOCKING:
562 * None.
563 *
564 * RETURNS:
565 * Constant C string representing highest speed listed in
1da7b0d0 566 * @mode_mask, or the constant C string "<n/a>".
1da177e4 567 */
1da7b0d0 568static const char *ata_mode_string(unsigned int xfer_mask)
1da177e4 569{
75f554bc
TH
570 static const char * const xfer_mode_str[] = {
571 "PIO0",
572 "PIO1",
573 "PIO2",
574 "PIO3",
575 "PIO4",
b352e57d
AC
576 "PIO5",
577 "PIO6",
75f554bc
TH
578 "MWDMA0",
579 "MWDMA1",
580 "MWDMA2",
b352e57d
AC
581 "MWDMA3",
582 "MWDMA4",
75f554bc
TH
583 "UDMA/16",
584 "UDMA/25",
585 "UDMA/33",
586 "UDMA/44",
587 "UDMA/66",
588 "UDMA/100",
589 "UDMA/133",
590 "UDMA7",
591 };
1da7b0d0 592 int highbit;
1da177e4 593
1da7b0d0
TH
594 highbit = fls(xfer_mask) - 1;
595 if (highbit >= 0 && highbit < ARRAY_SIZE(xfer_mode_str))
596 return xfer_mode_str[highbit];
1da177e4 597 return "<n/a>";
1da177e4
LT
598}
599
4c360c81
TH
600static const char *sata_spd_string(unsigned int spd)
601{
602 static const char * const spd_str[] = {
603 "1.5 Gbps",
604 "3.0 Gbps",
605 };
606
607 if (spd == 0 || (spd - 1) >= ARRAY_SIZE(spd_str))
608 return "<unknown>";
609 return spd_str[spd - 1];
610}
611
3373efd8 612void ata_dev_disable(struct ata_device *dev)
0b8efb0a 613{
09d7f9b0 614 if (ata_dev_enabled(dev)) {
9af5c9c9 615 if (ata_msg_drv(dev->link->ap))
09d7f9b0 616 ata_dev_printk(dev, KERN_WARNING, "disabled\n");
4ae72a1e
TH
617 ata_down_xfermask_limit(dev, ATA_DNXFER_FORCE_PIO0 |
618 ATA_DNXFER_QUIET);
0b8efb0a
TH
619 dev->class++;
620 }
621}
622
1da177e4 623/**
0d5ff566 624 * ata_devchk - PATA device presence detection
1da177e4
LT
625 * @ap: ATA channel to examine
626 * @device: Device to examine (starting at zero)
627 *
628 * This technique was originally described in
629 * Hale Landis's ATADRVR (www.ata-atapi.com), and
630 * later found its way into the ATA/ATAPI spec.
631 *
632 * Write a pattern to the ATA shadow registers,
633 * and if a device is present, it will respond by
634 * correctly storing and echoing back the
635 * ATA shadow register contents.
636 *
637 * LOCKING:
638 * caller.
639 */
640
0d5ff566 641static unsigned int ata_devchk(struct ata_port *ap, unsigned int device)
1da177e4
LT
642{
643 struct ata_ioports *ioaddr = &ap->ioaddr;
644 u8 nsect, lbal;
645
646 ap->ops->dev_select(ap, device);
647
0d5ff566
TH
648 iowrite8(0x55, ioaddr->nsect_addr);
649 iowrite8(0xaa, ioaddr->lbal_addr);
1da177e4 650
0d5ff566
TH
651 iowrite8(0xaa, ioaddr->nsect_addr);
652 iowrite8(0x55, ioaddr->lbal_addr);
1da177e4 653
0d5ff566
TH
654 iowrite8(0x55, ioaddr->nsect_addr);
655 iowrite8(0xaa, ioaddr->lbal_addr);
1da177e4 656
0d5ff566
TH
657 nsect = ioread8(ioaddr->nsect_addr);
658 lbal = ioread8(ioaddr->lbal_addr);
1da177e4
LT
659
660 if ((nsect == 0x55) && (lbal == 0xaa))
661 return 1; /* we found a device */
662
663 return 0; /* nothing found */
664}
665
1da177e4
LT
666/**
667 * ata_dev_classify - determine device type based on ATA-spec signature
668 * @tf: ATA taskfile register set for device to be identified
669 *
670 * Determine from taskfile register contents whether a device is
671 * ATA or ATAPI, as per "Signature and persistence" section
672 * of ATA/PI spec (volume 1, sect 5.14).
673 *
674 * LOCKING:
675 * None.
676 *
677 * RETURNS:
633273a3
TH
678 * Device type, %ATA_DEV_ATA, %ATA_DEV_ATAPI, %ATA_DEV_PMP or
679 * %ATA_DEV_UNKNOWN the event of failure.
1da177e4 680 */
057ace5e 681unsigned int ata_dev_classify(const struct ata_taskfile *tf)
1da177e4
LT
682{
683 /* Apple's open source Darwin code hints that some devices only
684 * put a proper signature into the LBA mid/high registers,
685 * So, we only check those. It's sufficient for uniqueness.
633273a3
TH
686 *
687 * ATA/ATAPI-7 (d1532v1r1: Feb. 19, 2003) specified separate
688 * signatures for ATA and ATAPI devices attached on SerialATA,
689 * 0x3c/0xc3 and 0x69/0x96 respectively. However, SerialATA
690 * spec has never mentioned about using different signatures
691 * for ATA/ATAPI devices. Then, Serial ATA II: Port
692 * Multiplier specification began to use 0x69/0x96 to identify
693 * port multpliers and 0x3c/0xc3 to identify SEMB device.
694 * ATA/ATAPI-7 dropped descriptions about 0x3c/0xc3 and
695 * 0x69/0x96 shortly and described them as reserved for
696 * SerialATA.
697 *
698 * We follow the current spec and consider that 0x69/0x96
699 * identifies a port multiplier and 0x3c/0xc3 a SEMB device.
1da177e4 700 */
633273a3 701 if ((tf->lbam == 0) && (tf->lbah == 0)) {
1da177e4
LT
702 DPRINTK("found ATA device by sig\n");
703 return ATA_DEV_ATA;
704 }
705
633273a3 706 if ((tf->lbam == 0x14) && (tf->lbah == 0xeb)) {
1da177e4
LT
707 DPRINTK("found ATAPI device by sig\n");
708 return ATA_DEV_ATAPI;
709 }
710
633273a3
TH
711 if ((tf->lbam == 0x69) && (tf->lbah == 0x96)) {
712 DPRINTK("found PMP device by sig\n");
713 return ATA_DEV_PMP;
714 }
715
716 if ((tf->lbam == 0x3c) && (tf->lbah == 0xc3)) {
2dcb407e 717 printk(KERN_INFO "ata: SEMB device ignored\n");
633273a3
TH
718 return ATA_DEV_SEMB_UNSUP; /* not yet */
719 }
720
1da177e4
LT
721 DPRINTK("unknown device\n");
722 return ATA_DEV_UNKNOWN;
723}
724
725/**
726 * ata_dev_try_classify - Parse returned ATA device signature
3f19859e
TH
727 * @dev: ATA device to classify (starting at zero)
728 * @present: device seems present
b4dc7623 729 * @r_err: Value of error register on completion
1da177e4
LT
730 *
731 * After an event -- SRST, E.D.D., or SATA COMRESET -- occurs,
732 * an ATA/ATAPI-defined set of values is placed in the ATA
733 * shadow registers, indicating the results of device detection
734 * and diagnostics.
735 *
736 * Select the ATA device, and read the values from the ATA shadow
737 * registers. Then parse according to the Error register value,
738 * and the spec-defined values examined by ata_dev_classify().
739 *
740 * LOCKING:
741 * caller.
b4dc7623
TH
742 *
743 * RETURNS:
744 * Device type - %ATA_DEV_ATA, %ATA_DEV_ATAPI or %ATA_DEV_NONE.
1da177e4 745 */
3f19859e
TH
746unsigned int ata_dev_try_classify(struct ata_device *dev, int present,
747 u8 *r_err)
1da177e4 748{
3f19859e 749 struct ata_port *ap = dev->link->ap;
1da177e4
LT
750 struct ata_taskfile tf;
751 unsigned int class;
752 u8 err;
753
3f19859e 754 ap->ops->dev_select(ap, dev->devno);
1da177e4
LT
755
756 memset(&tf, 0, sizeof(tf));
757
1da177e4 758 ap->ops->tf_read(ap, &tf);
0169e284 759 err = tf.feature;
b4dc7623
TH
760 if (r_err)
761 *r_err = err;
1da177e4 762
93590859 763 /* see if device passed diags: if master then continue and warn later */
3f19859e 764 if (err == 0 && dev->devno == 0)
93590859 765 /* diagnostic fail : do nothing _YET_ */
3f19859e 766 dev->horkage |= ATA_HORKAGE_DIAGNOSTIC;
93590859 767 else if (err == 1)
1da177e4 768 /* do nothing */ ;
3f19859e 769 else if ((dev->devno == 0) && (err == 0x81))
1da177e4
LT
770 /* do nothing */ ;
771 else
b4dc7623 772 return ATA_DEV_NONE;
1da177e4 773
b4dc7623 774 /* determine if device is ATA or ATAPI */
1da177e4 775 class = ata_dev_classify(&tf);
b4dc7623 776
d7fbee05
TH
777 if (class == ATA_DEV_UNKNOWN) {
778 /* If the device failed diagnostic, it's likely to
779 * have reported incorrect device signature too.
780 * Assume ATA device if the device seems present but
781 * device signature is invalid with diagnostic
782 * failure.
783 */
784 if (present && (dev->horkage & ATA_HORKAGE_DIAGNOSTIC))
785 class = ATA_DEV_ATA;
786 else
787 class = ATA_DEV_NONE;
788 } else if ((class == ATA_DEV_ATA) && (ata_chk_status(ap) == 0))
789 class = ATA_DEV_NONE;
790
b4dc7623 791 return class;
1da177e4
LT
792}
793
794/**
6a62a04d 795 * ata_id_string - Convert IDENTIFY DEVICE page into string
1da177e4
LT
796 * @id: IDENTIFY DEVICE results we will examine
797 * @s: string into which data is output
798 * @ofs: offset into identify device page
799 * @len: length of string to return. must be an even number.
800 *
801 * The strings in the IDENTIFY DEVICE page are broken up into
802 * 16-bit chunks. Run through the string, and output each
803 * 8-bit chunk linearly, regardless of platform.
804 *
805 * LOCKING:
806 * caller.
807 */
808
6a62a04d
TH
809void ata_id_string(const u16 *id, unsigned char *s,
810 unsigned int ofs, unsigned int len)
1da177e4
LT
811{
812 unsigned int c;
813
814 while (len > 0) {
815 c = id[ofs] >> 8;
816 *s = c;
817 s++;
818
819 c = id[ofs] & 0xff;
820 *s = c;
821 s++;
822
823 ofs++;
824 len -= 2;
825 }
826}
827
0e949ff3 828/**
6a62a04d 829 * ata_id_c_string - Convert IDENTIFY DEVICE page into C string
0e949ff3
TH
830 * @id: IDENTIFY DEVICE results we will examine
831 * @s: string into which data is output
832 * @ofs: offset into identify device page
833 * @len: length of string to return. must be an odd number.
834 *
6a62a04d 835 * This function is identical to ata_id_string except that it
0e949ff3
TH
836 * trims trailing spaces and terminates the resulting string with
837 * null. @len must be actual maximum length (even number) + 1.
838 *
839 * LOCKING:
840 * caller.
841 */
6a62a04d
TH
842void ata_id_c_string(const u16 *id, unsigned char *s,
843 unsigned int ofs, unsigned int len)
0e949ff3
TH
844{
845 unsigned char *p;
846
847 WARN_ON(!(len & 1));
848
6a62a04d 849 ata_id_string(id, s, ofs, len - 1);
0e949ff3
TH
850
851 p = s + strnlen(s, len - 1);
852 while (p > s && p[-1] == ' ')
853 p--;
854 *p = '\0';
855}
0baab86b 856
db6f8759
TH
857static u64 ata_id_n_sectors(const u16 *id)
858{
859 if (ata_id_has_lba(id)) {
860 if (ata_id_has_lba48(id))
861 return ata_id_u64(id, 100);
862 else
863 return ata_id_u32(id, 60);
864 } else {
865 if (ata_id_current_chs_valid(id))
866 return ata_id_u32(id, 57);
867 else
868 return id[1] * id[3] * id[6];
869 }
870}
871
1e999736
AC
872static u64 ata_tf_to_lba48(struct ata_taskfile *tf)
873{
874 u64 sectors = 0;
875
876 sectors |= ((u64)(tf->hob_lbah & 0xff)) << 40;
877 sectors |= ((u64)(tf->hob_lbam & 0xff)) << 32;
878 sectors |= (tf->hob_lbal & 0xff) << 24;
879 sectors |= (tf->lbah & 0xff) << 16;
880 sectors |= (tf->lbam & 0xff) << 8;
881 sectors |= (tf->lbal & 0xff);
882
883 return ++sectors;
884}
885
886static u64 ata_tf_to_lba(struct ata_taskfile *tf)
887{
888 u64 sectors = 0;
889
890 sectors |= (tf->device & 0x0f) << 24;
891 sectors |= (tf->lbah & 0xff) << 16;
892 sectors |= (tf->lbam & 0xff) << 8;
893 sectors |= (tf->lbal & 0xff);
894
895 return ++sectors;
896}
897
898/**
c728a914
TH
899 * ata_read_native_max_address - Read native max address
900 * @dev: target device
901 * @max_sectors: out parameter for the result native max address
1e999736 902 *
c728a914
TH
903 * Perform an LBA48 or LBA28 native size query upon the device in
904 * question.
1e999736 905 *
c728a914
TH
906 * RETURNS:
907 * 0 on success, -EACCES if command is aborted by the drive.
908 * -EIO on other errors.
1e999736 909 */
c728a914 910static int ata_read_native_max_address(struct ata_device *dev, u64 *max_sectors)
1e999736 911{
c728a914 912 unsigned int err_mask;
1e999736 913 struct ata_taskfile tf;
c728a914 914 int lba48 = ata_id_has_lba48(dev->id);
1e999736
AC
915
916 ata_tf_init(dev, &tf);
917
c728a914 918 /* always clear all address registers */
1e999736 919 tf.flags |= ATA_TFLAG_DEVICE | ATA_TFLAG_ISADDR;
1e999736 920
c728a914
TH
921 if (lba48) {
922 tf.command = ATA_CMD_READ_NATIVE_MAX_EXT;
923 tf.flags |= ATA_TFLAG_LBA48;
924 } else
925 tf.command = ATA_CMD_READ_NATIVE_MAX;
1e999736 926
1e999736 927 tf.protocol |= ATA_PROT_NODATA;
c728a914
TH
928 tf.device |= ATA_LBA;
929
2b789108 930 err_mask = ata_exec_internal(dev, &tf, NULL, DMA_NONE, NULL, 0, 0);
c728a914
TH
931 if (err_mask) {
932 ata_dev_printk(dev, KERN_WARNING, "failed to read native "
933 "max address (err_mask=0x%x)\n", err_mask);
934 if (err_mask == AC_ERR_DEV && (tf.feature & ATA_ABORTED))
935 return -EACCES;
936 return -EIO;
937 }
1e999736 938
c728a914
TH
939 if (lba48)
940 *max_sectors = ata_tf_to_lba48(&tf);
941 else
942 *max_sectors = ata_tf_to_lba(&tf);
2dcb407e 943 if (dev->horkage & ATA_HORKAGE_HPA_SIZE)
93328e11 944 (*max_sectors)--;
c728a914 945 return 0;
1e999736
AC
946}
947
948/**
c728a914
TH
949 * ata_set_max_sectors - Set max sectors
950 * @dev: target device
6b38d1d1 951 * @new_sectors: new max sectors value to set for the device
1e999736 952 *
c728a914
TH
953 * Set max sectors of @dev to @new_sectors.
954 *
955 * RETURNS:
956 * 0 on success, -EACCES if command is aborted or denied (due to
957 * previous non-volatile SET_MAX) by the drive. -EIO on other
958 * errors.
1e999736 959 */
05027adc 960static int ata_set_max_sectors(struct ata_device *dev, u64 new_sectors)
1e999736 961{
c728a914 962 unsigned int err_mask;
1e999736 963 struct ata_taskfile tf;
c728a914 964 int lba48 = ata_id_has_lba48(dev->id);
1e999736
AC
965
966 new_sectors--;
967
968 ata_tf_init(dev, &tf);
969
1e999736 970 tf.flags |= ATA_TFLAG_DEVICE | ATA_TFLAG_ISADDR;
c728a914
TH
971
972 if (lba48) {
973 tf.command = ATA_CMD_SET_MAX_EXT;
974 tf.flags |= ATA_TFLAG_LBA48;
975
976 tf.hob_lbal = (new_sectors >> 24) & 0xff;
977 tf.hob_lbam = (new_sectors >> 32) & 0xff;
978 tf.hob_lbah = (new_sectors >> 40) & 0xff;
1e582ba4 979 } else {
c728a914
TH
980 tf.command = ATA_CMD_SET_MAX;
981
1e582ba4
TH
982 tf.device |= (new_sectors >> 24) & 0xf;
983 }
984
1e999736 985 tf.protocol |= ATA_PROT_NODATA;
c728a914 986 tf.device |= ATA_LBA;
1e999736
AC
987
988 tf.lbal = (new_sectors >> 0) & 0xff;
989 tf.lbam = (new_sectors >> 8) & 0xff;
990 tf.lbah = (new_sectors >> 16) & 0xff;
1e999736 991
2b789108 992 err_mask = ata_exec_internal(dev, &tf, NULL, DMA_NONE, NULL, 0, 0);
c728a914
TH
993 if (err_mask) {
994 ata_dev_printk(dev, KERN_WARNING, "failed to set "
995 "max address (err_mask=0x%x)\n", err_mask);
996 if (err_mask == AC_ERR_DEV &&
997 (tf.feature & (ATA_ABORTED | ATA_IDNF)))
998 return -EACCES;
999 return -EIO;
1000 }
1001
c728a914 1002 return 0;
1e999736
AC
1003}
1004
1005/**
1006 * ata_hpa_resize - Resize a device with an HPA set
1007 * @dev: Device to resize
1008 *
1009 * Read the size of an LBA28 or LBA48 disk with HPA features and resize
1010 * it if required to the full size of the media. The caller must check
1011 * the drive has the HPA feature set enabled.
05027adc
TH
1012 *
1013 * RETURNS:
1014 * 0 on success, -errno on failure.
1e999736 1015 */
05027adc 1016static int ata_hpa_resize(struct ata_device *dev)
1e999736 1017{
05027adc
TH
1018 struct ata_eh_context *ehc = &dev->link->eh_context;
1019 int print_info = ehc->i.flags & ATA_EHI_PRINTINFO;
1020 u64 sectors = ata_id_n_sectors(dev->id);
1021 u64 native_sectors;
c728a914 1022 int rc;
a617c09f 1023
05027adc
TH
1024 /* do we need to do it? */
1025 if (dev->class != ATA_DEV_ATA ||
1026 !ata_id_has_lba(dev->id) || !ata_id_hpa_enabled(dev->id) ||
1027 (dev->horkage & ATA_HORKAGE_BROKEN_HPA))
c728a914 1028 return 0;
1e999736 1029
05027adc
TH
1030 /* read native max address */
1031 rc = ata_read_native_max_address(dev, &native_sectors);
1032 if (rc) {
1033 /* If HPA isn't going to be unlocked, skip HPA
1034 * resizing from the next try.
1035 */
1036 if (!ata_ignore_hpa) {
1037 ata_dev_printk(dev, KERN_WARNING, "HPA support seems "
1038 "broken, will skip HPA handling\n");
1039 dev->horkage |= ATA_HORKAGE_BROKEN_HPA;
1040
1041 /* we can continue if device aborted the command */
1042 if (rc == -EACCES)
1043 rc = 0;
1e999736 1044 }
37301a55 1045
05027adc
TH
1046 return rc;
1047 }
1048
1049 /* nothing to do? */
1050 if (native_sectors <= sectors || !ata_ignore_hpa) {
1051 if (!print_info || native_sectors == sectors)
1052 return 0;
1053
1054 if (native_sectors > sectors)
1055 ata_dev_printk(dev, KERN_INFO,
1056 "HPA detected: current %llu, native %llu\n",
1057 (unsigned long long)sectors,
1058 (unsigned long long)native_sectors);
1059 else if (native_sectors < sectors)
1060 ata_dev_printk(dev, KERN_WARNING,
1061 "native sectors (%llu) is smaller than "
1062 "sectors (%llu)\n",
1063 (unsigned long long)native_sectors,
1064 (unsigned long long)sectors);
1065 return 0;
1066 }
1067
1068 /* let's unlock HPA */
1069 rc = ata_set_max_sectors(dev, native_sectors);
1070 if (rc == -EACCES) {
1071 /* if device aborted the command, skip HPA resizing */
1072 ata_dev_printk(dev, KERN_WARNING, "device aborted resize "
1073 "(%llu -> %llu), skipping HPA handling\n",
1074 (unsigned long long)sectors,
1075 (unsigned long long)native_sectors);
1076 dev->horkage |= ATA_HORKAGE_BROKEN_HPA;
1077 return 0;
1078 } else if (rc)
1079 return rc;
1080
1081 /* re-read IDENTIFY data */
1082 rc = ata_dev_reread_id(dev, 0);
1083 if (rc) {
1084 ata_dev_printk(dev, KERN_ERR, "failed to re-read IDENTIFY "
1085 "data after HPA resizing\n");
1086 return rc;
1087 }
1088
1089 if (print_info) {
1090 u64 new_sectors = ata_id_n_sectors(dev->id);
1091 ata_dev_printk(dev, KERN_INFO,
1092 "HPA unlocked: %llu -> %llu, native %llu\n",
1093 (unsigned long long)sectors,
1094 (unsigned long long)new_sectors,
1095 (unsigned long long)native_sectors);
1096 }
1097
1098 return 0;
1e999736
AC
1099}
1100
10305f0f
A
1101/**
1102 * ata_id_to_dma_mode - Identify DMA mode from id block
1103 * @dev: device to identify
cc261267 1104 * @unknown: mode to assume if we cannot tell
10305f0f
A
1105 *
1106 * Set up the timing values for the device based upon the identify
1107 * reported values for the DMA mode. This function is used by drivers
1108 * which rely upon firmware configured modes, but wish to report the
1109 * mode correctly when possible.
1110 *
1111 * In addition we emit similarly formatted messages to the default
1112 * ata_dev_set_mode handler, in order to provide consistency of
1113 * presentation.
1114 */
1115
1116void ata_id_to_dma_mode(struct ata_device *dev, u8 unknown)
1117{
1118 unsigned int mask;
1119 u8 mode;
1120
1121 /* Pack the DMA modes */
1122 mask = ((dev->id[63] >> 8) << ATA_SHIFT_MWDMA) & ATA_MASK_MWDMA;
1123 if (dev->id[53] & 0x04)
1124 mask |= ((dev->id[88] >> 8) << ATA_SHIFT_UDMA) & ATA_MASK_UDMA;
1125
1126 /* Select the mode in use */
1127 mode = ata_xfer_mask2mode(mask);
1128
1129 if (mode != 0) {
1130 ata_dev_printk(dev, KERN_INFO, "configured for %s\n",
1131 ata_mode_string(mask));
1132 } else {
1133 /* SWDMA perhaps ? */
1134 mode = unknown;
1135 ata_dev_printk(dev, KERN_INFO, "configured for DMA\n");
1136 }
1137
1138 /* Configure the device reporting */
1139 dev->xfer_mode = mode;
1140 dev->xfer_shift = ata_xfer_mode2shift(mode);
1141}
1142
0baab86b
EF
1143/**
1144 * ata_noop_dev_select - Select device 0/1 on ATA bus
1145 * @ap: ATA channel to manipulate
1146 * @device: ATA device (numbered from zero) to select
1147 *
1148 * This function performs no actual function.
1149 *
1150 * May be used as the dev_select() entry in ata_port_operations.
1151 *
1152 * LOCKING:
1153 * caller.
1154 */
2dcb407e 1155void ata_noop_dev_select(struct ata_port *ap, unsigned int device)
1da177e4
LT
1156{
1157}
1158
0baab86b 1159
1da177e4
LT
1160/**
1161 * ata_std_dev_select - Select device 0/1 on ATA bus
1162 * @ap: ATA channel to manipulate
1163 * @device: ATA device (numbered from zero) to select
1164 *
1165 * Use the method defined in the ATA specification to
1166 * make either device 0, or device 1, active on the
0baab86b
EF
1167 * ATA channel. Works with both PIO and MMIO.
1168 *
1169 * May be used as the dev_select() entry in ata_port_operations.
1da177e4
LT
1170 *
1171 * LOCKING:
1172 * caller.
1173 */
1174
2dcb407e 1175void ata_std_dev_select(struct ata_port *ap, unsigned int device)
1da177e4
LT
1176{
1177 u8 tmp;
1178
1179 if (device == 0)
1180 tmp = ATA_DEVICE_OBS;
1181 else
1182 tmp = ATA_DEVICE_OBS | ATA_DEV1;
1183
0d5ff566 1184 iowrite8(tmp, ap->ioaddr.device_addr);
1da177e4
LT
1185 ata_pause(ap); /* needed; also flushes, for mmio */
1186}
1187
1188/**
1189 * ata_dev_select - Select device 0/1 on ATA bus
1190 * @ap: ATA channel to manipulate
1191 * @device: ATA device (numbered from zero) to select
1192 * @wait: non-zero to wait for Status register BSY bit to clear
1193 * @can_sleep: non-zero if context allows sleeping
1194 *
1195 * Use the method defined in the ATA specification to
1196 * make either device 0, or device 1, active on the
1197 * ATA channel.
1198 *
1199 * This is a high-level version of ata_std_dev_select(),
1200 * which additionally provides the services of inserting
1201 * the proper pauses and status polling, where needed.
1202 *
1203 * LOCKING:
1204 * caller.
1205 */
1206
1207void ata_dev_select(struct ata_port *ap, unsigned int device,
1208 unsigned int wait, unsigned int can_sleep)
1209{
88574551 1210 if (ata_msg_probe(ap))
44877b4e
TH
1211 ata_port_printk(ap, KERN_INFO, "ata_dev_select: ENTER, "
1212 "device %u, wait %u\n", device, wait);
1da177e4
LT
1213
1214 if (wait)
1215 ata_wait_idle(ap);
1216
1217 ap->ops->dev_select(ap, device);
1218
1219 if (wait) {
9af5c9c9 1220 if (can_sleep && ap->link.device[device].class == ATA_DEV_ATAPI)
1da177e4
LT
1221 msleep(150);
1222 ata_wait_idle(ap);
1223 }
1224}
1225
1226/**
1227 * ata_dump_id - IDENTIFY DEVICE info debugging output
0bd3300a 1228 * @id: IDENTIFY DEVICE page to dump
1da177e4 1229 *
0bd3300a
TH
1230 * Dump selected 16-bit words from the given IDENTIFY DEVICE
1231 * page.
1da177e4
LT
1232 *
1233 * LOCKING:
1234 * caller.
1235 */
1236
0bd3300a 1237static inline void ata_dump_id(const u16 *id)
1da177e4
LT
1238{
1239 DPRINTK("49==0x%04x "
1240 "53==0x%04x "
1241 "63==0x%04x "
1242 "64==0x%04x "
1243 "75==0x%04x \n",
0bd3300a
TH
1244 id[49],
1245 id[53],
1246 id[63],
1247 id[64],
1248 id[75]);
1da177e4
LT
1249 DPRINTK("80==0x%04x "
1250 "81==0x%04x "
1251 "82==0x%04x "
1252 "83==0x%04x "
1253 "84==0x%04x \n",
0bd3300a
TH
1254 id[80],
1255 id[81],
1256 id[82],
1257 id[83],
1258 id[84]);
1da177e4
LT
1259 DPRINTK("88==0x%04x "
1260 "93==0x%04x\n",
0bd3300a
TH
1261 id[88],
1262 id[93]);
1da177e4
LT
1263}
1264
cb95d562
TH
1265/**
1266 * ata_id_xfermask - Compute xfermask from the given IDENTIFY data
1267 * @id: IDENTIFY data to compute xfer mask from
1268 *
1269 * Compute the xfermask for this device. This is not as trivial
1270 * as it seems if we must consider early devices correctly.
1271 *
1272 * FIXME: pre IDE drive timing (do we care ?).
1273 *
1274 * LOCKING:
1275 * None.
1276 *
1277 * RETURNS:
1278 * Computed xfermask
1279 */
1280static unsigned int ata_id_xfermask(const u16 *id)
1281{
1282 unsigned int pio_mask, mwdma_mask, udma_mask;
1283
1284 /* Usual case. Word 53 indicates word 64 is valid */
1285 if (id[ATA_ID_FIELD_VALID] & (1 << 1)) {
1286 pio_mask = id[ATA_ID_PIO_MODES] & 0x03;
1287 pio_mask <<= 3;
1288 pio_mask |= 0x7;
1289 } else {
1290 /* If word 64 isn't valid then Word 51 high byte holds
1291 * the PIO timing number for the maximum. Turn it into
1292 * a mask.
1293 */
7a0f1c8a 1294 u8 mode = (id[ATA_ID_OLD_PIO_MODES] >> 8) & 0xFF;
46767aeb 1295 if (mode < 5) /* Valid PIO range */
2dcb407e 1296 pio_mask = (2 << mode) - 1;
46767aeb
AC
1297 else
1298 pio_mask = 1;
cb95d562
TH
1299
1300 /* But wait.. there's more. Design your standards by
1301 * committee and you too can get a free iordy field to
1302 * process. However its the speeds not the modes that
1303 * are supported... Note drivers using the timing API
1304 * will get this right anyway
1305 */
1306 }
1307
1308 mwdma_mask = id[ATA_ID_MWDMA_MODES] & 0x07;
fb21f0d0 1309
b352e57d
AC
1310 if (ata_id_is_cfa(id)) {
1311 /*
1312 * Process compact flash extended modes
1313 */
1314 int pio = id[163] & 0x7;
1315 int dma = (id[163] >> 3) & 7;
1316
1317 if (pio)
1318 pio_mask |= (1 << 5);
1319 if (pio > 1)
1320 pio_mask |= (1 << 6);
1321 if (dma)
1322 mwdma_mask |= (1 << 3);
1323 if (dma > 1)
1324 mwdma_mask |= (1 << 4);
1325 }
1326
fb21f0d0
TH
1327 udma_mask = 0;
1328 if (id[ATA_ID_FIELD_VALID] & (1 << 2))
1329 udma_mask = id[ATA_ID_UDMA_MODES] & 0xff;
cb95d562
TH
1330
1331 return ata_pack_xfermask(pio_mask, mwdma_mask, udma_mask);
1332}
1333
86e45b6b
TH
1334/**
1335 * ata_port_queue_task - Queue port_task
1336 * @ap: The ata_port to queue port_task for
e2a7f77a 1337 * @fn: workqueue function to be scheduled
65f27f38 1338 * @data: data for @fn to use
e2a7f77a 1339 * @delay: delay time for workqueue function
86e45b6b
TH
1340 *
1341 * Schedule @fn(@data) for execution after @delay jiffies using
1342 * port_task. There is one port_task per port and it's the
1343 * user(low level driver)'s responsibility to make sure that only
1344 * one task is active at any given time.
1345 *
1346 * libata core layer takes care of synchronization between
1347 * port_task and EH. ata_port_queue_task() may be ignored for EH
1348 * synchronization.
1349 *
1350 * LOCKING:
1351 * Inherited from caller.
1352 */
65f27f38 1353void ata_port_queue_task(struct ata_port *ap, work_func_t fn, void *data,
86e45b6b
TH
1354 unsigned long delay)
1355{
65f27f38
DH
1356 PREPARE_DELAYED_WORK(&ap->port_task, fn);
1357 ap->port_task_data = data;
86e45b6b 1358
45a66c1c
ON
1359 /* may fail if ata_port_flush_task() in progress */
1360 queue_delayed_work(ata_wq, &ap->port_task, delay);
86e45b6b
TH
1361}
1362
1363/**
1364 * ata_port_flush_task - Flush port_task
1365 * @ap: The ata_port to flush port_task for
1366 *
1367 * After this function completes, port_task is guranteed not to
1368 * be running or scheduled.
1369 *
1370 * LOCKING:
1371 * Kernel thread context (may sleep)
1372 */
1373void ata_port_flush_task(struct ata_port *ap)
1374{
86e45b6b
TH
1375 DPRINTK("ENTER\n");
1376
45a66c1c 1377 cancel_rearming_delayed_work(&ap->port_task);
86e45b6b 1378
0dd4b21f
BP
1379 if (ata_msg_ctl(ap))
1380 ata_port_printk(ap, KERN_DEBUG, "%s: EXIT\n", __FUNCTION__);
86e45b6b
TH
1381}
1382
7102d230 1383static void ata_qc_complete_internal(struct ata_queued_cmd *qc)
a2a7a662 1384{
77853bf2 1385 struct completion *waiting = qc->private_data;
a2a7a662 1386
a2a7a662 1387 complete(waiting);
a2a7a662
TH
1388}
1389
1390/**
2432697b 1391 * ata_exec_internal_sg - execute libata internal command
a2a7a662
TH
1392 * @dev: Device to which the command is sent
1393 * @tf: Taskfile registers for the command and the result
d69cf37d 1394 * @cdb: CDB for packet command
a2a7a662 1395 * @dma_dir: Data tranfer direction of the command
5c1ad8b3 1396 * @sgl: sg list for the data buffer of the command
2432697b 1397 * @n_elem: Number of sg entries
2b789108 1398 * @timeout: Timeout in msecs (0 for default)
a2a7a662
TH
1399 *
1400 * Executes libata internal command with timeout. @tf contains
1401 * command on entry and result on return. Timeout and error
1402 * conditions are reported via return value. No recovery action
1403 * is taken after a command times out. It's caller's duty to
1404 * clean up after timeout.
1405 *
1406 * LOCKING:
1407 * None. Should be called with kernel context, might sleep.
551e8889
TH
1408 *
1409 * RETURNS:
1410 * Zero on success, AC_ERR_* mask on failure
a2a7a662 1411 */
2432697b
TH
1412unsigned ata_exec_internal_sg(struct ata_device *dev,
1413 struct ata_taskfile *tf, const u8 *cdb,
87260216 1414 int dma_dir, struct scatterlist *sgl,
2b789108 1415 unsigned int n_elem, unsigned long timeout)
a2a7a662 1416{
9af5c9c9
TH
1417 struct ata_link *link = dev->link;
1418 struct ata_port *ap = link->ap;
a2a7a662
TH
1419 u8 command = tf->command;
1420 struct ata_queued_cmd *qc;
2ab7db1f 1421 unsigned int tag, preempted_tag;
dedaf2b0 1422 u32 preempted_sactive, preempted_qc_active;
da917d69 1423 int preempted_nr_active_links;
60be6b9a 1424 DECLARE_COMPLETION_ONSTACK(wait);
a2a7a662 1425 unsigned long flags;
77853bf2 1426 unsigned int err_mask;
d95a717f 1427 int rc;
a2a7a662 1428
ba6a1308 1429 spin_lock_irqsave(ap->lock, flags);
a2a7a662 1430
e3180499 1431 /* no internal command while frozen */
b51e9e5d 1432 if (ap->pflags & ATA_PFLAG_FROZEN) {
ba6a1308 1433 spin_unlock_irqrestore(ap->lock, flags);
e3180499
TH
1434 return AC_ERR_SYSTEM;
1435 }
1436
2ab7db1f 1437 /* initialize internal qc */
a2a7a662 1438
2ab7db1f
TH
1439 /* XXX: Tag 0 is used for drivers with legacy EH as some
1440 * drivers choke if any other tag is given. This breaks
1441 * ata_tag_internal() test for those drivers. Don't use new
1442 * EH stuff without converting to it.
1443 */
1444 if (ap->ops->error_handler)
1445 tag = ATA_TAG_INTERNAL;
1446 else
1447 tag = 0;
1448
6cec4a39 1449 if (test_and_set_bit(tag, &ap->qc_allocated))
2ab7db1f 1450 BUG();
f69499f4 1451 qc = __ata_qc_from_tag(ap, tag);
2ab7db1f
TH
1452
1453 qc->tag = tag;
1454 qc->scsicmd = NULL;
1455 qc->ap = ap;
1456 qc->dev = dev;
1457 ata_qc_reinit(qc);
1458
9af5c9c9
TH
1459 preempted_tag = link->active_tag;
1460 preempted_sactive = link->sactive;
dedaf2b0 1461 preempted_qc_active = ap->qc_active;
da917d69 1462 preempted_nr_active_links = ap->nr_active_links;
9af5c9c9
TH
1463 link->active_tag = ATA_TAG_POISON;
1464 link->sactive = 0;
dedaf2b0 1465 ap->qc_active = 0;
da917d69 1466 ap->nr_active_links = 0;
2ab7db1f
TH
1467
1468 /* prepare & issue qc */
a2a7a662 1469 qc->tf = *tf;
d69cf37d
TH
1470 if (cdb)
1471 memcpy(qc->cdb, cdb, ATAPI_CDB_LEN);
e61e0672 1472 qc->flags |= ATA_QCFLAG_RESULT_TF;
a2a7a662
TH
1473 qc->dma_dir = dma_dir;
1474 if (dma_dir != DMA_NONE) {
2432697b 1475 unsigned int i, buflen = 0;
87260216 1476 struct scatterlist *sg;
2432697b 1477
87260216
JA
1478 for_each_sg(sgl, sg, n_elem, i)
1479 buflen += sg->length;
2432697b 1480
87260216 1481 ata_sg_init(qc, sgl, n_elem);
49c80429 1482 qc->nbytes = buflen;
a2a7a662
TH
1483 }
1484
77853bf2 1485 qc->private_data = &wait;
a2a7a662
TH
1486 qc->complete_fn = ata_qc_complete_internal;
1487
8e0e694a 1488 ata_qc_issue(qc);
a2a7a662 1489
ba6a1308 1490 spin_unlock_irqrestore(ap->lock, flags);
a2a7a662 1491
2b789108
TH
1492 if (!timeout)
1493 timeout = ata_probe_timeout * 1000 / HZ;
1494
1495 rc = wait_for_completion_timeout(&wait, msecs_to_jiffies(timeout));
d95a717f
TH
1496
1497 ata_port_flush_task(ap);
41ade50c 1498
d95a717f 1499 if (!rc) {
ba6a1308 1500 spin_lock_irqsave(ap->lock, flags);
a2a7a662
TH
1501
1502 /* We're racing with irq here. If we lose, the
1503 * following test prevents us from completing the qc
d95a717f
TH
1504 * twice. If we win, the port is frozen and will be
1505 * cleaned up by ->post_internal_cmd().
a2a7a662 1506 */
77853bf2 1507 if (qc->flags & ATA_QCFLAG_ACTIVE) {
d95a717f
TH
1508 qc->err_mask |= AC_ERR_TIMEOUT;
1509
1510 if (ap->ops->error_handler)
1511 ata_port_freeze(ap);
1512 else
1513 ata_qc_complete(qc);
f15a1daf 1514
0dd4b21f
BP
1515 if (ata_msg_warn(ap))
1516 ata_dev_printk(dev, KERN_WARNING,
88574551 1517 "qc timeout (cmd 0x%x)\n", command);
a2a7a662
TH
1518 }
1519
ba6a1308 1520 spin_unlock_irqrestore(ap->lock, flags);
a2a7a662
TH
1521 }
1522
d95a717f
TH
1523 /* do post_internal_cmd */
1524 if (ap->ops->post_internal_cmd)
1525 ap->ops->post_internal_cmd(qc);
1526
a51d644a
TH
1527 /* perform minimal error analysis */
1528 if (qc->flags & ATA_QCFLAG_FAILED) {
1529 if (qc->result_tf.command & (ATA_ERR | ATA_DF))
1530 qc->err_mask |= AC_ERR_DEV;
1531
1532 if (!qc->err_mask)
1533 qc->err_mask |= AC_ERR_OTHER;
1534
1535 if (qc->err_mask & ~AC_ERR_OTHER)
1536 qc->err_mask &= ~AC_ERR_OTHER;
d95a717f
TH
1537 }
1538
15869303 1539 /* finish up */
ba6a1308 1540 spin_lock_irqsave(ap->lock, flags);
15869303 1541
e61e0672 1542 *tf = qc->result_tf;
77853bf2
TH
1543 err_mask = qc->err_mask;
1544
1545 ata_qc_free(qc);
9af5c9c9
TH
1546 link->active_tag = preempted_tag;
1547 link->sactive = preempted_sactive;
dedaf2b0 1548 ap->qc_active = preempted_qc_active;
da917d69 1549 ap->nr_active_links = preempted_nr_active_links;
77853bf2 1550
1f7dd3e9
TH
1551 /* XXX - Some LLDDs (sata_mv) disable port on command failure.
1552 * Until those drivers are fixed, we detect the condition
1553 * here, fail the command with AC_ERR_SYSTEM and reenable the
1554 * port.
1555 *
1556 * Note that this doesn't change any behavior as internal
1557 * command failure results in disabling the device in the
1558 * higher layer for LLDDs without new reset/EH callbacks.
1559 *
1560 * Kill the following code as soon as those drivers are fixed.
1561 */
198e0fed 1562 if (ap->flags & ATA_FLAG_DISABLED) {
1f7dd3e9
TH
1563 err_mask |= AC_ERR_SYSTEM;
1564 ata_port_probe(ap);
1565 }
1566
ba6a1308 1567 spin_unlock_irqrestore(ap->lock, flags);
15869303 1568
77853bf2 1569 return err_mask;
a2a7a662
TH
1570}
1571
2432697b 1572/**
33480a0e 1573 * ata_exec_internal - execute libata internal command
2432697b
TH
1574 * @dev: Device to which the command is sent
1575 * @tf: Taskfile registers for the command and the result
1576 * @cdb: CDB for packet command
1577 * @dma_dir: Data tranfer direction of the command
1578 * @buf: Data buffer of the command
1579 * @buflen: Length of data buffer
2b789108 1580 * @timeout: Timeout in msecs (0 for default)
2432697b
TH
1581 *
1582 * Wrapper around ata_exec_internal_sg() which takes simple
1583 * buffer instead of sg list.
1584 *
1585 * LOCKING:
1586 * None. Should be called with kernel context, might sleep.
1587 *
1588 * RETURNS:
1589 * Zero on success, AC_ERR_* mask on failure
1590 */
1591unsigned ata_exec_internal(struct ata_device *dev,
1592 struct ata_taskfile *tf, const u8 *cdb,
2b789108
TH
1593 int dma_dir, void *buf, unsigned int buflen,
1594 unsigned long timeout)
2432697b 1595{
33480a0e
TH
1596 struct scatterlist *psg = NULL, sg;
1597 unsigned int n_elem = 0;
2432697b 1598
33480a0e
TH
1599 if (dma_dir != DMA_NONE) {
1600 WARN_ON(!buf);
1601 sg_init_one(&sg, buf, buflen);
1602 psg = &sg;
1603 n_elem++;
1604 }
2432697b 1605
2b789108
TH
1606 return ata_exec_internal_sg(dev, tf, cdb, dma_dir, psg, n_elem,
1607 timeout);
2432697b
TH
1608}
1609
977e6b9f
TH
1610/**
1611 * ata_do_simple_cmd - execute simple internal command
1612 * @dev: Device to which the command is sent
1613 * @cmd: Opcode to execute
1614 *
1615 * Execute a 'simple' command, that only consists of the opcode
1616 * 'cmd' itself, without filling any other registers
1617 *
1618 * LOCKING:
1619 * Kernel thread context (may sleep).
1620 *
1621 * RETURNS:
1622 * Zero on success, AC_ERR_* mask on failure
e58eb583 1623 */
77b08fb5 1624unsigned int ata_do_simple_cmd(struct ata_device *dev, u8 cmd)
e58eb583
TH
1625{
1626 struct ata_taskfile tf;
e58eb583
TH
1627
1628 ata_tf_init(dev, &tf);
1629
1630 tf.command = cmd;
1631 tf.flags |= ATA_TFLAG_DEVICE;
1632 tf.protocol = ATA_PROT_NODATA;
1633
2b789108 1634 return ata_exec_internal(dev, &tf, NULL, DMA_NONE, NULL, 0, 0);
e58eb583
TH
1635}
1636
1bc4ccff
AC
1637/**
1638 * ata_pio_need_iordy - check if iordy needed
1639 * @adev: ATA device
1640 *
1641 * Check if the current speed of the device requires IORDY. Used
1642 * by various controllers for chip configuration.
1643 */
a617c09f 1644
1bc4ccff
AC
1645unsigned int ata_pio_need_iordy(const struct ata_device *adev)
1646{
432729f0
AC
1647 /* Controller doesn't support IORDY. Probably a pointless check
1648 as the caller should know this */
9af5c9c9 1649 if (adev->link->ap->flags & ATA_FLAG_NO_IORDY)
1bc4ccff 1650 return 0;
432729f0
AC
1651 /* PIO3 and higher it is mandatory */
1652 if (adev->pio_mode > XFER_PIO_2)
1653 return 1;
1654 /* We turn it on when possible */
1655 if (ata_id_has_iordy(adev->id))
1bc4ccff 1656 return 1;
432729f0
AC
1657 return 0;
1658}
2e9edbf8 1659
432729f0
AC
1660/**
1661 * ata_pio_mask_no_iordy - Return the non IORDY mask
1662 * @adev: ATA device
1663 *
1664 * Compute the highest mode possible if we are not using iordy. Return
1665 * -1 if no iordy mode is available.
1666 */
a617c09f 1667
432729f0
AC
1668static u32 ata_pio_mask_no_iordy(const struct ata_device *adev)
1669{
1bc4ccff 1670 /* If we have no drive specific rule, then PIO 2 is non IORDY */
1bc4ccff 1671 if (adev->id[ATA_ID_FIELD_VALID] & 2) { /* EIDE */
432729f0 1672 u16 pio = adev->id[ATA_ID_EIDE_PIO];
1bc4ccff
AC
1673 /* Is the speed faster than the drive allows non IORDY ? */
1674 if (pio) {
1675 /* This is cycle times not frequency - watch the logic! */
1676 if (pio > 240) /* PIO2 is 240nS per cycle */
432729f0
AC
1677 return 3 << ATA_SHIFT_PIO;
1678 return 7 << ATA_SHIFT_PIO;
1bc4ccff
AC
1679 }
1680 }
432729f0 1681 return 3 << ATA_SHIFT_PIO;
1bc4ccff
AC
1682}
1683
1da177e4 1684/**
49016aca 1685 * ata_dev_read_id - Read ID data from the specified device
49016aca
TH
1686 * @dev: target device
1687 * @p_class: pointer to class of the target device (may be changed)
bff04647 1688 * @flags: ATA_READID_* flags
fe635c7e 1689 * @id: buffer to read IDENTIFY data into
1da177e4 1690 *
49016aca
TH
1691 * Read ID data from the specified device. ATA_CMD_ID_ATA is
1692 * performed on ATA devices and ATA_CMD_ID_ATAPI on ATAPI
aec5c3c1
TH
1693 * devices. This function also issues ATA_CMD_INIT_DEV_PARAMS
1694 * for pre-ATA4 drives.
1da177e4 1695 *
50a99018 1696 * FIXME: ATA_CMD_ID_ATA is optional for early drives and right
2dcb407e 1697 * now we abort if we hit that case.
50a99018 1698 *
1da177e4 1699 * LOCKING:
49016aca
TH
1700 * Kernel thread context (may sleep)
1701 *
1702 * RETURNS:
1703 * 0 on success, -errno otherwise.
1da177e4 1704 */
a9beec95 1705int ata_dev_read_id(struct ata_device *dev, unsigned int *p_class,
bff04647 1706 unsigned int flags, u16 *id)
1da177e4 1707{
9af5c9c9 1708 struct ata_port *ap = dev->link->ap;
49016aca 1709 unsigned int class = *p_class;
a0123703 1710 struct ata_taskfile tf;
49016aca
TH
1711 unsigned int err_mask = 0;
1712 const char *reason;
54936f8b 1713 int may_fallback = 1, tried_spinup = 0;
49016aca 1714 int rc;
1da177e4 1715
0dd4b21f 1716 if (ata_msg_ctl(ap))
44877b4e 1717 ata_dev_printk(dev, KERN_DEBUG, "%s: ENTER\n", __FUNCTION__);
1da177e4 1718
49016aca 1719 ata_dev_select(ap, dev->devno, 1, 1); /* select device 0/1 */
49016aca 1720 retry:
3373efd8 1721 ata_tf_init(dev, &tf);
a0123703 1722
49016aca
TH
1723 switch (class) {
1724 case ATA_DEV_ATA:
a0123703 1725 tf.command = ATA_CMD_ID_ATA;
49016aca
TH
1726 break;
1727 case ATA_DEV_ATAPI:
a0123703 1728 tf.command = ATA_CMD_ID_ATAPI;
49016aca
TH
1729 break;
1730 default:
1731 rc = -ENODEV;
1732 reason = "unsupported class";
1733 goto err_out;
1da177e4
LT
1734 }
1735
a0123703 1736 tf.protocol = ATA_PROT_PIO;
81afe893
TH
1737
1738 /* Some devices choke if TF registers contain garbage. Make
1739 * sure those are properly initialized.
1740 */
1741 tf.flags |= ATA_TFLAG_ISADDR | ATA_TFLAG_DEVICE;
1742
1743 /* Device presence detection is unreliable on some
1744 * controllers. Always poll IDENTIFY if available.
1745 */
1746 tf.flags |= ATA_TFLAG_POLLING;
1da177e4 1747
3373efd8 1748 err_mask = ata_exec_internal(dev, &tf, NULL, DMA_FROM_DEVICE,
2b789108 1749 id, sizeof(id[0]) * ATA_ID_WORDS, 0);
a0123703 1750 if (err_mask) {
800b3996 1751 if (err_mask & AC_ERR_NODEV_HINT) {
55a8e2c8 1752 DPRINTK("ata%u.%d: NODEV after polling detection\n",
44877b4e 1753 ap->print_id, dev->devno);
55a8e2c8
TH
1754 return -ENOENT;
1755 }
1756
54936f8b
TH
1757 /* Device or controller might have reported the wrong
1758 * device class. Give a shot at the other IDENTIFY if
1759 * the current one is aborted by the device.
1760 */
1761 if (may_fallback &&
1762 (err_mask == AC_ERR_DEV) && (tf.feature & ATA_ABORTED)) {
1763 may_fallback = 0;
1764
1765 if (class == ATA_DEV_ATA)
1766 class = ATA_DEV_ATAPI;
1767 else
1768 class = ATA_DEV_ATA;
1769 goto retry;
1770 }
1771
49016aca
TH
1772 rc = -EIO;
1773 reason = "I/O error";
1da177e4
LT
1774 goto err_out;
1775 }
1776
54936f8b
TH
1777 /* Falling back doesn't make sense if ID data was read
1778 * successfully at least once.
1779 */
1780 may_fallback = 0;
1781
49016aca 1782 swap_buf_le16(id, ATA_ID_WORDS);
1da177e4 1783
49016aca 1784 /* sanity check */
a4f5749b 1785 rc = -EINVAL;
6070068b 1786 reason = "device reports invalid type";
a4f5749b
TH
1787
1788 if (class == ATA_DEV_ATA) {
1789 if (!ata_id_is_ata(id) && !ata_id_is_cfa(id))
1790 goto err_out;
1791 } else {
1792 if (ata_id_is_ata(id))
1793 goto err_out;
49016aca
TH
1794 }
1795
169439c2
ML
1796 if (!tried_spinup && (id[2] == 0x37c8 || id[2] == 0x738c)) {
1797 tried_spinup = 1;
1798 /*
1799 * Drive powered-up in standby mode, and requires a specific
1800 * SET_FEATURES spin-up subcommand before it will accept
1801 * anything other than the original IDENTIFY command.
1802 */
218f3d30 1803 err_mask = ata_dev_set_feature(dev, SETFEATURES_SPINUP, 0);
fb0582f9 1804 if (err_mask && id[2] != 0x738c) {
169439c2
ML
1805 rc = -EIO;
1806 reason = "SPINUP failed";
1807 goto err_out;
1808 }
1809 /*
1810 * If the drive initially returned incomplete IDENTIFY info,
1811 * we now must reissue the IDENTIFY command.
1812 */
1813 if (id[2] == 0x37c8)
1814 goto retry;
1815 }
1816
bff04647 1817 if ((flags & ATA_READID_POSTRESET) && class == ATA_DEV_ATA) {
49016aca
TH
1818 /*
1819 * The exact sequence expected by certain pre-ATA4 drives is:
1820 * SRST RESET
50a99018
AC
1821 * IDENTIFY (optional in early ATA)
1822 * INITIALIZE DEVICE PARAMETERS (later IDE and ATA)
49016aca
TH
1823 * anything else..
1824 * Some drives were very specific about that exact sequence.
50a99018
AC
1825 *
1826 * Note that ATA4 says lba is mandatory so the second check
1827 * shoud never trigger.
49016aca
TH
1828 */
1829 if (ata_id_major_version(id) < 4 || !ata_id_has_lba(id)) {
3373efd8 1830 err_mask = ata_dev_init_params(dev, id[3], id[6]);
49016aca
TH
1831 if (err_mask) {
1832 rc = -EIO;
1833 reason = "INIT_DEV_PARAMS failed";
1834 goto err_out;
1835 }
1836
1837 /* current CHS translation info (id[53-58]) might be
1838 * changed. reread the identify device info.
1839 */
bff04647 1840 flags &= ~ATA_READID_POSTRESET;
49016aca
TH
1841 goto retry;
1842 }
1843 }
1844
1845 *p_class = class;
fe635c7e 1846
49016aca
TH
1847 return 0;
1848
1849 err_out:
88574551 1850 if (ata_msg_warn(ap))
0dd4b21f 1851 ata_dev_printk(dev, KERN_WARNING, "failed to IDENTIFY "
88574551 1852 "(%s, err_mask=0x%x)\n", reason, err_mask);
49016aca
TH
1853 return rc;
1854}
1855
3373efd8 1856static inline u8 ata_dev_knobble(struct ata_device *dev)
4b2f3ede 1857{
9af5c9c9
TH
1858 struct ata_port *ap = dev->link->ap;
1859 return ((ap->cbl == ATA_CBL_SATA) && (!ata_id_is_sata(dev->id)));
4b2f3ede
TH
1860}
1861
a6e6ce8e
TH
1862static void ata_dev_config_ncq(struct ata_device *dev,
1863 char *desc, size_t desc_sz)
1864{
9af5c9c9 1865 struct ata_port *ap = dev->link->ap;
a6e6ce8e
TH
1866 int hdepth = 0, ddepth = ata_id_queue_depth(dev->id);
1867
1868 if (!ata_id_has_ncq(dev->id)) {
1869 desc[0] = '\0';
1870 return;
1871 }
75683fe7 1872 if (dev->horkage & ATA_HORKAGE_NONCQ) {
6919a0a6
AC
1873 snprintf(desc, desc_sz, "NCQ (not used)");
1874 return;
1875 }
a6e6ce8e 1876 if (ap->flags & ATA_FLAG_NCQ) {
cca3974e 1877 hdepth = min(ap->scsi_host->can_queue, ATA_MAX_QUEUE - 1);
a6e6ce8e
TH
1878 dev->flags |= ATA_DFLAG_NCQ;
1879 }
1880
1881 if (hdepth >= ddepth)
1882 snprintf(desc, desc_sz, "NCQ (depth %d)", ddepth);
1883 else
1884 snprintf(desc, desc_sz, "NCQ (depth %d/%d)", hdepth, ddepth);
1885}
1886
49016aca 1887/**
ffeae418 1888 * ata_dev_configure - Configure the specified ATA/ATAPI device
ffeae418
TH
1889 * @dev: Target device to configure
1890 *
1891 * Configure @dev according to @dev->id. Generic and low-level
1892 * driver specific fixups are also applied.
49016aca
TH
1893 *
1894 * LOCKING:
ffeae418
TH
1895 * Kernel thread context (may sleep)
1896 *
1897 * RETURNS:
1898 * 0 on success, -errno otherwise
49016aca 1899 */
efdaedc4 1900int ata_dev_configure(struct ata_device *dev)
49016aca 1901{
9af5c9c9
TH
1902 struct ata_port *ap = dev->link->ap;
1903 struct ata_eh_context *ehc = &dev->link->eh_context;
6746544c 1904 int print_info = ehc->i.flags & ATA_EHI_PRINTINFO;
1148c3a7 1905 const u16 *id = dev->id;
ff8854b2 1906 unsigned int xfer_mask;
b352e57d 1907 char revbuf[7]; /* XYZ-99\0 */
3f64f565
EM
1908 char fwrevbuf[ATA_ID_FW_REV_LEN+1];
1909 char modelbuf[ATA_ID_PROD_LEN+1];
e6d902a3 1910 int rc;
49016aca 1911
0dd4b21f 1912 if (!ata_dev_enabled(dev) && ata_msg_info(ap)) {
44877b4e
TH
1913 ata_dev_printk(dev, KERN_INFO, "%s: ENTER/EXIT -- nodev\n",
1914 __FUNCTION__);
ffeae418 1915 return 0;
49016aca
TH
1916 }
1917
0dd4b21f 1918 if (ata_msg_probe(ap))
44877b4e 1919 ata_dev_printk(dev, KERN_DEBUG, "%s: ENTER\n", __FUNCTION__);
1da177e4 1920
75683fe7
TH
1921 /* set horkage */
1922 dev->horkage |= ata_dev_blacklisted(dev);
1923
6746544c
TH
1924 /* let ACPI work its magic */
1925 rc = ata_acpi_on_devcfg(dev);
1926 if (rc)
1927 return rc;
08573a86 1928
05027adc
TH
1929 /* massage HPA, do it early as it might change IDENTIFY data */
1930 rc = ata_hpa_resize(dev);
1931 if (rc)
1932 return rc;
1933
c39f5ebe 1934 /* print device capabilities */
0dd4b21f 1935 if (ata_msg_probe(ap))
88574551
TH
1936 ata_dev_printk(dev, KERN_DEBUG,
1937 "%s: cfg 49:%04x 82:%04x 83:%04x 84:%04x "
1938 "85:%04x 86:%04x 87:%04x 88:%04x\n",
0dd4b21f 1939 __FUNCTION__,
f15a1daf
TH
1940 id[49], id[82], id[83], id[84],
1941 id[85], id[86], id[87], id[88]);
c39f5ebe 1942
208a9933 1943 /* initialize to-be-configured parameters */
ea1dd4e1 1944 dev->flags &= ~ATA_DFLAG_CFG_MASK;
208a9933
TH
1945 dev->max_sectors = 0;
1946 dev->cdb_len = 0;
1947 dev->n_sectors = 0;
1948 dev->cylinders = 0;
1949 dev->heads = 0;
1950 dev->sectors = 0;
1951
1da177e4
LT
1952 /*
1953 * common ATA, ATAPI feature tests
1954 */
1955
ff8854b2 1956 /* find max transfer mode; for printk only */
1148c3a7 1957 xfer_mask = ata_id_xfermask(id);
1da177e4 1958
0dd4b21f
BP
1959 if (ata_msg_probe(ap))
1960 ata_dump_id(id);
1da177e4 1961
ef143d57
AL
1962 /* SCSI only uses 4-char revisions, dump full 8 chars from ATA */
1963 ata_id_c_string(dev->id, fwrevbuf, ATA_ID_FW_REV,
1964 sizeof(fwrevbuf));
1965
1966 ata_id_c_string(dev->id, modelbuf, ATA_ID_PROD,
1967 sizeof(modelbuf));
1968
1da177e4
LT
1969 /* ATA-specific feature tests */
1970 if (dev->class == ATA_DEV_ATA) {
b352e57d
AC
1971 if (ata_id_is_cfa(id)) {
1972 if (id[162] & 1) /* CPRM may make this media unusable */
44877b4e
TH
1973 ata_dev_printk(dev, KERN_WARNING,
1974 "supports DRM functions and may "
1975 "not be fully accessable.\n");
b352e57d 1976 snprintf(revbuf, 7, "CFA");
2dcb407e
JG
1977 } else
1978 snprintf(revbuf, 7, "ATA-%d", ata_id_major_version(id));
b352e57d 1979
1148c3a7 1980 dev->n_sectors = ata_id_n_sectors(id);
2940740b 1981
3f64f565
EM
1982 if (dev->id[59] & 0x100)
1983 dev->multi_count = dev->id[59] & 0xff;
1984
1148c3a7 1985 if (ata_id_has_lba(id)) {
4c2d721a 1986 const char *lba_desc;
a6e6ce8e 1987 char ncq_desc[20];
8bf62ece 1988
4c2d721a
TH
1989 lba_desc = "LBA";
1990 dev->flags |= ATA_DFLAG_LBA;
1148c3a7 1991 if (ata_id_has_lba48(id)) {
8bf62ece 1992 dev->flags |= ATA_DFLAG_LBA48;
4c2d721a 1993 lba_desc = "LBA48";
6fc49adb
TH
1994
1995 if (dev->n_sectors >= (1UL << 28) &&
1996 ata_id_has_flush_ext(id))
1997 dev->flags |= ATA_DFLAG_FLUSH_EXT;
4c2d721a 1998 }
8bf62ece 1999
a6e6ce8e
TH
2000 /* config NCQ */
2001 ata_dev_config_ncq(dev, ncq_desc, sizeof(ncq_desc));
2002
8bf62ece 2003 /* print device info to dmesg */
3f64f565
EM
2004 if (ata_msg_drv(ap) && print_info) {
2005 ata_dev_printk(dev, KERN_INFO,
2006 "%s: %s, %s, max %s\n",
2007 revbuf, modelbuf, fwrevbuf,
2008 ata_mode_string(xfer_mask));
2009 ata_dev_printk(dev, KERN_INFO,
2010 "%Lu sectors, multi %u: %s %s\n",
f15a1daf 2011 (unsigned long long)dev->n_sectors,
3f64f565
EM
2012 dev->multi_count, lba_desc, ncq_desc);
2013 }
ffeae418 2014 } else {
8bf62ece
AL
2015 /* CHS */
2016
2017 /* Default translation */
1148c3a7
TH
2018 dev->cylinders = id[1];
2019 dev->heads = id[3];
2020 dev->sectors = id[6];
8bf62ece 2021
1148c3a7 2022 if (ata_id_current_chs_valid(id)) {
8bf62ece 2023 /* Current CHS translation is valid. */
1148c3a7
TH
2024 dev->cylinders = id[54];
2025 dev->heads = id[55];
2026 dev->sectors = id[56];
8bf62ece
AL
2027 }
2028
2029 /* print device info to dmesg */
3f64f565 2030 if (ata_msg_drv(ap) && print_info) {
88574551 2031 ata_dev_printk(dev, KERN_INFO,
3f64f565
EM
2032 "%s: %s, %s, max %s\n",
2033 revbuf, modelbuf, fwrevbuf,
2034 ata_mode_string(xfer_mask));
a84471fe 2035 ata_dev_printk(dev, KERN_INFO,
3f64f565
EM
2036 "%Lu sectors, multi %u, CHS %u/%u/%u\n",
2037 (unsigned long long)dev->n_sectors,
2038 dev->multi_count, dev->cylinders,
2039 dev->heads, dev->sectors);
2040 }
07f6f7d0
AL
2041 }
2042
6e7846e9 2043 dev->cdb_len = 16;
1da177e4
LT
2044 }
2045
2046 /* ATAPI-specific feature tests */
2c13b7ce 2047 else if (dev->class == ATA_DEV_ATAPI) {
854c73a2
TH
2048 const char *cdb_intr_string = "";
2049 const char *atapi_an_string = "";
7d77b247 2050 u32 sntf;
08a556db 2051
1148c3a7 2052 rc = atapi_cdb_len(id);
1da177e4 2053 if ((rc < 12) || (rc > ATAPI_CDB_LEN)) {
0dd4b21f 2054 if (ata_msg_warn(ap))
88574551
TH
2055 ata_dev_printk(dev, KERN_WARNING,
2056 "unsupported CDB len\n");
ffeae418 2057 rc = -EINVAL;
1da177e4
LT
2058 goto err_out_nosup;
2059 }
6e7846e9 2060 dev->cdb_len = (unsigned int) rc;
1da177e4 2061
7d77b247
TH
2062 /* Enable ATAPI AN if both the host and device have
2063 * the support. If PMP is attached, SNTF is required
2064 * to enable ATAPI AN to discern between PHY status
2065 * changed notifications and ATAPI ANs.
9f45cbd3 2066 */
7d77b247
TH
2067 if ((ap->flags & ATA_FLAG_AN) && ata_id_has_atapi_AN(id) &&
2068 (!ap->nr_pmp_links ||
2069 sata_scr_read(&ap->link, SCR_NOTIFICATION, &sntf) == 0)) {
854c73a2
TH
2070 unsigned int err_mask;
2071
9f45cbd3 2072 /* issue SET feature command to turn this on */
218f3d30
JG
2073 err_mask = ata_dev_set_feature(dev,
2074 SETFEATURES_SATA_ENABLE, SATA_AN);
854c73a2 2075 if (err_mask)
9f45cbd3 2076 ata_dev_printk(dev, KERN_ERR,
854c73a2
TH
2077 "failed to enable ATAPI AN "
2078 "(err_mask=0x%x)\n", err_mask);
2079 else {
9f45cbd3 2080 dev->flags |= ATA_DFLAG_AN;
854c73a2
TH
2081 atapi_an_string = ", ATAPI AN";
2082 }
9f45cbd3
KCA
2083 }
2084
08a556db 2085 if (ata_id_cdb_intr(dev->id)) {
312f7da2 2086 dev->flags |= ATA_DFLAG_CDB_INTR;
08a556db
AL
2087 cdb_intr_string = ", CDB intr";
2088 }
312f7da2 2089
1da177e4 2090 /* print device info to dmesg */
5afc8142 2091 if (ata_msg_drv(ap) && print_info)
ef143d57 2092 ata_dev_printk(dev, KERN_INFO,
854c73a2 2093 "ATAPI: %s, %s, max %s%s%s\n",
ef143d57 2094 modelbuf, fwrevbuf,
12436c30 2095 ata_mode_string(xfer_mask),
854c73a2 2096 cdb_intr_string, atapi_an_string);
1da177e4
LT
2097 }
2098
914ed354
TH
2099 /* determine max_sectors */
2100 dev->max_sectors = ATA_MAX_SECTORS;
2101 if (dev->flags & ATA_DFLAG_LBA48)
2102 dev->max_sectors = ATA_MAX_SECTORS_LBA48;
2103
93590859
AC
2104 if (dev->horkage & ATA_HORKAGE_DIAGNOSTIC) {
2105 /* Let the user know. We don't want to disallow opens for
2106 rescue purposes, or in case the vendor is just a blithering
2107 idiot */
2dcb407e 2108 if (print_info) {
93590859
AC
2109 ata_dev_printk(dev, KERN_WARNING,
2110"Drive reports diagnostics failure. This may indicate a drive\n");
2111 ata_dev_printk(dev, KERN_WARNING,
2112"fault or invalid emulation. Contact drive vendor for information.\n");
2113 }
2114 }
2115
4b2f3ede 2116 /* limit bridge transfers to udma5, 200 sectors */
3373efd8 2117 if (ata_dev_knobble(dev)) {
5afc8142 2118 if (ata_msg_drv(ap) && print_info)
f15a1daf
TH
2119 ata_dev_printk(dev, KERN_INFO,
2120 "applying bridge limits\n");
5a529139 2121 dev->udma_mask &= ATA_UDMA5;
4b2f3ede
TH
2122 dev->max_sectors = ATA_MAX_SECTORS;
2123 }
2124
75683fe7 2125 if (dev->horkage & ATA_HORKAGE_MAX_SEC_128)
03ec52de
TH
2126 dev->max_sectors = min_t(unsigned int, ATA_MAX_SECTORS_128,
2127 dev->max_sectors);
18d6e9d5 2128
4b2f3ede 2129 if (ap->ops->dev_config)
cd0d3bbc 2130 ap->ops->dev_config(dev);
4b2f3ede 2131
0dd4b21f
BP
2132 if (ata_msg_probe(ap))
2133 ata_dev_printk(dev, KERN_DEBUG, "%s: EXIT, drv_stat = 0x%x\n",
2134 __FUNCTION__, ata_chk_status(ap));
ffeae418 2135 return 0;
1da177e4
LT
2136
2137err_out_nosup:
0dd4b21f 2138 if (ata_msg_probe(ap))
88574551
TH
2139 ata_dev_printk(dev, KERN_DEBUG,
2140 "%s: EXIT, err\n", __FUNCTION__);
ffeae418 2141 return rc;
1da177e4
LT
2142}
2143
be0d18df 2144/**
2e41e8e6 2145 * ata_cable_40wire - return 40 wire cable type
be0d18df
AC
2146 * @ap: port
2147 *
2e41e8e6 2148 * Helper method for drivers which want to hardwire 40 wire cable
be0d18df
AC
2149 * detection.
2150 */
2151
2152int ata_cable_40wire(struct ata_port *ap)
2153{
2154 return ATA_CBL_PATA40;
2155}
2156
2157/**
2e41e8e6 2158 * ata_cable_80wire - return 80 wire cable type
be0d18df
AC
2159 * @ap: port
2160 *
2e41e8e6 2161 * Helper method for drivers which want to hardwire 80 wire cable
be0d18df
AC
2162 * detection.
2163 */
2164
2165int ata_cable_80wire(struct ata_port *ap)
2166{
2167 return ATA_CBL_PATA80;
2168}
2169
2170/**
2171 * ata_cable_unknown - return unknown PATA cable.
2172 * @ap: port
2173 *
2174 * Helper method for drivers which have no PATA cable detection.
2175 */
2176
2177int ata_cable_unknown(struct ata_port *ap)
2178{
2179 return ATA_CBL_PATA_UNK;
2180}
2181
2182/**
2183 * ata_cable_sata - return SATA cable type
2184 * @ap: port
2185 *
2186 * Helper method for drivers which have SATA cables
2187 */
2188
2189int ata_cable_sata(struct ata_port *ap)
2190{
2191 return ATA_CBL_SATA;
2192}
2193
1da177e4
LT
2194/**
2195 * ata_bus_probe - Reset and probe ATA bus
2196 * @ap: Bus to probe
2197 *
0cba632b
JG
2198 * Master ATA bus probing function. Initiates a hardware-dependent
2199 * bus reset, then attempts to identify any devices found on
2200 * the bus.
2201 *
1da177e4 2202 * LOCKING:
0cba632b 2203 * PCI/etc. bus probe sem.
1da177e4
LT
2204 *
2205 * RETURNS:
96072e69 2206 * Zero on success, negative errno otherwise.
1da177e4
LT
2207 */
2208
80289167 2209int ata_bus_probe(struct ata_port *ap)
1da177e4 2210{
28ca5c57 2211 unsigned int classes[ATA_MAX_DEVICES];
14d2bac1 2212 int tries[ATA_MAX_DEVICES];
f58229f8 2213 int rc;
e82cbdb9 2214 struct ata_device *dev;
1da177e4 2215
28ca5c57 2216 ata_port_probe(ap);
c19ba8af 2217
f58229f8
TH
2218 ata_link_for_each_dev(dev, &ap->link)
2219 tries[dev->devno] = ATA_PROBE_MAX_TRIES;
14d2bac1
TH
2220
2221 retry:
cdeab114
TH
2222 ata_link_for_each_dev(dev, &ap->link) {
2223 /* If we issue an SRST then an ATA drive (not ATAPI)
2224 * may change configuration and be in PIO0 timing. If
2225 * we do a hard reset (or are coming from power on)
2226 * this is true for ATA or ATAPI. Until we've set a
2227 * suitable controller mode we should not touch the
2228 * bus as we may be talking too fast.
2229 */
2230 dev->pio_mode = XFER_PIO_0;
2231
2232 /* If the controller has a pio mode setup function
2233 * then use it to set the chipset to rights. Don't
2234 * touch the DMA setup as that will be dealt with when
2235 * configuring devices.
2236 */
2237 if (ap->ops->set_piomode)
2238 ap->ops->set_piomode(ap, dev);
2239 }
2240
2044470c 2241 /* reset and determine device classes */
52783c5d 2242 ap->ops->phy_reset(ap);
2061a47a 2243
f58229f8 2244 ata_link_for_each_dev(dev, &ap->link) {
52783c5d
TH
2245 if (!(ap->flags & ATA_FLAG_DISABLED) &&
2246 dev->class != ATA_DEV_UNKNOWN)
2247 classes[dev->devno] = dev->class;
2248 else
2249 classes[dev->devno] = ATA_DEV_NONE;
2044470c 2250
52783c5d 2251 dev->class = ATA_DEV_UNKNOWN;
28ca5c57 2252 }
1da177e4 2253
52783c5d 2254 ata_port_probe(ap);
2044470c 2255
f31f0cc2
JG
2256 /* read IDENTIFY page and configure devices. We have to do the identify
2257 specific sequence bass-ackwards so that PDIAG- is released by
2258 the slave device */
2259
f58229f8
TH
2260 ata_link_for_each_dev(dev, &ap->link) {
2261 if (tries[dev->devno])
2262 dev->class = classes[dev->devno];
ffeae418 2263
14d2bac1 2264 if (!ata_dev_enabled(dev))
ffeae418 2265 continue;
ffeae418 2266
bff04647
TH
2267 rc = ata_dev_read_id(dev, &dev->class, ATA_READID_POSTRESET,
2268 dev->id);
14d2bac1
TH
2269 if (rc)
2270 goto fail;
f31f0cc2
JG
2271 }
2272
be0d18df
AC
2273 /* Now ask for the cable type as PDIAG- should have been released */
2274 if (ap->ops->cable_detect)
2275 ap->cbl = ap->ops->cable_detect(ap);
2276
614fe29b
AC
2277 /* We may have SATA bridge glue hiding here irrespective of the
2278 reported cable types and sensed types */
2279 ata_link_for_each_dev(dev, &ap->link) {
2280 if (!ata_dev_enabled(dev))
2281 continue;
2282 /* SATA drives indicate we have a bridge. We don't know which
2283 end of the link the bridge is which is a problem */
2284 if (ata_id_is_sata(dev->id))
2285 ap->cbl = ATA_CBL_SATA;
2286 }
2287
f31f0cc2
JG
2288 /* After the identify sequence we can now set up the devices. We do
2289 this in the normal order so that the user doesn't get confused */
2290
f58229f8 2291 ata_link_for_each_dev(dev, &ap->link) {
f31f0cc2
JG
2292 if (!ata_dev_enabled(dev))
2293 continue;
14d2bac1 2294
9af5c9c9 2295 ap->link.eh_context.i.flags |= ATA_EHI_PRINTINFO;
efdaedc4 2296 rc = ata_dev_configure(dev);
9af5c9c9 2297 ap->link.eh_context.i.flags &= ~ATA_EHI_PRINTINFO;
14d2bac1
TH
2298 if (rc)
2299 goto fail;
1da177e4
LT
2300 }
2301
e82cbdb9 2302 /* configure transfer mode */
0260731f 2303 rc = ata_set_mode(&ap->link, &dev);
4ae72a1e 2304 if (rc)
51713d35 2305 goto fail;
1da177e4 2306
f58229f8
TH
2307 ata_link_for_each_dev(dev, &ap->link)
2308 if (ata_dev_enabled(dev))
e82cbdb9 2309 return 0;
1da177e4 2310
e82cbdb9
TH
2311 /* no device present, disable port */
2312 ata_port_disable(ap);
96072e69 2313 return -ENODEV;
14d2bac1
TH
2314
2315 fail:
4ae72a1e
TH
2316 tries[dev->devno]--;
2317
14d2bac1
TH
2318 switch (rc) {
2319 case -EINVAL:
4ae72a1e 2320 /* eeek, something went very wrong, give up */
14d2bac1
TH
2321 tries[dev->devno] = 0;
2322 break;
4ae72a1e
TH
2323
2324 case -ENODEV:
2325 /* give it just one more chance */
2326 tries[dev->devno] = min(tries[dev->devno], 1);
14d2bac1 2327 case -EIO:
4ae72a1e
TH
2328 if (tries[dev->devno] == 1) {
2329 /* This is the last chance, better to slow
2330 * down than lose it.
2331 */
936fd732 2332 sata_down_spd_limit(&ap->link);
4ae72a1e
TH
2333 ata_down_xfermask_limit(dev, ATA_DNXFER_PIO);
2334 }
14d2bac1
TH
2335 }
2336
4ae72a1e 2337 if (!tries[dev->devno])
3373efd8 2338 ata_dev_disable(dev);
ec573755 2339
14d2bac1 2340 goto retry;
1da177e4
LT
2341}
2342
2343/**
0cba632b
JG
2344 * ata_port_probe - Mark port as enabled
2345 * @ap: Port for which we indicate enablement
1da177e4 2346 *
0cba632b
JG
2347 * Modify @ap data structure such that the system
2348 * thinks that the entire port is enabled.
2349 *
cca3974e 2350 * LOCKING: host lock, or some other form of
0cba632b 2351 * serialization.
1da177e4
LT
2352 */
2353
2354void ata_port_probe(struct ata_port *ap)
2355{
198e0fed 2356 ap->flags &= ~ATA_FLAG_DISABLED;
1da177e4
LT
2357}
2358
3be680b7
TH
2359/**
2360 * sata_print_link_status - Print SATA link status
936fd732 2361 * @link: SATA link to printk link status about
3be680b7
TH
2362 *
2363 * This function prints link speed and status of a SATA link.
2364 *
2365 * LOCKING:
2366 * None.
2367 */
936fd732 2368void sata_print_link_status(struct ata_link *link)
3be680b7 2369{
6d5f9732 2370 u32 sstatus, scontrol, tmp;
3be680b7 2371
936fd732 2372 if (sata_scr_read(link, SCR_STATUS, &sstatus))
3be680b7 2373 return;
936fd732 2374 sata_scr_read(link, SCR_CONTROL, &scontrol);
3be680b7 2375
936fd732 2376 if (ata_link_online(link)) {
3be680b7 2377 tmp = (sstatus >> 4) & 0xf;
936fd732 2378 ata_link_printk(link, KERN_INFO,
f15a1daf
TH
2379 "SATA link up %s (SStatus %X SControl %X)\n",
2380 sata_spd_string(tmp), sstatus, scontrol);
3be680b7 2381 } else {
936fd732 2382 ata_link_printk(link, KERN_INFO,
f15a1daf
TH
2383 "SATA link down (SStatus %X SControl %X)\n",
2384 sstatus, scontrol);
3be680b7
TH
2385 }
2386}
2387
1da177e4 2388/**
780a87f7
JG
2389 * __sata_phy_reset - Wake/reset a low-level SATA PHY
2390 * @ap: SATA port associated with target SATA PHY.
1da177e4 2391 *
780a87f7
JG
2392 * This function issues commands to standard SATA Sxxx
2393 * PHY registers, to wake up the phy (and device), and
2394 * clear any reset condition.
1da177e4
LT
2395 *
2396 * LOCKING:
0cba632b 2397 * PCI/etc. bus probe sem.
1da177e4
LT
2398 *
2399 */
2400void __sata_phy_reset(struct ata_port *ap)
2401{
936fd732 2402 struct ata_link *link = &ap->link;
1da177e4 2403 unsigned long timeout = jiffies + (HZ * 5);
936fd732 2404 u32 sstatus;
1da177e4
LT
2405
2406 if (ap->flags & ATA_FLAG_SATA_RESET) {
cdcca89e 2407 /* issue phy wake/reset */
936fd732 2408 sata_scr_write_flush(link, SCR_CONTROL, 0x301);
62ba2841
TH
2409 /* Couldn't find anything in SATA I/II specs, but
2410 * AHCI-1.1 10.4.2 says at least 1 ms. */
2411 mdelay(1);
1da177e4 2412 }
81952c54 2413 /* phy wake/clear reset */
936fd732 2414 sata_scr_write_flush(link, SCR_CONTROL, 0x300);
1da177e4
LT
2415
2416 /* wait for phy to become ready, if necessary */
2417 do {
2418 msleep(200);
936fd732 2419 sata_scr_read(link, SCR_STATUS, &sstatus);
1da177e4
LT
2420 if ((sstatus & 0xf) != 1)
2421 break;
2422 } while (time_before(jiffies, timeout));
2423
3be680b7 2424 /* print link status */
936fd732 2425 sata_print_link_status(link);
656563e3 2426
3be680b7 2427 /* TODO: phy layer with polling, timeouts, etc. */
936fd732 2428 if (!ata_link_offline(link))
1da177e4 2429 ata_port_probe(ap);
3be680b7 2430 else
1da177e4 2431 ata_port_disable(ap);
1da177e4 2432
198e0fed 2433 if (ap->flags & ATA_FLAG_DISABLED)
1da177e4
LT
2434 return;
2435
2436 if (ata_busy_sleep(ap, ATA_TMOUT_BOOT_QUICK, ATA_TMOUT_BOOT)) {
2437 ata_port_disable(ap);
2438 return;
2439 }
2440
2441 ap->cbl = ATA_CBL_SATA;
2442}
2443
2444/**
780a87f7
JG
2445 * sata_phy_reset - Reset SATA bus.
2446 * @ap: SATA port associated with target SATA PHY.
1da177e4 2447 *
780a87f7
JG
2448 * This function resets the SATA bus, and then probes
2449 * the bus for devices.
1da177e4
LT
2450 *
2451 * LOCKING:
0cba632b 2452 * PCI/etc. bus probe sem.
1da177e4
LT
2453 *
2454 */
2455void sata_phy_reset(struct ata_port *ap)
2456{
2457 __sata_phy_reset(ap);
198e0fed 2458 if (ap->flags & ATA_FLAG_DISABLED)
1da177e4
LT
2459 return;
2460 ata_bus_reset(ap);
2461}
2462
ebdfca6e
AC
2463/**
2464 * ata_dev_pair - return other device on cable
ebdfca6e
AC
2465 * @adev: device
2466 *
2467 * Obtain the other device on the same cable, or if none is
2468 * present NULL is returned
2469 */
2e9edbf8 2470
3373efd8 2471struct ata_device *ata_dev_pair(struct ata_device *adev)
ebdfca6e 2472{
9af5c9c9
TH
2473 struct ata_link *link = adev->link;
2474 struct ata_device *pair = &link->device[1 - adev->devno];
e1211e3f 2475 if (!ata_dev_enabled(pair))
ebdfca6e
AC
2476 return NULL;
2477 return pair;
2478}
2479
1da177e4 2480/**
780a87f7
JG
2481 * ata_port_disable - Disable port.
2482 * @ap: Port to be disabled.
1da177e4 2483 *
780a87f7
JG
2484 * Modify @ap data structure such that the system
2485 * thinks that the entire port is disabled, and should
2486 * never attempt to probe or communicate with devices
2487 * on this port.
2488 *
cca3974e 2489 * LOCKING: host lock, or some other form of
780a87f7 2490 * serialization.
1da177e4
LT
2491 */
2492
2493void ata_port_disable(struct ata_port *ap)
2494{
9af5c9c9
TH
2495 ap->link.device[0].class = ATA_DEV_NONE;
2496 ap->link.device[1].class = ATA_DEV_NONE;
198e0fed 2497 ap->flags |= ATA_FLAG_DISABLED;
1da177e4
LT
2498}
2499
1c3fae4d 2500/**
3c567b7d 2501 * sata_down_spd_limit - adjust SATA spd limit downward
936fd732 2502 * @link: Link to adjust SATA spd limit for
1c3fae4d 2503 *
936fd732 2504 * Adjust SATA spd limit of @link downward. Note that this
1c3fae4d 2505 * function only adjusts the limit. The change must be applied
3c567b7d 2506 * using sata_set_spd().
1c3fae4d
TH
2507 *
2508 * LOCKING:
2509 * Inherited from caller.
2510 *
2511 * RETURNS:
2512 * 0 on success, negative errno on failure
2513 */
936fd732 2514int sata_down_spd_limit(struct ata_link *link)
1c3fae4d 2515{
81952c54
TH
2516 u32 sstatus, spd, mask;
2517 int rc, highbit;
1c3fae4d 2518
936fd732 2519 if (!sata_scr_valid(link))
008a7896
TH
2520 return -EOPNOTSUPP;
2521
2522 /* If SCR can be read, use it to determine the current SPD.
936fd732 2523 * If not, use cached value in link->sata_spd.
008a7896 2524 */
936fd732 2525 rc = sata_scr_read(link, SCR_STATUS, &sstatus);
008a7896
TH
2526 if (rc == 0)
2527 spd = (sstatus >> 4) & 0xf;
2528 else
936fd732 2529 spd = link->sata_spd;
1c3fae4d 2530
936fd732 2531 mask = link->sata_spd_limit;
1c3fae4d
TH
2532 if (mask <= 1)
2533 return -EINVAL;
008a7896
TH
2534
2535 /* unconditionally mask off the highest bit */
1c3fae4d
TH
2536 highbit = fls(mask) - 1;
2537 mask &= ~(1 << highbit);
2538
008a7896
TH
2539 /* Mask off all speeds higher than or equal to the current
2540 * one. Force 1.5Gbps if current SPD is not available.
2541 */
2542 if (spd > 1)
2543 mask &= (1 << (spd - 1)) - 1;
2544 else
2545 mask &= 1;
2546
2547 /* were we already at the bottom? */
1c3fae4d
TH
2548 if (!mask)
2549 return -EINVAL;
2550
936fd732 2551 link->sata_spd_limit = mask;
1c3fae4d 2552
936fd732 2553 ata_link_printk(link, KERN_WARNING, "limiting SATA link speed to %s\n",
f15a1daf 2554 sata_spd_string(fls(mask)));
1c3fae4d
TH
2555
2556 return 0;
2557}
2558
936fd732 2559static int __sata_set_spd_needed(struct ata_link *link, u32 *scontrol)
1c3fae4d
TH
2560{
2561 u32 spd, limit;
2562
936fd732 2563 if (link->sata_spd_limit == UINT_MAX)
1c3fae4d
TH
2564 limit = 0;
2565 else
936fd732 2566 limit = fls(link->sata_spd_limit);
1c3fae4d
TH
2567
2568 spd = (*scontrol >> 4) & 0xf;
2569 *scontrol = (*scontrol & ~0xf0) | ((limit & 0xf) << 4);
2570
2571 return spd != limit;
2572}
2573
2574/**
3c567b7d 2575 * sata_set_spd_needed - is SATA spd configuration needed
936fd732 2576 * @link: Link in question
1c3fae4d
TH
2577 *
2578 * Test whether the spd limit in SControl matches
936fd732 2579 * @link->sata_spd_limit. This function is used to determine
1c3fae4d
TH
2580 * whether hardreset is necessary to apply SATA spd
2581 * configuration.
2582 *
2583 * LOCKING:
2584 * Inherited from caller.
2585 *
2586 * RETURNS:
2587 * 1 if SATA spd configuration is needed, 0 otherwise.
2588 */
936fd732 2589int sata_set_spd_needed(struct ata_link *link)
1c3fae4d
TH
2590{
2591 u32 scontrol;
2592
936fd732 2593 if (sata_scr_read(link, SCR_CONTROL, &scontrol))
1c3fae4d
TH
2594 return 0;
2595
936fd732 2596 return __sata_set_spd_needed(link, &scontrol);
1c3fae4d
TH
2597}
2598
2599/**
3c567b7d 2600 * sata_set_spd - set SATA spd according to spd limit
936fd732 2601 * @link: Link to set SATA spd for
1c3fae4d 2602 *
936fd732 2603 * Set SATA spd of @link according to sata_spd_limit.
1c3fae4d
TH
2604 *
2605 * LOCKING:
2606 * Inherited from caller.
2607 *
2608 * RETURNS:
2609 * 0 if spd doesn't need to be changed, 1 if spd has been
81952c54 2610 * changed. Negative errno if SCR registers are inaccessible.
1c3fae4d 2611 */
936fd732 2612int sata_set_spd(struct ata_link *link)
1c3fae4d
TH
2613{
2614 u32 scontrol;
81952c54 2615 int rc;
1c3fae4d 2616
936fd732 2617 if ((rc = sata_scr_read(link, SCR_CONTROL, &scontrol)))
81952c54 2618 return rc;
1c3fae4d 2619
936fd732 2620 if (!__sata_set_spd_needed(link, &scontrol))
1c3fae4d
TH
2621 return 0;
2622
936fd732 2623 if ((rc = sata_scr_write(link, SCR_CONTROL, scontrol)))
81952c54
TH
2624 return rc;
2625
1c3fae4d
TH
2626 return 1;
2627}
2628
452503f9
AC
2629/*
2630 * This mode timing computation functionality is ported over from
2631 * drivers/ide/ide-timing.h and was originally written by Vojtech Pavlik
2632 */
2633/*
b352e57d 2634 * PIO 0-4, MWDMA 0-2 and UDMA 0-6 timings (in nanoseconds).
452503f9 2635 * These were taken from ATA/ATAPI-6 standard, rev 0a, except
b352e57d
AC
2636 * for UDMA6, which is currently supported only by Maxtor drives.
2637 *
2638 * For PIO 5/6 MWDMA 3/4 see the CFA specification 3.0.
452503f9
AC
2639 */
2640
2641static const struct ata_timing ata_timing[] = {
2642
2643 { XFER_UDMA_6, 0, 0, 0, 0, 0, 0, 0, 15 },
2644 { XFER_UDMA_5, 0, 0, 0, 0, 0, 0, 0, 20 },
2645 { XFER_UDMA_4, 0, 0, 0, 0, 0, 0, 0, 30 },
2646 { XFER_UDMA_3, 0, 0, 0, 0, 0, 0, 0, 45 },
2647
b352e57d
AC
2648 { XFER_MW_DMA_4, 25, 0, 0, 0, 55, 20, 80, 0 },
2649 { XFER_MW_DMA_3, 25, 0, 0, 0, 65, 25, 100, 0 },
452503f9
AC
2650 { XFER_UDMA_2, 0, 0, 0, 0, 0, 0, 0, 60 },
2651 { XFER_UDMA_1, 0, 0, 0, 0, 0, 0, 0, 80 },
2652 { XFER_UDMA_0, 0, 0, 0, 0, 0, 0, 0, 120 },
2653
2654/* { XFER_UDMA_SLOW, 0, 0, 0, 0, 0, 0, 0, 150 }, */
2e9edbf8 2655
452503f9
AC
2656 { XFER_MW_DMA_2, 25, 0, 0, 0, 70, 25, 120, 0 },
2657 { XFER_MW_DMA_1, 45, 0, 0, 0, 80, 50, 150, 0 },
2658 { XFER_MW_DMA_0, 60, 0, 0, 0, 215, 215, 480, 0 },
2e9edbf8 2659
452503f9
AC
2660 { XFER_SW_DMA_2, 60, 0, 0, 0, 120, 120, 240, 0 },
2661 { XFER_SW_DMA_1, 90, 0, 0, 0, 240, 240, 480, 0 },
2662 { XFER_SW_DMA_0, 120, 0, 0, 0, 480, 480, 960, 0 },
2663
b352e57d
AC
2664 { XFER_PIO_6, 10, 55, 20, 80, 55, 20, 80, 0 },
2665 { XFER_PIO_5, 15, 65, 25, 100, 65, 25, 100, 0 },
452503f9
AC
2666 { XFER_PIO_4, 25, 70, 25, 120, 70, 25, 120, 0 },
2667 { XFER_PIO_3, 30, 80, 70, 180, 80, 70, 180, 0 },
2668
2669 { XFER_PIO_2, 30, 290, 40, 330, 100, 90, 240, 0 },
2670 { XFER_PIO_1, 50, 290, 93, 383, 125, 100, 383, 0 },
2671 { XFER_PIO_0, 70, 290, 240, 600, 165, 150, 600, 0 },
2672
2673/* { XFER_PIO_SLOW, 120, 290, 240, 960, 290, 240, 960, 0 }, */
2674
2675 { 0xFF }
2676};
2677
2dcb407e
JG
2678#define ENOUGH(v, unit) (((v)-1)/(unit)+1)
2679#define EZ(v, unit) ((v)?ENOUGH(v, unit):0)
452503f9
AC
2680
2681static void ata_timing_quantize(const struct ata_timing *t, struct ata_timing *q, int T, int UT)
2682{
2683 q->setup = EZ(t->setup * 1000, T);
2684 q->act8b = EZ(t->act8b * 1000, T);
2685 q->rec8b = EZ(t->rec8b * 1000, T);
2686 q->cyc8b = EZ(t->cyc8b * 1000, T);
2687 q->active = EZ(t->active * 1000, T);
2688 q->recover = EZ(t->recover * 1000, T);
2689 q->cycle = EZ(t->cycle * 1000, T);
2690 q->udma = EZ(t->udma * 1000, UT);
2691}
2692
2693void ata_timing_merge(const struct ata_timing *a, const struct ata_timing *b,
2694 struct ata_timing *m, unsigned int what)
2695{
2696 if (what & ATA_TIMING_SETUP ) m->setup = max(a->setup, b->setup);
2697 if (what & ATA_TIMING_ACT8B ) m->act8b = max(a->act8b, b->act8b);
2698 if (what & ATA_TIMING_REC8B ) m->rec8b = max(a->rec8b, b->rec8b);
2699 if (what & ATA_TIMING_CYC8B ) m->cyc8b = max(a->cyc8b, b->cyc8b);
2700 if (what & ATA_TIMING_ACTIVE ) m->active = max(a->active, b->active);
2701 if (what & ATA_TIMING_RECOVER) m->recover = max(a->recover, b->recover);
2702 if (what & ATA_TIMING_CYCLE ) m->cycle = max(a->cycle, b->cycle);
2703 if (what & ATA_TIMING_UDMA ) m->udma = max(a->udma, b->udma);
2704}
2705
2dcb407e 2706static const struct ata_timing *ata_timing_find_mode(unsigned short speed)
452503f9
AC
2707{
2708 const struct ata_timing *t;
2709
2710 for (t = ata_timing; t->mode != speed; t++)
91190758 2711 if (t->mode == 0xFF)
452503f9 2712 return NULL;
2e9edbf8 2713 return t;
452503f9
AC
2714}
2715
2716int ata_timing_compute(struct ata_device *adev, unsigned short speed,
2717 struct ata_timing *t, int T, int UT)
2718{
2719 const struct ata_timing *s;
2720 struct ata_timing p;
2721
2722 /*
2e9edbf8 2723 * Find the mode.
75b1f2f8 2724 */
452503f9
AC
2725
2726 if (!(s = ata_timing_find_mode(speed)))
2727 return -EINVAL;
2728
75b1f2f8
AL
2729 memcpy(t, s, sizeof(*s));
2730
452503f9
AC
2731 /*
2732 * If the drive is an EIDE drive, it can tell us it needs extended
2733 * PIO/MW_DMA cycle timing.
2734 */
2735
2736 if (adev->id[ATA_ID_FIELD_VALID] & 2) { /* EIDE drive */
2737 memset(&p, 0, sizeof(p));
2dcb407e 2738 if (speed >= XFER_PIO_0 && speed <= XFER_SW_DMA_0) {
452503f9
AC
2739 if (speed <= XFER_PIO_2) p.cycle = p.cyc8b = adev->id[ATA_ID_EIDE_PIO];
2740 else p.cycle = p.cyc8b = adev->id[ATA_ID_EIDE_PIO_IORDY];
2dcb407e 2741 } else if (speed >= XFER_MW_DMA_0 && speed <= XFER_MW_DMA_2) {
452503f9
AC
2742 p.cycle = adev->id[ATA_ID_EIDE_DMA_MIN];
2743 }
2744 ata_timing_merge(&p, t, t, ATA_TIMING_CYCLE | ATA_TIMING_CYC8B);
2745 }
2746
2747 /*
2748 * Convert the timing to bus clock counts.
2749 */
2750
75b1f2f8 2751 ata_timing_quantize(t, t, T, UT);
452503f9
AC
2752
2753 /*
c893a3ae
RD
2754 * Even in DMA/UDMA modes we still use PIO access for IDENTIFY,
2755 * S.M.A.R.T * and some other commands. We have to ensure that the
2756 * DMA cycle timing is slower/equal than the fastest PIO timing.
452503f9
AC
2757 */
2758
fd3367af 2759 if (speed > XFER_PIO_6) {
452503f9
AC
2760 ata_timing_compute(adev, adev->pio_mode, &p, T, UT);
2761 ata_timing_merge(&p, t, t, ATA_TIMING_ALL);
2762 }
2763
2764 /*
c893a3ae 2765 * Lengthen active & recovery time so that cycle time is correct.
452503f9
AC
2766 */
2767
2768 if (t->act8b + t->rec8b < t->cyc8b) {
2769 t->act8b += (t->cyc8b - (t->act8b + t->rec8b)) / 2;
2770 t->rec8b = t->cyc8b - t->act8b;
2771 }
2772
2773 if (t->active + t->recover < t->cycle) {
2774 t->active += (t->cycle - (t->active + t->recover)) / 2;
2775 t->recover = t->cycle - t->active;
2776 }
a617c09f 2777
4f701d1e
AC
2778 /* In a few cases quantisation may produce enough errors to
2779 leave t->cycle too low for the sum of active and recovery
2780 if so we must correct this */
2781 if (t->active + t->recover > t->cycle)
2782 t->cycle = t->active + t->recover;
452503f9
AC
2783
2784 return 0;
2785}
2786
cf176e1a
TH
2787/**
2788 * ata_down_xfermask_limit - adjust dev xfer masks downward
cf176e1a 2789 * @dev: Device to adjust xfer masks
458337db 2790 * @sel: ATA_DNXFER_* selector
cf176e1a
TH
2791 *
2792 * Adjust xfer masks of @dev downward. Note that this function
2793 * does not apply the change. Invoking ata_set_mode() afterwards
2794 * will apply the limit.
2795 *
2796 * LOCKING:
2797 * Inherited from caller.
2798 *
2799 * RETURNS:
2800 * 0 on success, negative errno on failure
2801 */
458337db 2802int ata_down_xfermask_limit(struct ata_device *dev, unsigned int sel)
cf176e1a 2803{
458337db
TH
2804 char buf[32];
2805 unsigned int orig_mask, xfer_mask;
2806 unsigned int pio_mask, mwdma_mask, udma_mask;
2807 int quiet, highbit;
cf176e1a 2808
458337db
TH
2809 quiet = !!(sel & ATA_DNXFER_QUIET);
2810 sel &= ~ATA_DNXFER_QUIET;
cf176e1a 2811
458337db
TH
2812 xfer_mask = orig_mask = ata_pack_xfermask(dev->pio_mask,
2813 dev->mwdma_mask,
2814 dev->udma_mask);
2815 ata_unpack_xfermask(xfer_mask, &pio_mask, &mwdma_mask, &udma_mask);
cf176e1a 2816
458337db
TH
2817 switch (sel) {
2818 case ATA_DNXFER_PIO:
2819 highbit = fls(pio_mask) - 1;
2820 pio_mask &= ~(1 << highbit);
2821 break;
2822
2823 case ATA_DNXFER_DMA:
2824 if (udma_mask) {
2825 highbit = fls(udma_mask) - 1;
2826 udma_mask &= ~(1 << highbit);
2827 if (!udma_mask)
2828 return -ENOENT;
2829 } else if (mwdma_mask) {
2830 highbit = fls(mwdma_mask) - 1;
2831 mwdma_mask &= ~(1 << highbit);
2832 if (!mwdma_mask)
2833 return -ENOENT;
2834 }
2835 break;
2836
2837 case ATA_DNXFER_40C:
2838 udma_mask &= ATA_UDMA_MASK_40C;
2839 break;
2840
2841 case ATA_DNXFER_FORCE_PIO0:
2842 pio_mask &= 1;
2843 case ATA_DNXFER_FORCE_PIO:
2844 mwdma_mask = 0;
2845 udma_mask = 0;
2846 break;
2847
458337db
TH
2848 default:
2849 BUG();
2850 }
2851
2852 xfer_mask &= ata_pack_xfermask(pio_mask, mwdma_mask, udma_mask);
2853
2854 if (!(xfer_mask & ATA_MASK_PIO) || xfer_mask == orig_mask)
2855 return -ENOENT;
2856
2857 if (!quiet) {
2858 if (xfer_mask & (ATA_MASK_MWDMA | ATA_MASK_UDMA))
2859 snprintf(buf, sizeof(buf), "%s:%s",
2860 ata_mode_string(xfer_mask),
2861 ata_mode_string(xfer_mask & ATA_MASK_PIO));
2862 else
2863 snprintf(buf, sizeof(buf), "%s",
2864 ata_mode_string(xfer_mask));
2865
2866 ata_dev_printk(dev, KERN_WARNING,
2867 "limiting speed to %s\n", buf);
2868 }
cf176e1a
TH
2869
2870 ata_unpack_xfermask(xfer_mask, &dev->pio_mask, &dev->mwdma_mask,
2871 &dev->udma_mask);
2872
cf176e1a 2873 return 0;
cf176e1a
TH
2874}
2875
3373efd8 2876static int ata_dev_set_mode(struct ata_device *dev)
1da177e4 2877{
9af5c9c9 2878 struct ata_eh_context *ehc = &dev->link->eh_context;
83206a29
TH
2879 unsigned int err_mask;
2880 int rc;
1da177e4 2881
e8384607 2882 dev->flags &= ~ATA_DFLAG_PIO;
1da177e4
LT
2883 if (dev->xfer_shift == ATA_SHIFT_PIO)
2884 dev->flags |= ATA_DFLAG_PIO;
2885
3373efd8 2886 err_mask = ata_dev_set_xfermode(dev);
2dcb407e 2887
11750a40
A
2888 /* Old CFA may refuse this command, which is just fine */
2889 if (dev->xfer_shift == ATA_SHIFT_PIO && ata_id_is_cfa(dev->id))
2dcb407e
JG
2890 err_mask &= ~AC_ERR_DEV;
2891
0bc2a79a
AC
2892 /* Some very old devices and some bad newer ones fail any kind of
2893 SET_XFERMODE request but support PIO0-2 timings and no IORDY */
2894 if (dev->xfer_shift == ATA_SHIFT_PIO && !ata_id_has_iordy(dev->id) &&
2895 dev->pio_mode <= XFER_PIO_2)
2896 err_mask &= ~AC_ERR_DEV;
2dcb407e 2897
3acaf94b
AC
2898 /* Early MWDMA devices do DMA but don't allow DMA mode setting.
2899 Don't fail an MWDMA0 set IFF the device indicates it is in MWDMA0 */
2900 if (dev->xfer_shift == ATA_SHIFT_MWDMA &&
2901 dev->dma_mode == XFER_MW_DMA_0 &&
2902 (dev->id[63] >> 8) & 1)
2903 err_mask &= ~AC_ERR_DEV;
2904
83206a29 2905 if (err_mask) {
f15a1daf
TH
2906 ata_dev_printk(dev, KERN_ERR, "failed to set xfermode "
2907 "(err_mask=0x%x)\n", err_mask);
83206a29
TH
2908 return -EIO;
2909 }
1da177e4 2910
baa1e78a 2911 ehc->i.flags |= ATA_EHI_POST_SETMODE;
422c9daa 2912 rc = ata_dev_revalidate(dev, ATA_DEV_UNKNOWN, 0);
baa1e78a 2913 ehc->i.flags &= ~ATA_EHI_POST_SETMODE;
5eb45c02 2914 if (rc)
83206a29 2915 return rc;
48a8a14f 2916
23e71c3d
TH
2917 DPRINTK("xfer_shift=%u, xfer_mode=0x%x\n",
2918 dev->xfer_shift, (int)dev->xfer_mode);
1da177e4 2919
f15a1daf
TH
2920 ata_dev_printk(dev, KERN_INFO, "configured for %s\n",
2921 ata_mode_string(ata_xfer_mode2mask(dev->xfer_mode)));
83206a29 2922 return 0;
1da177e4
LT
2923}
2924
1da177e4 2925/**
04351821 2926 * ata_do_set_mode - Program timings and issue SET FEATURES - XFER
0260731f 2927 * @link: link on which timings will be programmed
e82cbdb9 2928 * @r_failed_dev: out paramter for failed device
1da177e4 2929 *
04351821
A
2930 * Standard implementation of the function used to tune and set
2931 * ATA device disk transfer mode (PIO3, UDMA6, etc.). If
2932 * ata_dev_set_mode() fails, pointer to the failing device is
e82cbdb9 2933 * returned in @r_failed_dev.
780a87f7 2934 *
1da177e4 2935 * LOCKING:
0cba632b 2936 * PCI/etc. bus probe sem.
e82cbdb9
TH
2937 *
2938 * RETURNS:
2939 * 0 on success, negative errno otherwise
1da177e4 2940 */
04351821 2941
0260731f 2942int ata_do_set_mode(struct ata_link *link, struct ata_device **r_failed_dev)
1da177e4 2943{
0260731f 2944 struct ata_port *ap = link->ap;
e8e0619f 2945 struct ata_device *dev;
f58229f8 2946 int rc = 0, used_dma = 0, found = 0;
3adcebb2 2947
a6d5a51c 2948 /* step 1: calculate xfer_mask */
f58229f8 2949 ata_link_for_each_dev(dev, link) {
acf356b1 2950 unsigned int pio_mask, dma_mask;
b3a70601 2951 unsigned int mode_mask;
a6d5a51c 2952
e1211e3f 2953 if (!ata_dev_enabled(dev))
a6d5a51c
TH
2954 continue;
2955
b3a70601
AC
2956 mode_mask = ATA_DMA_MASK_ATA;
2957 if (dev->class == ATA_DEV_ATAPI)
2958 mode_mask = ATA_DMA_MASK_ATAPI;
2959 else if (ata_id_is_cfa(dev->id))
2960 mode_mask = ATA_DMA_MASK_CFA;
2961
3373efd8 2962 ata_dev_xfermask(dev);
1da177e4 2963
acf356b1
TH
2964 pio_mask = ata_pack_xfermask(dev->pio_mask, 0, 0);
2965 dma_mask = ata_pack_xfermask(0, dev->mwdma_mask, dev->udma_mask);
b3a70601
AC
2966
2967 if (libata_dma_mask & mode_mask)
2968 dma_mask = ata_pack_xfermask(0, dev->mwdma_mask, dev->udma_mask);
2969 else
2970 dma_mask = 0;
2971
acf356b1
TH
2972 dev->pio_mode = ata_xfer_mask2mode(pio_mask);
2973 dev->dma_mode = ata_xfer_mask2mode(dma_mask);
5444a6f4 2974
4f65977d 2975 found = 1;
5444a6f4
AC
2976 if (dev->dma_mode)
2977 used_dma = 1;
a6d5a51c 2978 }
4f65977d 2979 if (!found)
e82cbdb9 2980 goto out;
a6d5a51c
TH
2981
2982 /* step 2: always set host PIO timings */
f58229f8 2983 ata_link_for_each_dev(dev, link) {
e8e0619f
TH
2984 if (!ata_dev_enabled(dev))
2985 continue;
2986
2987 if (!dev->pio_mode) {
f15a1daf 2988 ata_dev_printk(dev, KERN_WARNING, "no PIO support\n");
e8e0619f 2989 rc = -EINVAL;
e82cbdb9 2990 goto out;
e8e0619f
TH
2991 }
2992
2993 dev->xfer_mode = dev->pio_mode;
2994 dev->xfer_shift = ATA_SHIFT_PIO;
2995 if (ap->ops->set_piomode)
2996 ap->ops->set_piomode(ap, dev);
2997 }
1da177e4 2998
a6d5a51c 2999 /* step 3: set host DMA timings */
f58229f8 3000 ata_link_for_each_dev(dev, link) {
e8e0619f
TH
3001 if (!ata_dev_enabled(dev) || !dev->dma_mode)
3002 continue;
3003
3004 dev->xfer_mode = dev->dma_mode;
3005 dev->xfer_shift = ata_xfer_mode2shift(dev->dma_mode);
3006 if (ap->ops->set_dmamode)
3007 ap->ops->set_dmamode(ap, dev);
3008 }
1da177e4
LT
3009
3010 /* step 4: update devices' xfer mode */
f58229f8 3011 ata_link_for_each_dev(dev, link) {
18d90deb 3012 /* don't update suspended devices' xfer mode */
9666f400 3013 if (!ata_dev_enabled(dev))
83206a29
TH
3014 continue;
3015
3373efd8 3016 rc = ata_dev_set_mode(dev);
5bbc53f4 3017 if (rc)
e82cbdb9 3018 goto out;
83206a29 3019 }
1da177e4 3020
e8e0619f
TH
3021 /* Record simplex status. If we selected DMA then the other
3022 * host channels are not permitted to do so.
5444a6f4 3023 */
cca3974e 3024 if (used_dma && (ap->host->flags & ATA_HOST_SIMPLEX))
032af1ce 3025 ap->host->simplex_claimed = ap;
5444a6f4 3026
e82cbdb9
TH
3027 out:
3028 if (rc)
3029 *r_failed_dev = dev;
3030 return rc;
1da177e4
LT
3031}
3032
04351821
A
3033/**
3034 * ata_set_mode - Program timings and issue SET FEATURES - XFER
0260731f 3035 * @link: link on which timings will be programmed
04351821
A
3036 * @r_failed_dev: out paramter for failed device
3037 *
3038 * Set ATA device disk transfer mode (PIO3, UDMA6, etc.). If
3039 * ata_set_mode() fails, pointer to the failing device is
3040 * returned in @r_failed_dev.
3041 *
3042 * LOCKING:
3043 * PCI/etc. bus probe sem.
3044 *
3045 * RETURNS:
3046 * 0 on success, negative errno otherwise
3047 */
0260731f 3048int ata_set_mode(struct ata_link *link, struct ata_device **r_failed_dev)
04351821 3049{
0260731f
TH
3050 struct ata_port *ap = link->ap;
3051
04351821
A
3052 /* has private set_mode? */
3053 if (ap->ops->set_mode)
0260731f
TH
3054 return ap->ops->set_mode(link, r_failed_dev);
3055 return ata_do_set_mode(link, r_failed_dev);
04351821
A
3056}
3057
1fdffbce
JG
3058/**
3059 * ata_tf_to_host - issue ATA taskfile to host controller
3060 * @ap: port to which command is being issued
3061 * @tf: ATA taskfile register set
3062 *
3063 * Issues ATA taskfile register set to ATA host controller,
3064 * with proper synchronization with interrupt handler and
3065 * other threads.
3066 *
3067 * LOCKING:
cca3974e 3068 * spin_lock_irqsave(host lock)
1fdffbce
JG
3069 */
3070
3071static inline void ata_tf_to_host(struct ata_port *ap,
3072 const struct ata_taskfile *tf)
3073{
3074 ap->ops->tf_load(ap, tf);
3075 ap->ops->exec_command(ap, tf);
3076}
3077
1da177e4
LT
3078/**
3079 * ata_busy_sleep - sleep until BSY clears, or timeout
3080 * @ap: port containing status register to be polled
3081 * @tmout_pat: impatience timeout
3082 * @tmout: overall timeout
3083 *
780a87f7
JG
3084 * Sleep until ATA Status register bit BSY clears,
3085 * or a timeout occurs.
3086 *
d1adc1bb
TH
3087 * LOCKING:
3088 * Kernel thread context (may sleep).
3089 *
3090 * RETURNS:
3091 * 0 on success, -errno otherwise.
1da177e4 3092 */
d1adc1bb
TH
3093int ata_busy_sleep(struct ata_port *ap,
3094 unsigned long tmout_pat, unsigned long tmout)
1da177e4
LT
3095{
3096 unsigned long timer_start, timeout;
3097 u8 status;
3098
3099 status = ata_busy_wait(ap, ATA_BUSY, 300);
3100 timer_start = jiffies;
3101 timeout = timer_start + tmout_pat;
d1adc1bb
TH
3102 while (status != 0xff && (status & ATA_BUSY) &&
3103 time_before(jiffies, timeout)) {
1da177e4
LT
3104 msleep(50);
3105 status = ata_busy_wait(ap, ATA_BUSY, 3);
3106 }
3107
d1adc1bb 3108 if (status != 0xff && (status & ATA_BUSY))
f15a1daf 3109 ata_port_printk(ap, KERN_WARNING,
35aa7a43
JG
3110 "port is slow to respond, please be patient "
3111 "(Status 0x%x)\n", status);
1da177e4
LT
3112
3113 timeout = timer_start + tmout;
d1adc1bb
TH
3114 while (status != 0xff && (status & ATA_BUSY) &&
3115 time_before(jiffies, timeout)) {
1da177e4
LT
3116 msleep(50);
3117 status = ata_chk_status(ap);
3118 }
3119
d1adc1bb
TH
3120 if (status == 0xff)
3121 return -ENODEV;
3122
1da177e4 3123 if (status & ATA_BUSY) {
f15a1daf 3124 ata_port_printk(ap, KERN_ERR, "port failed to respond "
35aa7a43
JG
3125 "(%lu secs, Status 0x%x)\n",
3126 tmout / HZ, status);
d1adc1bb 3127 return -EBUSY;
1da177e4
LT
3128 }
3129
3130 return 0;
3131}
3132
88ff6eaf
TH
3133/**
3134 * ata_wait_after_reset - wait before checking status after reset
3135 * @ap: port containing status register to be polled
3136 * @deadline: deadline jiffies for the operation
3137 *
3138 * After reset, we need to pause a while before reading status.
3139 * Also, certain combination of controller and device report 0xff
3140 * for some duration (e.g. until SATA PHY is up and running)
3141 * which is interpreted as empty port in ATA world. This
3142 * function also waits for such devices to get out of 0xff
3143 * status.
3144 *
3145 * LOCKING:
3146 * Kernel thread context (may sleep).
3147 */
3148void ata_wait_after_reset(struct ata_port *ap, unsigned long deadline)
3149{
3150 unsigned long until = jiffies + ATA_TMOUT_FF_WAIT;
3151
3152 if (time_before(until, deadline))
3153 deadline = until;
3154
3155 /* Spec mandates ">= 2ms" before checking status. We wait
3156 * 150ms, because that was the magic delay used for ATAPI
3157 * devices in Hale Landis's ATADRVR, for the period of time
3158 * between when the ATA command register is written, and then
3159 * status is checked. Because waiting for "a while" before
3160 * checking status is fine, post SRST, we perform this magic
3161 * delay here as well.
3162 *
3163 * Old drivers/ide uses the 2mS rule and then waits for ready.
3164 */
3165 msleep(150);
3166
3167 /* Wait for 0xff to clear. Some SATA devices take a long time
3168 * to clear 0xff after reset. For example, HHD424020F7SV00
3169 * iVDR needs >= 800ms while. Quantum GoVault needs even more
3170 * than that.
3171 */
3172 while (1) {
3173 u8 status = ata_chk_status(ap);
3174
3175 if (status != 0xff || time_after(jiffies, deadline))
3176 return;
3177
3178 msleep(50);
3179 }
3180}
3181
d4b2bab4
TH
3182/**
3183 * ata_wait_ready - sleep until BSY clears, or timeout
3184 * @ap: port containing status register to be polled
3185 * @deadline: deadline jiffies for the operation
3186 *
3187 * Sleep until ATA Status register bit BSY clears, or timeout
3188 * occurs.
3189 *
3190 * LOCKING:
3191 * Kernel thread context (may sleep).
3192 *
3193 * RETURNS:
3194 * 0 on success, -errno otherwise.
3195 */
3196int ata_wait_ready(struct ata_port *ap, unsigned long deadline)
3197{
3198 unsigned long start = jiffies;
3199 int warned = 0;
3200
3201 while (1) {
3202 u8 status = ata_chk_status(ap);
3203 unsigned long now = jiffies;
3204
3205 if (!(status & ATA_BUSY))
3206 return 0;
936fd732 3207 if (!ata_link_online(&ap->link) && status == 0xff)
d4b2bab4
TH
3208 return -ENODEV;
3209 if (time_after(now, deadline))
3210 return -EBUSY;
3211
3212 if (!warned && time_after(now, start + 5 * HZ) &&
3213 (deadline - now > 3 * HZ)) {
3214 ata_port_printk(ap, KERN_WARNING,
3215 "port is slow to respond, please be patient "
3216 "(Status 0x%x)\n", status);
3217 warned = 1;
3218 }
3219
3220 msleep(50);
3221 }
3222}
3223
3224static int ata_bus_post_reset(struct ata_port *ap, unsigned int devmask,
3225 unsigned long deadline)
1da177e4
LT
3226{
3227 struct ata_ioports *ioaddr = &ap->ioaddr;
3228 unsigned int dev0 = devmask & (1 << 0);
3229 unsigned int dev1 = devmask & (1 << 1);
9b89391c 3230 int rc, ret = 0;
1da177e4
LT
3231
3232 /* if device 0 was found in ata_devchk, wait for its
3233 * BSY bit to clear
3234 */
d4b2bab4
TH
3235 if (dev0) {
3236 rc = ata_wait_ready(ap, deadline);
9b89391c
TH
3237 if (rc) {
3238 if (rc != -ENODEV)
3239 return rc;
3240 ret = rc;
3241 }
d4b2bab4 3242 }
1da177e4 3243
e141d999
TH
3244 /* if device 1 was found in ata_devchk, wait for register
3245 * access briefly, then wait for BSY to clear.
1da177e4 3246 */
e141d999
TH
3247 if (dev1) {
3248 int i;
1da177e4
LT
3249
3250 ap->ops->dev_select(ap, 1);
e141d999
TH
3251
3252 /* Wait for register access. Some ATAPI devices fail
3253 * to set nsect/lbal after reset, so don't waste too
3254 * much time on it. We're gonna wait for !BSY anyway.
3255 */
3256 for (i = 0; i < 2; i++) {
3257 u8 nsect, lbal;
3258
3259 nsect = ioread8(ioaddr->nsect_addr);
3260 lbal = ioread8(ioaddr->lbal_addr);
3261 if ((nsect == 1) && (lbal == 1))
3262 break;
3263 msleep(50); /* give drive a breather */
3264 }
3265
d4b2bab4 3266 rc = ata_wait_ready(ap, deadline);
9b89391c
TH
3267 if (rc) {
3268 if (rc != -ENODEV)
3269 return rc;
3270 ret = rc;
3271 }
d4b2bab4 3272 }
1da177e4
LT
3273
3274 /* is all this really necessary? */
3275 ap->ops->dev_select(ap, 0);
3276 if (dev1)
3277 ap->ops->dev_select(ap, 1);
3278 if (dev0)
3279 ap->ops->dev_select(ap, 0);
d4b2bab4 3280
9b89391c 3281 return ret;
1da177e4
LT
3282}
3283
d4b2bab4
TH
3284static int ata_bus_softreset(struct ata_port *ap, unsigned int devmask,
3285 unsigned long deadline)
1da177e4
LT
3286{
3287 struct ata_ioports *ioaddr = &ap->ioaddr;
3288
44877b4e 3289 DPRINTK("ata%u: bus reset via SRST\n", ap->print_id);
1da177e4
LT
3290
3291 /* software reset. causes dev0 to be selected */
0d5ff566
TH
3292 iowrite8(ap->ctl, ioaddr->ctl_addr);
3293 udelay(20); /* FIXME: flush */
3294 iowrite8(ap->ctl | ATA_SRST, ioaddr->ctl_addr);
3295 udelay(20); /* FIXME: flush */
3296 iowrite8(ap->ctl, ioaddr->ctl_addr);
1da177e4 3297
88ff6eaf
TH
3298 /* wait a while before checking status */
3299 ata_wait_after_reset(ap, deadline);
1da177e4 3300
2e9edbf8 3301 /* Before we perform post reset processing we want to see if
298a41ca
TH
3302 * the bus shows 0xFF because the odd clown forgets the D7
3303 * pulldown resistor.
3304 */
150981b0 3305 if (ata_chk_status(ap) == 0xFF)
9b89391c 3306 return -ENODEV;
09c7ad79 3307
d4b2bab4 3308 return ata_bus_post_reset(ap, devmask, deadline);
1da177e4
LT
3309}
3310
3311/**
3312 * ata_bus_reset - reset host port and associated ATA channel
3313 * @ap: port to reset
3314 *
3315 * This is typically the first time we actually start issuing
3316 * commands to the ATA channel. We wait for BSY to clear, then
3317 * issue EXECUTE DEVICE DIAGNOSTIC command, polling for its
3318 * result. Determine what devices, if any, are on the channel
3319 * by looking at the device 0/1 error register. Look at the signature
3320 * stored in each device's taskfile registers, to determine if
3321 * the device is ATA or ATAPI.
3322 *
3323 * LOCKING:
0cba632b 3324 * PCI/etc. bus probe sem.
cca3974e 3325 * Obtains host lock.
1da177e4
LT
3326 *
3327 * SIDE EFFECTS:
198e0fed 3328 * Sets ATA_FLAG_DISABLED if bus reset fails.
1da177e4
LT
3329 */
3330
3331void ata_bus_reset(struct ata_port *ap)
3332{
9af5c9c9 3333 struct ata_device *device = ap->link.device;
1da177e4
LT
3334 struct ata_ioports *ioaddr = &ap->ioaddr;
3335 unsigned int slave_possible = ap->flags & ATA_FLAG_SLAVE_POSS;
3336 u8 err;
aec5c3c1 3337 unsigned int dev0, dev1 = 0, devmask = 0;
9b89391c 3338 int rc;
1da177e4 3339
44877b4e 3340 DPRINTK("ENTER, host %u, port %u\n", ap->print_id, ap->port_no);
1da177e4
LT
3341
3342 /* determine if device 0/1 are present */
3343 if (ap->flags & ATA_FLAG_SATA_RESET)
3344 dev0 = 1;
3345 else {
3346 dev0 = ata_devchk(ap, 0);
3347 if (slave_possible)
3348 dev1 = ata_devchk(ap, 1);
3349 }
3350
3351 if (dev0)
3352 devmask |= (1 << 0);
3353 if (dev1)
3354 devmask |= (1 << 1);
3355
3356 /* select device 0 again */
3357 ap->ops->dev_select(ap, 0);
3358
3359 /* issue bus reset */
9b89391c
TH
3360 if (ap->flags & ATA_FLAG_SRST) {
3361 rc = ata_bus_softreset(ap, devmask, jiffies + 40 * HZ);
3362 if (rc && rc != -ENODEV)
aec5c3c1 3363 goto err_out;
9b89391c 3364 }
1da177e4
LT
3365
3366 /*
3367 * determine by signature whether we have ATA or ATAPI devices
3368 */
3f19859e 3369 device[0].class = ata_dev_try_classify(&device[0], dev0, &err);
1da177e4 3370 if ((slave_possible) && (err != 0x81))
3f19859e 3371 device[1].class = ata_dev_try_classify(&device[1], dev1, &err);
1da177e4 3372
1da177e4 3373 /* is double-select really necessary? */
9af5c9c9 3374 if (device[1].class != ATA_DEV_NONE)
1da177e4 3375 ap->ops->dev_select(ap, 1);
9af5c9c9 3376 if (device[0].class != ATA_DEV_NONE)
1da177e4
LT
3377 ap->ops->dev_select(ap, 0);
3378
3379 /* if no devices were detected, disable this port */
9af5c9c9
TH
3380 if ((device[0].class == ATA_DEV_NONE) &&
3381 (device[1].class == ATA_DEV_NONE))
1da177e4
LT
3382 goto err_out;
3383
3384 if (ap->flags & (ATA_FLAG_SATA_RESET | ATA_FLAG_SRST)) {
3385 /* set up device control for ATA_FLAG_SATA_RESET */
0d5ff566 3386 iowrite8(ap->ctl, ioaddr->ctl_addr);
1da177e4
LT
3387 }
3388
3389 DPRINTK("EXIT\n");
3390 return;
3391
3392err_out:
f15a1daf 3393 ata_port_printk(ap, KERN_ERR, "disabling port\n");
ac8869d5 3394 ata_port_disable(ap);
1da177e4
LT
3395
3396 DPRINTK("EXIT\n");
3397}
3398
d7bb4cc7 3399/**
936fd732
TH
3400 * sata_link_debounce - debounce SATA phy status
3401 * @link: ATA link to debounce SATA phy status for
d7bb4cc7 3402 * @params: timing parameters { interval, duratinon, timeout } in msec
d4b2bab4 3403 * @deadline: deadline jiffies for the operation
d7bb4cc7 3404 *
936fd732 3405* Make sure SStatus of @link reaches stable state, determined by
d7bb4cc7
TH
3406 * holding the same value where DET is not 1 for @duration polled
3407 * every @interval, before @timeout. Timeout constraints the
d4b2bab4
TH
3408 * beginning of the stable state. Because DET gets stuck at 1 on
3409 * some controllers after hot unplugging, this functions waits
d7bb4cc7
TH
3410 * until timeout then returns 0 if DET is stable at 1.
3411 *
d4b2bab4
TH
3412 * @timeout is further limited by @deadline. The sooner of the
3413 * two is used.
3414 *
d7bb4cc7
TH
3415 * LOCKING:
3416 * Kernel thread context (may sleep)
3417 *
3418 * RETURNS:
3419 * 0 on success, -errno on failure.
3420 */
936fd732
TH
3421int sata_link_debounce(struct ata_link *link, const unsigned long *params,
3422 unsigned long deadline)
7a7921e8 3423{
d7bb4cc7 3424 unsigned long interval_msec = params[0];
d4b2bab4
TH
3425 unsigned long duration = msecs_to_jiffies(params[1]);
3426 unsigned long last_jiffies, t;
d7bb4cc7
TH
3427 u32 last, cur;
3428 int rc;
3429
d4b2bab4
TH
3430 t = jiffies + msecs_to_jiffies(params[2]);
3431 if (time_before(t, deadline))
3432 deadline = t;
3433
936fd732 3434 if ((rc = sata_scr_read(link, SCR_STATUS, &cur)))
d7bb4cc7
TH
3435 return rc;
3436 cur &= 0xf;
3437
3438 last = cur;
3439 last_jiffies = jiffies;
3440
3441 while (1) {
3442 msleep(interval_msec);
936fd732 3443 if ((rc = sata_scr_read(link, SCR_STATUS, &cur)))
d7bb4cc7
TH
3444 return rc;
3445 cur &= 0xf;
3446
3447 /* DET stable? */
3448 if (cur == last) {
d4b2bab4 3449 if (cur == 1 && time_before(jiffies, deadline))
d7bb4cc7
TH
3450 continue;
3451 if (time_after(jiffies, last_jiffies + duration))
3452 return 0;
3453 continue;
3454 }
3455
3456 /* unstable, start over */
3457 last = cur;
3458 last_jiffies = jiffies;
3459
f1545154
TH
3460 /* Check deadline. If debouncing failed, return
3461 * -EPIPE to tell upper layer to lower link speed.
3462 */
d4b2bab4 3463 if (time_after(jiffies, deadline))
f1545154 3464 return -EPIPE;
d7bb4cc7
TH
3465 }
3466}
3467
3468/**
936fd732
TH
3469 * sata_link_resume - resume SATA link
3470 * @link: ATA link to resume SATA
d7bb4cc7 3471 * @params: timing parameters { interval, duratinon, timeout } in msec
d4b2bab4 3472 * @deadline: deadline jiffies for the operation
d7bb4cc7 3473 *
936fd732 3474 * Resume SATA phy @link and debounce it.
d7bb4cc7
TH
3475 *
3476 * LOCKING:
3477 * Kernel thread context (may sleep)
3478 *
3479 * RETURNS:
3480 * 0 on success, -errno on failure.
3481 */
936fd732
TH
3482int sata_link_resume(struct ata_link *link, const unsigned long *params,
3483 unsigned long deadline)
d7bb4cc7
TH
3484{
3485 u32 scontrol;
81952c54
TH
3486 int rc;
3487
936fd732 3488 if ((rc = sata_scr_read(link, SCR_CONTROL, &scontrol)))
81952c54 3489 return rc;
7a7921e8 3490
852ee16a 3491 scontrol = (scontrol & 0x0f0) | 0x300;
81952c54 3492
936fd732 3493 if ((rc = sata_scr_write(link, SCR_CONTROL, scontrol)))
81952c54 3494 return rc;
7a7921e8 3495
d7bb4cc7
TH
3496 /* Some PHYs react badly if SStatus is pounded immediately
3497 * after resuming. Delay 200ms before debouncing.
3498 */
3499 msleep(200);
7a7921e8 3500
936fd732 3501 return sata_link_debounce(link, params, deadline);
7a7921e8
TH
3502}
3503
f5914a46
TH
3504/**
3505 * ata_std_prereset - prepare for reset
cc0680a5 3506 * @link: ATA link to be reset
d4b2bab4 3507 * @deadline: deadline jiffies for the operation
f5914a46 3508 *
cc0680a5 3509 * @link is about to be reset. Initialize it. Failure from
b8cffc6a
TH
3510 * prereset makes libata abort whole reset sequence and give up
3511 * that port, so prereset should be best-effort. It does its
3512 * best to prepare for reset sequence but if things go wrong, it
3513 * should just whine, not fail.
f5914a46
TH
3514 *
3515 * LOCKING:
3516 * Kernel thread context (may sleep)
3517 *
3518 * RETURNS:
3519 * 0 on success, -errno otherwise.
3520 */
cc0680a5 3521int ata_std_prereset(struct ata_link *link, unsigned long deadline)
f5914a46 3522{
cc0680a5 3523 struct ata_port *ap = link->ap;
936fd732 3524 struct ata_eh_context *ehc = &link->eh_context;
e9c83914 3525 const unsigned long *timing = sata_ehc_deb_timing(ehc);
f5914a46
TH
3526 int rc;
3527
31daabda 3528 /* handle link resume */
28324304 3529 if ((ehc->i.flags & ATA_EHI_RESUME_LINK) &&
0c88758b 3530 (link->flags & ATA_LFLAG_HRST_TO_RESUME))
28324304
TH
3531 ehc->i.action |= ATA_EH_HARDRESET;
3532
633273a3
TH
3533 /* Some PMPs don't work with only SRST, force hardreset if PMP
3534 * is supported.
3535 */
3536 if (ap->flags & ATA_FLAG_PMP)
3537 ehc->i.action |= ATA_EH_HARDRESET;
3538
f5914a46
TH
3539 /* if we're about to do hardreset, nothing more to do */
3540 if (ehc->i.action & ATA_EH_HARDRESET)
3541 return 0;
3542
936fd732 3543 /* if SATA, resume link */
a16abc0b 3544 if (ap->flags & ATA_FLAG_SATA) {
936fd732 3545 rc = sata_link_resume(link, timing, deadline);
b8cffc6a
TH
3546 /* whine about phy resume failure but proceed */
3547 if (rc && rc != -EOPNOTSUPP)
cc0680a5 3548 ata_link_printk(link, KERN_WARNING, "failed to resume "
f5914a46 3549 "link for reset (errno=%d)\n", rc);
f5914a46
TH
3550 }
3551
3552 /* Wait for !BSY if the controller can wait for the first D2H
3553 * Reg FIS and we don't know that no device is attached.
3554 */
0c88758b 3555 if (!(link->flags & ATA_LFLAG_SKIP_D2H_BSY) && !ata_link_offline(link)) {
b8cffc6a 3556 rc = ata_wait_ready(ap, deadline);
6dffaf61 3557 if (rc && rc != -ENODEV) {
cc0680a5 3558 ata_link_printk(link, KERN_WARNING, "device not ready "
b8cffc6a
TH
3559 "(errno=%d), forcing hardreset\n", rc);
3560 ehc->i.action |= ATA_EH_HARDRESET;
3561 }
3562 }
f5914a46
TH
3563
3564 return 0;
3565}
3566
c2bd5804
TH
3567/**
3568 * ata_std_softreset - reset host port via ATA SRST
cc0680a5 3569 * @link: ATA link to reset
c2bd5804 3570 * @classes: resulting classes of attached devices
d4b2bab4 3571 * @deadline: deadline jiffies for the operation
c2bd5804 3572 *
52783c5d 3573 * Reset host port using ATA SRST.
c2bd5804
TH
3574 *
3575 * LOCKING:
3576 * Kernel thread context (may sleep)
3577 *
3578 * RETURNS:
3579 * 0 on success, -errno otherwise.
3580 */
cc0680a5 3581int ata_std_softreset(struct ata_link *link, unsigned int *classes,
d4b2bab4 3582 unsigned long deadline)
c2bd5804 3583{
cc0680a5 3584 struct ata_port *ap = link->ap;
c2bd5804 3585 unsigned int slave_possible = ap->flags & ATA_FLAG_SLAVE_POSS;
d4b2bab4
TH
3586 unsigned int devmask = 0;
3587 int rc;
c2bd5804
TH
3588 u8 err;
3589
3590 DPRINTK("ENTER\n");
3591
936fd732 3592 if (ata_link_offline(link)) {
3a39746a
TH
3593 classes[0] = ATA_DEV_NONE;
3594 goto out;
3595 }
3596
c2bd5804
TH
3597 /* determine if device 0/1 are present */
3598 if (ata_devchk(ap, 0))
3599 devmask |= (1 << 0);
3600 if (slave_possible && ata_devchk(ap, 1))
3601 devmask |= (1 << 1);
3602
c2bd5804
TH
3603 /* select device 0 again */
3604 ap->ops->dev_select(ap, 0);
3605
3606 /* issue bus reset */
3607 DPRINTK("about to softreset, devmask=%x\n", devmask);
d4b2bab4 3608 rc = ata_bus_softreset(ap, devmask, deadline);
9b89391c 3609 /* if link is occupied, -ENODEV too is an error */
936fd732 3610 if (rc && (rc != -ENODEV || sata_scr_valid(link))) {
cc0680a5 3611 ata_link_printk(link, KERN_ERR, "SRST failed (errno=%d)\n", rc);
d4b2bab4 3612 return rc;
c2bd5804
TH
3613 }
3614
3615 /* determine by signature whether we have ATA or ATAPI devices */
3f19859e
TH
3616 classes[0] = ata_dev_try_classify(&link->device[0],
3617 devmask & (1 << 0), &err);
c2bd5804 3618 if (slave_possible && err != 0x81)
3f19859e
TH
3619 classes[1] = ata_dev_try_classify(&link->device[1],
3620 devmask & (1 << 1), &err);
c2bd5804 3621
3a39746a 3622 out:
c2bd5804
TH
3623 DPRINTK("EXIT, classes[0]=%u [1]=%u\n", classes[0], classes[1]);
3624 return 0;
3625}
3626
3627/**
cc0680a5
TH
3628 * sata_link_hardreset - reset link via SATA phy reset
3629 * @link: link to reset
b6103f6d 3630 * @timing: timing parameters { interval, duratinon, timeout } in msec
d4b2bab4 3631 * @deadline: deadline jiffies for the operation
c2bd5804 3632 *
cc0680a5 3633 * SATA phy-reset @link using DET bits of SControl register.
c2bd5804
TH
3634 *
3635 * LOCKING:
3636 * Kernel thread context (may sleep)
3637 *
3638 * RETURNS:
3639 * 0 on success, -errno otherwise.
3640 */
cc0680a5 3641int sata_link_hardreset(struct ata_link *link, const unsigned long *timing,
d4b2bab4 3642 unsigned long deadline)
c2bd5804 3643{
852ee16a 3644 u32 scontrol;
81952c54 3645 int rc;
852ee16a 3646
c2bd5804
TH
3647 DPRINTK("ENTER\n");
3648
936fd732 3649 if (sata_set_spd_needed(link)) {
1c3fae4d
TH
3650 /* SATA spec says nothing about how to reconfigure
3651 * spd. To be on the safe side, turn off phy during
3652 * reconfiguration. This works for at least ICH7 AHCI
3653 * and Sil3124.
3654 */
936fd732 3655 if ((rc = sata_scr_read(link, SCR_CONTROL, &scontrol)))
b6103f6d 3656 goto out;
81952c54 3657
a34b6fc0 3658 scontrol = (scontrol & 0x0f0) | 0x304;
81952c54 3659
936fd732 3660 if ((rc = sata_scr_write(link, SCR_CONTROL, scontrol)))
b6103f6d 3661 goto out;
1c3fae4d 3662
936fd732 3663 sata_set_spd(link);
1c3fae4d
TH
3664 }
3665
3666 /* issue phy wake/reset */
936fd732 3667 if ((rc = sata_scr_read(link, SCR_CONTROL, &scontrol)))
b6103f6d 3668 goto out;
81952c54 3669
852ee16a 3670 scontrol = (scontrol & 0x0f0) | 0x301;
81952c54 3671
936fd732 3672 if ((rc = sata_scr_write_flush(link, SCR_CONTROL, scontrol)))
b6103f6d 3673 goto out;
c2bd5804 3674
1c3fae4d 3675 /* Couldn't find anything in SATA I/II specs, but AHCI-1.1
c2bd5804
TH
3676 * 10.4.2 says at least 1 ms.
3677 */
3678 msleep(1);
3679
936fd732
TH
3680 /* bring link back */
3681 rc = sata_link_resume(link, timing, deadline);
b6103f6d
TH
3682 out:
3683 DPRINTK("EXIT, rc=%d\n", rc);
3684 return rc;
3685}
3686
3687/**
3688 * sata_std_hardreset - reset host port via SATA phy reset
cc0680a5 3689 * @link: link to reset
b6103f6d 3690 * @class: resulting class of attached device
d4b2bab4 3691 * @deadline: deadline jiffies for the operation
b6103f6d
TH
3692 *
3693 * SATA phy-reset host port using DET bits of SControl register,
3694 * wait for !BSY and classify the attached device.
3695 *
3696 * LOCKING:
3697 * Kernel thread context (may sleep)
3698 *
3699 * RETURNS:
3700 * 0 on success, -errno otherwise.
3701 */
cc0680a5 3702int sata_std_hardreset(struct ata_link *link, unsigned int *class,
d4b2bab4 3703 unsigned long deadline)
b6103f6d 3704{
cc0680a5 3705 struct ata_port *ap = link->ap;
936fd732 3706 const unsigned long *timing = sata_ehc_deb_timing(&link->eh_context);
b6103f6d
TH
3707 int rc;
3708
3709 DPRINTK("ENTER\n");
3710
3711 /* do hardreset */
cc0680a5 3712 rc = sata_link_hardreset(link, timing, deadline);
b6103f6d 3713 if (rc) {
cc0680a5 3714 ata_link_printk(link, KERN_ERR,
b6103f6d
TH
3715 "COMRESET failed (errno=%d)\n", rc);
3716 return rc;
3717 }
c2bd5804 3718
c2bd5804 3719 /* TODO: phy layer with polling, timeouts, etc. */
936fd732 3720 if (ata_link_offline(link)) {
c2bd5804
TH
3721 *class = ATA_DEV_NONE;
3722 DPRINTK("EXIT, link offline\n");
3723 return 0;
3724 }
3725
88ff6eaf
TH
3726 /* wait a while before checking status */
3727 ata_wait_after_reset(ap, deadline);
34fee227 3728
633273a3
TH
3729 /* If PMP is supported, we have to do follow-up SRST. Note
3730 * that some PMPs don't send D2H Reg FIS after hardreset at
3731 * all if the first port is empty. Wait for it just for a
3732 * second and request follow-up SRST.
3733 */
3734 if (ap->flags & ATA_FLAG_PMP) {
3735 ata_wait_ready(ap, jiffies + HZ);
3736 return -EAGAIN;
3737 }
3738
d4b2bab4 3739 rc = ata_wait_ready(ap, deadline);
9b89391c
TH
3740 /* link occupied, -ENODEV too is an error */
3741 if (rc) {
cc0680a5 3742 ata_link_printk(link, KERN_ERR,
d4b2bab4
TH
3743 "COMRESET failed (errno=%d)\n", rc);
3744 return rc;
c2bd5804
TH
3745 }
3746
3a39746a
TH
3747 ap->ops->dev_select(ap, 0); /* probably unnecessary */
3748
3f19859e 3749 *class = ata_dev_try_classify(link->device, 1, NULL);
c2bd5804
TH
3750
3751 DPRINTK("EXIT, class=%u\n", *class);
3752 return 0;
3753}
3754
3755/**
3756 * ata_std_postreset - standard postreset callback
cc0680a5 3757 * @link: the target ata_link
c2bd5804
TH
3758 * @classes: classes of attached devices
3759 *
3760 * This function is invoked after a successful reset. Note that
3761 * the device might have been reset more than once using
3762 * different reset methods before postreset is invoked.
c2bd5804 3763 *
c2bd5804
TH
3764 * LOCKING:
3765 * Kernel thread context (may sleep)
3766 */
cc0680a5 3767void ata_std_postreset(struct ata_link *link, unsigned int *classes)
c2bd5804 3768{
cc0680a5 3769 struct ata_port *ap = link->ap;
dc2b3515
TH
3770 u32 serror;
3771
c2bd5804
TH
3772 DPRINTK("ENTER\n");
3773
c2bd5804 3774 /* print link status */
936fd732 3775 sata_print_link_status(link);
c2bd5804 3776
dc2b3515 3777 /* clear SError */
936fd732
TH
3778 if (sata_scr_read(link, SCR_ERROR, &serror) == 0)
3779 sata_scr_write(link, SCR_ERROR, serror);
dc2b3515 3780
c2bd5804
TH
3781 /* is double-select really necessary? */
3782 if (classes[0] != ATA_DEV_NONE)
3783 ap->ops->dev_select(ap, 1);
3784 if (classes[1] != ATA_DEV_NONE)
3785 ap->ops->dev_select(ap, 0);
3786
3a39746a
TH
3787 /* bail out if no device is present */
3788 if (classes[0] == ATA_DEV_NONE && classes[1] == ATA_DEV_NONE) {
3789 DPRINTK("EXIT, no device\n");
3790 return;
3791 }
3792
3793 /* set up device control */
0d5ff566
TH
3794 if (ap->ioaddr.ctl_addr)
3795 iowrite8(ap->ctl, ap->ioaddr.ctl_addr);
c2bd5804
TH
3796
3797 DPRINTK("EXIT\n");
3798}
3799
623a3128
TH
3800/**
3801 * ata_dev_same_device - Determine whether new ID matches configured device
623a3128
TH
3802 * @dev: device to compare against
3803 * @new_class: class of the new device
3804 * @new_id: IDENTIFY page of the new device
3805 *
3806 * Compare @new_class and @new_id against @dev and determine
3807 * whether @dev is the device indicated by @new_class and
3808 * @new_id.
3809 *
3810 * LOCKING:
3811 * None.
3812 *
3813 * RETURNS:
3814 * 1 if @dev matches @new_class and @new_id, 0 otherwise.
3815 */
3373efd8
TH
3816static int ata_dev_same_device(struct ata_device *dev, unsigned int new_class,
3817 const u16 *new_id)
623a3128
TH
3818{
3819 const u16 *old_id = dev->id;
a0cf733b
TH
3820 unsigned char model[2][ATA_ID_PROD_LEN + 1];
3821 unsigned char serial[2][ATA_ID_SERNO_LEN + 1];
623a3128
TH
3822
3823 if (dev->class != new_class) {
f15a1daf
TH
3824 ata_dev_printk(dev, KERN_INFO, "class mismatch %d != %d\n",
3825 dev->class, new_class);
623a3128
TH
3826 return 0;
3827 }
3828
a0cf733b
TH
3829 ata_id_c_string(old_id, model[0], ATA_ID_PROD, sizeof(model[0]));
3830 ata_id_c_string(new_id, model[1], ATA_ID_PROD, sizeof(model[1]));
3831 ata_id_c_string(old_id, serial[0], ATA_ID_SERNO, sizeof(serial[0]));
3832 ata_id_c_string(new_id, serial[1], ATA_ID_SERNO, sizeof(serial[1]));
623a3128
TH
3833
3834 if (strcmp(model[0], model[1])) {
f15a1daf
TH
3835 ata_dev_printk(dev, KERN_INFO, "model number mismatch "
3836 "'%s' != '%s'\n", model[0], model[1]);
623a3128
TH
3837 return 0;
3838 }
3839
3840 if (strcmp(serial[0], serial[1])) {
f15a1daf
TH
3841 ata_dev_printk(dev, KERN_INFO, "serial number mismatch "
3842 "'%s' != '%s'\n", serial[0], serial[1]);
623a3128
TH
3843 return 0;
3844 }
3845
623a3128
TH
3846 return 1;
3847}
3848
3849/**
fe30911b 3850 * ata_dev_reread_id - Re-read IDENTIFY data
3fae450c 3851 * @dev: target ATA device
bff04647 3852 * @readid_flags: read ID flags
623a3128
TH
3853 *
3854 * Re-read IDENTIFY page and make sure @dev is still attached to
3855 * the port.
3856 *
3857 * LOCKING:
3858 * Kernel thread context (may sleep)
3859 *
3860 * RETURNS:
3861 * 0 on success, negative errno otherwise
3862 */
fe30911b 3863int ata_dev_reread_id(struct ata_device *dev, unsigned int readid_flags)
623a3128 3864{
5eb45c02 3865 unsigned int class = dev->class;
9af5c9c9 3866 u16 *id = (void *)dev->link->ap->sector_buf;
623a3128
TH
3867 int rc;
3868
fe635c7e 3869 /* read ID data */
bff04647 3870 rc = ata_dev_read_id(dev, &class, readid_flags, id);
623a3128 3871 if (rc)
fe30911b 3872 return rc;
623a3128
TH
3873
3874 /* is the device still there? */
fe30911b
TH
3875 if (!ata_dev_same_device(dev, class, id))
3876 return -ENODEV;
623a3128 3877
fe635c7e 3878 memcpy(dev->id, id, sizeof(id[0]) * ATA_ID_WORDS);
fe30911b
TH
3879 return 0;
3880}
3881
3882/**
3883 * ata_dev_revalidate - Revalidate ATA device
3884 * @dev: device to revalidate
422c9daa 3885 * @new_class: new class code
fe30911b
TH
3886 * @readid_flags: read ID flags
3887 *
3888 * Re-read IDENTIFY page, make sure @dev is still attached to the
3889 * port and reconfigure it according to the new IDENTIFY page.
3890 *
3891 * LOCKING:
3892 * Kernel thread context (may sleep)
3893 *
3894 * RETURNS:
3895 * 0 on success, negative errno otherwise
3896 */
422c9daa
TH
3897int ata_dev_revalidate(struct ata_device *dev, unsigned int new_class,
3898 unsigned int readid_flags)
fe30911b 3899{
6ddcd3b0 3900 u64 n_sectors = dev->n_sectors;
fe30911b
TH
3901 int rc;
3902
3903 if (!ata_dev_enabled(dev))
3904 return -ENODEV;
3905
422c9daa
TH
3906 /* fail early if !ATA && !ATAPI to avoid issuing [P]IDENTIFY to PMP */
3907 if (ata_class_enabled(new_class) &&
3908 new_class != ATA_DEV_ATA && new_class != ATA_DEV_ATAPI) {
3909 ata_dev_printk(dev, KERN_INFO, "class mismatch %u != %u\n",
3910 dev->class, new_class);
3911 rc = -ENODEV;
3912 goto fail;
3913 }
3914
fe30911b
TH
3915 /* re-read ID */
3916 rc = ata_dev_reread_id(dev, readid_flags);
3917 if (rc)
3918 goto fail;
623a3128
TH
3919
3920 /* configure device according to the new ID */
efdaedc4 3921 rc = ata_dev_configure(dev);
6ddcd3b0
TH
3922 if (rc)
3923 goto fail;
3924
3925 /* verify n_sectors hasn't changed */
b54eebd6
TH
3926 if (dev->class == ATA_DEV_ATA && n_sectors &&
3927 dev->n_sectors != n_sectors) {
6ddcd3b0
TH
3928 ata_dev_printk(dev, KERN_INFO, "n_sectors mismatch "
3929 "%llu != %llu\n",
3930 (unsigned long long)n_sectors,
3931 (unsigned long long)dev->n_sectors);
8270bec4
TH
3932
3933 /* restore original n_sectors */
3934 dev->n_sectors = n_sectors;
3935
6ddcd3b0
TH
3936 rc = -ENODEV;
3937 goto fail;
3938 }
3939
3940 return 0;
623a3128
TH
3941
3942 fail:
f15a1daf 3943 ata_dev_printk(dev, KERN_ERR, "revalidation failed (errno=%d)\n", rc);
623a3128
TH
3944 return rc;
3945}
3946
6919a0a6
AC
3947struct ata_blacklist_entry {
3948 const char *model_num;
3949 const char *model_rev;
3950 unsigned long horkage;
3951};
3952
3953static const struct ata_blacklist_entry ata_device_blacklist [] = {
3954 /* Devices with DMA related problems under Linux */
3955 { "WDC AC11000H", NULL, ATA_HORKAGE_NODMA },
3956 { "WDC AC22100H", NULL, ATA_HORKAGE_NODMA },
3957 { "WDC AC32500H", NULL, ATA_HORKAGE_NODMA },
3958 { "WDC AC33100H", NULL, ATA_HORKAGE_NODMA },
3959 { "WDC AC31600H", NULL, ATA_HORKAGE_NODMA },
3960 { "WDC AC32100H", "24.09P07", ATA_HORKAGE_NODMA },
3961 { "WDC AC23200L", "21.10N21", ATA_HORKAGE_NODMA },
3962 { "Compaq CRD-8241B", NULL, ATA_HORKAGE_NODMA },
3963 { "CRD-8400B", NULL, ATA_HORKAGE_NODMA },
3964 { "CRD-8480B", NULL, ATA_HORKAGE_NODMA },
3965 { "CRD-8482B", NULL, ATA_HORKAGE_NODMA },
3966 { "CRD-84", NULL, ATA_HORKAGE_NODMA },
3967 { "SanDisk SDP3B", NULL, ATA_HORKAGE_NODMA },
3968 { "SanDisk SDP3B-64", NULL, ATA_HORKAGE_NODMA },
3969 { "SANYO CD-ROM CRD", NULL, ATA_HORKAGE_NODMA },
3970 { "HITACHI CDR-8", NULL, ATA_HORKAGE_NODMA },
3971 { "HITACHI CDR-8335", NULL, ATA_HORKAGE_NODMA },
3972 { "HITACHI CDR-8435", NULL, ATA_HORKAGE_NODMA },
3973 { "Toshiba CD-ROM XM-6202B", NULL, ATA_HORKAGE_NODMA },
3974 { "TOSHIBA CD-ROM XM-1702BC", NULL, ATA_HORKAGE_NODMA },
3975 { "CD-532E-A", NULL, ATA_HORKAGE_NODMA },
3976 { "E-IDE CD-ROM CR-840",NULL, ATA_HORKAGE_NODMA },
3977 { "CD-ROM Drive/F5A", NULL, ATA_HORKAGE_NODMA },
3978 { "WPI CDD-820", NULL, ATA_HORKAGE_NODMA },
3979 { "SAMSUNG CD-ROM SC-148C", NULL, ATA_HORKAGE_NODMA },
3980 { "SAMSUNG CD-ROM SC", NULL, ATA_HORKAGE_NODMA },
6919a0a6
AC
3981 { "ATAPI CD-ROM DRIVE 40X MAXIMUM",NULL,ATA_HORKAGE_NODMA },
3982 { "_NEC DV5800A", NULL, ATA_HORKAGE_NODMA },
2dcb407e 3983 { "SAMSUNG CD-ROM SN-124", "N001", ATA_HORKAGE_NODMA },
39f19886 3984 { "Seagate STT20000A", NULL, ATA_HORKAGE_NODMA },
3af9a77a
TH
3985 /* Odd clown on sil3726/4726 PMPs */
3986 { "Config Disk", NULL, ATA_HORKAGE_NODMA |
3987 ATA_HORKAGE_SKIP_PM },
6919a0a6 3988
18d6e9d5 3989 /* Weird ATAPI devices */
40a1d531 3990 { "TORiSAN DVD-ROM DRD-N216", NULL, ATA_HORKAGE_MAX_SEC_128 },
18d6e9d5 3991
6919a0a6
AC
3992 /* Devices we expect to fail diagnostics */
3993
3994 /* Devices where NCQ should be avoided */
3995 /* NCQ is slow */
2dcb407e 3996 { "WDC WD740ADFD-00", NULL, ATA_HORKAGE_NONCQ },
09125ea6
TH
3997 /* http://thread.gmane.org/gmane.linux.ide/14907 */
3998 { "FUJITSU MHT2060BH", NULL, ATA_HORKAGE_NONCQ },
7acfaf30 3999 /* NCQ is broken */
539cc7c7 4000 { "Maxtor *", "BANC*", ATA_HORKAGE_NONCQ },
0e3dbc01 4001 { "Maxtor 7V300F0", "VA111630", ATA_HORKAGE_NONCQ },
0b0a43e0
DM
4002 { "HITACHI HDS7250SASUN500G*", NULL, ATA_HORKAGE_NONCQ },
4003 { "HITACHI HDS7225SBSUN250G*", NULL, ATA_HORKAGE_NONCQ },
da6f0ec2 4004 { "ST380817AS", "3.42", ATA_HORKAGE_NONCQ },
539cc7c7 4005
36e337d0
RH
4006 /* Blacklist entries taken from Silicon Image 3124/3132
4007 Windows driver .inf file - also several Linux problem reports */
4008 { "HTS541060G9SA00", "MB3OC60D", ATA_HORKAGE_NONCQ, },
4009 { "HTS541080G9SA00", "MB4OC60D", ATA_HORKAGE_NONCQ, },
4010 { "HTS541010G9SA00", "MBZOC60D", ATA_HORKAGE_NONCQ, },
bd9c5a39
TH
4011 /* Drives which do spurious command completion */
4012 { "HTS541680J9SA00", "SB2IC7EP", ATA_HORKAGE_NONCQ, },
2f8fcebb 4013 { "HTS541612J9SA00", "SBDIC7JP", ATA_HORKAGE_NONCQ, },
70edb185 4014 { "HDT722516DLA380", "V43OA96A", ATA_HORKAGE_NONCQ, },
e14cbfa6 4015 { "Hitachi HTS541616J9SA00", "SB4OC70P", ATA_HORKAGE_NONCQ, },
0c173174 4016 { "Hitachi HTS542525K9SA00", "BBFOC31P", ATA_HORKAGE_NONCQ, },
2f8fcebb 4017 { "WDC WD740ADFD-00NLR1", NULL, ATA_HORKAGE_NONCQ, },
7f567620 4018 { "WDC WD3200AAJS-00RYA0", "12.01B01", ATA_HORKAGE_NONCQ, },
a520f261 4019 { "FUJITSU MHV2080BH", "00840028", ATA_HORKAGE_NONCQ, },
7f567620 4020 { "ST9120822AS", "3.CLF", ATA_HORKAGE_NONCQ, },
3fb6589c 4021 { "ST9160821AS", "3.CLF", ATA_HORKAGE_NONCQ, },
954bb005 4022 { "ST9160821AS", "3.ALD", ATA_HORKAGE_NONCQ, },
13587960 4023 { "ST9160821AS", "3.CCD", ATA_HORKAGE_NONCQ, },
7f567620
TH
4024 { "ST3160812AS", "3.ADJ", ATA_HORKAGE_NONCQ, },
4025 { "ST980813AS", "3.ADB", ATA_HORKAGE_NONCQ, },
5d6aca8d 4026 { "SAMSUNG HD401LJ", "ZZ100-15", ATA_HORKAGE_NONCQ, },
6919a0a6 4027
16c55b03
TH
4028 /* devices which puke on READ_NATIVE_MAX */
4029 { "HDS724040KLSA80", "KFAOA20N", ATA_HORKAGE_BROKEN_HPA, },
4030 { "WDC WD3200JD-00KLB0", "WD-WCAMR1130137", ATA_HORKAGE_BROKEN_HPA },
4031 { "WDC WD2500JD-00HBB0", "WD-WMAL71490727", ATA_HORKAGE_BROKEN_HPA },
4032 { "MAXTOR 6L080L4", "A93.0500", ATA_HORKAGE_BROKEN_HPA },
6919a0a6 4033
93328e11
AC
4034 /* Devices which report 1 sector over size HPA */
4035 { "ST340823A", NULL, ATA_HORKAGE_HPA_SIZE, },
4036 { "ST320413A", NULL, ATA_HORKAGE_HPA_SIZE, },
4037
6919a0a6
AC
4038 /* End Marker */
4039 { }
1da177e4 4040};
2e9edbf8 4041
741b7763 4042static int strn_pattern_cmp(const char *patt, const char *name, int wildchar)
539cc7c7
JG
4043{
4044 const char *p;
4045 int len;
4046
4047 /*
4048 * check for trailing wildcard: *\0
4049 */
4050 p = strchr(patt, wildchar);
4051 if (p && ((*(p + 1)) == 0))
4052 len = p - patt;
317b50b8 4053 else {
539cc7c7 4054 len = strlen(name);
317b50b8
AP
4055 if (!len) {
4056 if (!*patt)
4057 return 0;
4058 return -1;
4059 }
4060 }
539cc7c7
JG
4061
4062 return strncmp(patt, name, len);
4063}
4064
75683fe7 4065static unsigned long ata_dev_blacklisted(const struct ata_device *dev)
1da177e4 4066{
8bfa79fc
TH
4067 unsigned char model_num[ATA_ID_PROD_LEN + 1];
4068 unsigned char model_rev[ATA_ID_FW_REV_LEN + 1];
6919a0a6 4069 const struct ata_blacklist_entry *ad = ata_device_blacklist;
3a778275 4070
8bfa79fc
TH
4071 ata_id_c_string(dev->id, model_num, ATA_ID_PROD, sizeof(model_num));
4072 ata_id_c_string(dev->id, model_rev, ATA_ID_FW_REV, sizeof(model_rev));
1da177e4 4073
6919a0a6 4074 while (ad->model_num) {
539cc7c7 4075 if (!strn_pattern_cmp(ad->model_num, model_num, '*')) {
6919a0a6
AC
4076 if (ad->model_rev == NULL)
4077 return ad->horkage;
539cc7c7 4078 if (!strn_pattern_cmp(ad->model_rev, model_rev, '*'))
6919a0a6 4079 return ad->horkage;
f4b15fef 4080 }
6919a0a6 4081 ad++;
f4b15fef 4082 }
1da177e4
LT
4083 return 0;
4084}
4085
6919a0a6
AC
4086static int ata_dma_blacklisted(const struct ata_device *dev)
4087{
4088 /* We don't support polling DMA.
4089 * DMA blacklist those ATAPI devices with CDB-intr (and use PIO)
4090 * if the LLDD handles only interrupts in the HSM_ST_LAST state.
4091 */
9af5c9c9 4092 if ((dev->link->ap->flags & ATA_FLAG_PIO_POLLING) &&
6919a0a6
AC
4093 (dev->flags & ATA_DFLAG_CDB_INTR))
4094 return 1;
75683fe7 4095 return (dev->horkage & ATA_HORKAGE_NODMA) ? 1 : 0;
6919a0a6
AC
4096}
4097
a6d5a51c
TH
4098/**
4099 * ata_dev_xfermask - Compute supported xfermask of the given device
a6d5a51c
TH
4100 * @dev: Device to compute xfermask for
4101 *
acf356b1
TH
4102 * Compute supported xfermask of @dev and store it in
4103 * dev->*_mask. This function is responsible for applying all
4104 * known limits including host controller limits, device
4105 * blacklist, etc...
a6d5a51c
TH
4106 *
4107 * LOCKING:
4108 * None.
a6d5a51c 4109 */
3373efd8 4110static void ata_dev_xfermask(struct ata_device *dev)
1da177e4 4111{
9af5c9c9
TH
4112 struct ata_link *link = dev->link;
4113 struct ata_port *ap = link->ap;
cca3974e 4114 struct ata_host *host = ap->host;
a6d5a51c 4115 unsigned long xfer_mask;
1da177e4 4116
37deecb5 4117 /* controller modes available */
565083e1
TH
4118 xfer_mask = ata_pack_xfermask(ap->pio_mask,
4119 ap->mwdma_mask, ap->udma_mask);
4120
8343f889 4121 /* drive modes available */
37deecb5
TH
4122 xfer_mask &= ata_pack_xfermask(dev->pio_mask,
4123 dev->mwdma_mask, dev->udma_mask);
4124 xfer_mask &= ata_id_xfermask(dev->id);
565083e1 4125
b352e57d
AC
4126 /*
4127 * CFA Advanced TrueIDE timings are not allowed on a shared
4128 * cable
4129 */
4130 if (ata_dev_pair(dev)) {
4131 /* No PIO5 or PIO6 */
4132 xfer_mask &= ~(0x03 << (ATA_SHIFT_PIO + 5));
4133 /* No MWDMA3 or MWDMA 4 */
4134 xfer_mask &= ~(0x03 << (ATA_SHIFT_MWDMA + 3));
4135 }
4136
37deecb5
TH
4137 if (ata_dma_blacklisted(dev)) {
4138 xfer_mask &= ~(ATA_MASK_MWDMA | ATA_MASK_UDMA);
f15a1daf
TH
4139 ata_dev_printk(dev, KERN_WARNING,
4140 "device is on DMA blacklist, disabling DMA\n");
37deecb5 4141 }
a6d5a51c 4142
14d66ab7 4143 if ((host->flags & ATA_HOST_SIMPLEX) &&
2dcb407e 4144 host->simplex_claimed && host->simplex_claimed != ap) {
37deecb5
TH
4145 xfer_mask &= ~(ATA_MASK_MWDMA | ATA_MASK_UDMA);
4146 ata_dev_printk(dev, KERN_WARNING, "simplex DMA is claimed by "
4147 "other device, disabling DMA\n");
5444a6f4 4148 }
565083e1 4149
e424675f
JG
4150 if (ap->flags & ATA_FLAG_NO_IORDY)
4151 xfer_mask &= ata_pio_mask_no_iordy(dev);
4152
5444a6f4 4153 if (ap->ops->mode_filter)
a76b62ca 4154 xfer_mask = ap->ops->mode_filter(dev, xfer_mask);
5444a6f4 4155
8343f889
RH
4156 /* Apply cable rule here. Don't apply it early because when
4157 * we handle hot plug the cable type can itself change.
4158 * Check this last so that we know if the transfer rate was
4159 * solely limited by the cable.
4160 * Unknown or 80 wire cables reported host side are checked
4161 * drive side as well. Cases where we know a 40wire cable
4162 * is used safely for 80 are not checked here.
4163 */
4164 if (xfer_mask & (0xF8 << ATA_SHIFT_UDMA))
4165 /* UDMA/44 or higher would be available */
2dcb407e
JG
4166 if ((ap->cbl == ATA_CBL_PATA40) ||
4167 (ata_drive_40wire(dev->id) &&
4168 (ap->cbl == ATA_CBL_PATA_UNK ||
4169 ap->cbl == ATA_CBL_PATA80))) {
4170 ata_dev_printk(dev, KERN_WARNING,
8343f889
RH
4171 "limited to UDMA/33 due to 40-wire cable\n");
4172 xfer_mask &= ~(0xF8 << ATA_SHIFT_UDMA);
4173 }
4174
565083e1
TH
4175 ata_unpack_xfermask(xfer_mask, &dev->pio_mask,
4176 &dev->mwdma_mask, &dev->udma_mask);
1da177e4
LT
4177}
4178
1da177e4
LT
4179/**
4180 * ata_dev_set_xfermode - Issue SET FEATURES - XFER MODE command
1da177e4
LT
4181 * @dev: Device to which command will be sent
4182 *
780a87f7
JG
4183 * Issue SET FEATURES - XFER MODE command to device @dev
4184 * on port @ap.
4185 *
1da177e4 4186 * LOCKING:
0cba632b 4187 * PCI/etc. bus probe sem.
83206a29
TH
4188 *
4189 * RETURNS:
4190 * 0 on success, AC_ERR_* mask otherwise.
1da177e4
LT
4191 */
4192
3373efd8 4193static unsigned int ata_dev_set_xfermode(struct ata_device *dev)
1da177e4 4194{
a0123703 4195 struct ata_taskfile tf;
83206a29 4196 unsigned int err_mask;
1da177e4
LT
4197
4198 /* set up set-features taskfile */
4199 DPRINTK("set features - xfer mode\n");
4200
464cf177
TH
4201 /* Some controllers and ATAPI devices show flaky interrupt
4202 * behavior after setting xfer mode. Use polling instead.
4203 */
3373efd8 4204 ata_tf_init(dev, &tf);
a0123703
TH
4205 tf.command = ATA_CMD_SET_FEATURES;
4206 tf.feature = SETFEATURES_XFER;
464cf177 4207 tf.flags |= ATA_TFLAG_ISADDR | ATA_TFLAG_DEVICE | ATA_TFLAG_POLLING;
a0123703
TH
4208 tf.protocol = ATA_PROT_NODATA;
4209 tf.nsect = dev->xfer_mode;
1da177e4 4210
2b789108 4211 err_mask = ata_exec_internal(dev, &tf, NULL, DMA_NONE, NULL, 0, 0);
9f45cbd3
KCA
4212
4213 DPRINTK("EXIT, err_mask=%x\n", err_mask);
4214 return err_mask;
4215}
9f45cbd3 4216/**
218f3d30 4217 * ata_dev_set_feature - Issue SET FEATURES - SATA FEATURES
9f45cbd3
KCA
4218 * @dev: Device to which command will be sent
4219 * @enable: Whether to enable or disable the feature
218f3d30 4220 * @feature: The sector count represents the feature to set
9f45cbd3
KCA
4221 *
4222 * Issue SET FEATURES - SATA FEATURES command to device @dev
218f3d30 4223 * on port @ap with sector count
9f45cbd3
KCA
4224 *
4225 * LOCKING:
4226 * PCI/etc. bus probe sem.
4227 *
4228 * RETURNS:
4229 * 0 on success, AC_ERR_* mask otherwise.
4230 */
218f3d30
JG
4231static unsigned int ata_dev_set_feature(struct ata_device *dev, u8 enable,
4232 u8 feature)
9f45cbd3
KCA
4233{
4234 struct ata_taskfile tf;
4235 unsigned int err_mask;
4236
4237 /* set up set-features taskfile */
4238 DPRINTK("set features - SATA features\n");
4239
4240 ata_tf_init(dev, &tf);
4241 tf.command = ATA_CMD_SET_FEATURES;
4242 tf.feature = enable;
4243 tf.flags |= ATA_TFLAG_ISADDR | ATA_TFLAG_DEVICE;
4244 tf.protocol = ATA_PROT_NODATA;
218f3d30 4245 tf.nsect = feature;
9f45cbd3 4246
2b789108 4247 err_mask = ata_exec_internal(dev, &tf, NULL, DMA_NONE, NULL, 0, 0);
1da177e4 4248
83206a29
TH
4249 DPRINTK("EXIT, err_mask=%x\n", err_mask);
4250 return err_mask;
1da177e4
LT
4251}
4252
8bf62ece
AL
4253/**
4254 * ata_dev_init_params - Issue INIT DEV PARAMS command
8bf62ece 4255 * @dev: Device to which command will be sent
e2a7f77a
RD
4256 * @heads: Number of heads (taskfile parameter)
4257 * @sectors: Number of sectors (taskfile parameter)
8bf62ece
AL
4258 *
4259 * LOCKING:
6aff8f1f
TH
4260 * Kernel thread context (may sleep)
4261 *
4262 * RETURNS:
4263 * 0 on success, AC_ERR_* mask otherwise.
8bf62ece 4264 */
3373efd8
TH
4265static unsigned int ata_dev_init_params(struct ata_device *dev,
4266 u16 heads, u16 sectors)
8bf62ece 4267{
a0123703 4268 struct ata_taskfile tf;
6aff8f1f 4269 unsigned int err_mask;
8bf62ece
AL
4270
4271 /* Number of sectors per track 1-255. Number of heads 1-16 */
4272 if (sectors < 1 || sectors > 255 || heads < 1 || heads > 16)
00b6f5e9 4273 return AC_ERR_INVALID;
8bf62ece
AL
4274
4275 /* set up init dev params taskfile */
4276 DPRINTK("init dev params \n");
4277
3373efd8 4278 ata_tf_init(dev, &tf);
a0123703
TH
4279 tf.command = ATA_CMD_INIT_DEV_PARAMS;
4280 tf.flags |= ATA_TFLAG_ISADDR | ATA_TFLAG_DEVICE;
4281 tf.protocol = ATA_PROT_NODATA;
4282 tf.nsect = sectors;
4283 tf.device |= (heads - 1) & 0x0f; /* max head = num. of heads - 1 */
8bf62ece 4284
2b789108 4285 err_mask = ata_exec_internal(dev, &tf, NULL, DMA_NONE, NULL, 0, 0);
18b2466c
AC
4286 /* A clean abort indicates an original or just out of spec drive
4287 and we should continue as we issue the setup based on the
4288 drive reported working geometry */
4289 if (err_mask == AC_ERR_DEV && (tf.feature & ATA_ABORTED))
4290 err_mask = 0;
8bf62ece 4291
6aff8f1f
TH
4292 DPRINTK("EXIT, err_mask=%x\n", err_mask);
4293 return err_mask;
8bf62ece
AL
4294}
4295
1da177e4 4296/**
0cba632b
JG
4297 * ata_sg_clean - Unmap DMA memory associated with command
4298 * @qc: Command containing DMA memory to be released
4299 *
4300 * Unmap all mapped DMA memory associated with this command.
1da177e4
LT
4301 *
4302 * LOCKING:
cca3974e 4303 * spin_lock_irqsave(host lock)
1da177e4 4304 */
70e6ad0c 4305void ata_sg_clean(struct ata_queued_cmd *qc)
1da177e4
LT
4306{
4307 struct ata_port *ap = qc->ap;
cedc9a47 4308 struct scatterlist *sg = qc->__sg;
1da177e4 4309 int dir = qc->dma_dir;
cedc9a47 4310 void *pad_buf = NULL;
1da177e4 4311
a4631474
TH
4312 WARN_ON(!(qc->flags & ATA_QCFLAG_DMAMAP));
4313 WARN_ON(sg == NULL);
1da177e4
LT
4314
4315 if (qc->flags & ATA_QCFLAG_SINGLE)
f131883e 4316 WARN_ON(qc->n_elem > 1);
1da177e4 4317
2c13b7ce 4318 VPRINTK("unmapping %u sg elements\n", qc->n_elem);
1da177e4 4319
cedc9a47
JG
4320 /* if we padded the buffer out to 32-bit bound, and data
4321 * xfer direction is from-device, we must copy from the
4322 * pad buffer back into the supplied buffer
4323 */
4324 if (qc->pad_len && !(qc->tf.flags & ATA_TFLAG_WRITE))
4325 pad_buf = ap->pad + (qc->tag * ATA_DMA_PAD_SZ);
4326
4327 if (qc->flags & ATA_QCFLAG_SG) {
e1410f2d 4328 if (qc->n_elem)
2f1f610b 4329 dma_unmap_sg(ap->dev, sg, qc->n_elem, dir);
cedc9a47 4330 /* restore last sg */
87260216 4331 sg_last(sg, qc->orig_n_elem)->length += qc->pad_len;
cedc9a47
JG
4332 if (pad_buf) {
4333 struct scatterlist *psg = &qc->pad_sgent;
45711f1a 4334 void *addr = kmap_atomic(sg_page(psg), KM_IRQ0);
cedc9a47 4335 memcpy(addr + psg->offset, pad_buf, qc->pad_len);
dfa15988 4336 kunmap_atomic(addr, KM_IRQ0);
cedc9a47
JG
4337 }
4338 } else {
2e242fa9 4339 if (qc->n_elem)
2f1f610b 4340 dma_unmap_single(ap->dev,
e1410f2d
JG
4341 sg_dma_address(&sg[0]), sg_dma_len(&sg[0]),
4342 dir);
cedc9a47
JG
4343 /* restore sg */
4344 sg->length += qc->pad_len;
4345 if (pad_buf)
4346 memcpy(qc->buf_virt + sg->length - qc->pad_len,
4347 pad_buf, qc->pad_len);
4348 }
1da177e4
LT
4349
4350 qc->flags &= ~ATA_QCFLAG_DMAMAP;
cedc9a47 4351 qc->__sg = NULL;
1da177e4
LT
4352}
4353
4354/**
4355 * ata_fill_sg - Fill PCI IDE PRD table
4356 * @qc: Metadata associated with taskfile to be transferred
4357 *
780a87f7
JG
4358 * Fill PCI IDE PRD (scatter-gather) table with segments
4359 * associated with the current disk command.
4360 *
1da177e4 4361 * LOCKING:
cca3974e 4362 * spin_lock_irqsave(host lock)
1da177e4
LT
4363 *
4364 */
4365static void ata_fill_sg(struct ata_queued_cmd *qc)
4366{
1da177e4 4367 struct ata_port *ap = qc->ap;
cedc9a47
JG
4368 struct scatterlist *sg;
4369 unsigned int idx;
1da177e4 4370
a4631474 4371 WARN_ON(qc->__sg == NULL);
f131883e 4372 WARN_ON(qc->n_elem == 0 && qc->pad_len == 0);
1da177e4
LT
4373
4374 idx = 0;
cedc9a47 4375 ata_for_each_sg(sg, qc) {
1da177e4
LT
4376 u32 addr, offset;
4377 u32 sg_len, len;
4378
4379 /* determine if physical DMA addr spans 64K boundary.
4380 * Note h/w doesn't support 64-bit, so we unconditionally
4381 * truncate dma_addr_t to u32.
4382 */
4383 addr = (u32) sg_dma_address(sg);
4384 sg_len = sg_dma_len(sg);
4385
4386 while (sg_len) {
4387 offset = addr & 0xffff;
4388 len = sg_len;
4389 if ((offset + sg_len) > 0x10000)
4390 len = 0x10000 - offset;
4391
4392 ap->prd[idx].addr = cpu_to_le32(addr);
4393 ap->prd[idx].flags_len = cpu_to_le32(len & 0xffff);
4394 VPRINTK("PRD[%u] = (0x%X, 0x%X)\n", idx, addr, len);
4395
4396 idx++;
4397 sg_len -= len;
4398 addr += len;
4399 }
4400 }
4401
4402 if (idx)
4403 ap->prd[idx - 1].flags_len |= cpu_to_le32(ATA_PRD_EOT);
4404}
b9a4197e 4405
d26fc955
AC
4406/**
4407 * ata_fill_sg_dumb - Fill PCI IDE PRD table
4408 * @qc: Metadata associated with taskfile to be transferred
4409 *
4410 * Fill PCI IDE PRD (scatter-gather) table with segments
4411 * associated with the current disk command. Perform the fill
4412 * so that we avoid writing any length 64K records for
4413 * controllers that don't follow the spec.
4414 *
4415 * LOCKING:
4416 * spin_lock_irqsave(host lock)
4417 *
4418 */
4419static void ata_fill_sg_dumb(struct ata_queued_cmd *qc)
4420{
4421 struct ata_port *ap = qc->ap;
4422 struct scatterlist *sg;
4423 unsigned int idx;
4424
4425 WARN_ON(qc->__sg == NULL);
4426 WARN_ON(qc->n_elem == 0 && qc->pad_len == 0);
4427
4428 idx = 0;
4429 ata_for_each_sg(sg, qc) {
4430 u32 addr, offset;
4431 u32 sg_len, len, blen;
4432
2dcb407e 4433 /* determine if physical DMA addr spans 64K boundary.
d26fc955
AC
4434 * Note h/w doesn't support 64-bit, so we unconditionally
4435 * truncate dma_addr_t to u32.
4436 */
4437 addr = (u32) sg_dma_address(sg);
4438 sg_len = sg_dma_len(sg);
4439
4440 while (sg_len) {
4441 offset = addr & 0xffff;
4442 len = sg_len;
4443 if ((offset + sg_len) > 0x10000)
4444 len = 0x10000 - offset;
4445
4446 blen = len & 0xffff;
4447 ap->prd[idx].addr = cpu_to_le32(addr);
4448 if (blen == 0) {
4449 /* Some PATA chipsets like the CS5530 can't
4450 cope with 0x0000 meaning 64K as the spec says */
4451 ap->prd[idx].flags_len = cpu_to_le32(0x8000);
4452 blen = 0x8000;
4453 ap->prd[++idx].addr = cpu_to_le32(addr + 0x8000);
4454 }
4455 ap->prd[idx].flags_len = cpu_to_le32(blen);
4456 VPRINTK("PRD[%u] = (0x%X, 0x%X)\n", idx, addr, len);
4457
4458 idx++;
4459 sg_len -= len;
4460 addr += len;
4461 }
4462 }
4463
4464 if (idx)
4465 ap->prd[idx - 1].flags_len |= cpu_to_le32(ATA_PRD_EOT);
4466}
4467
1da177e4
LT
4468/**
4469 * ata_check_atapi_dma - Check whether ATAPI DMA can be supported
4470 * @qc: Metadata associated with taskfile to check
4471 *
780a87f7
JG
4472 * Allow low-level driver to filter ATA PACKET commands, returning
4473 * a status indicating whether or not it is OK to use DMA for the
4474 * supplied PACKET command.
4475 *
1da177e4 4476 * LOCKING:
cca3974e 4477 * spin_lock_irqsave(host lock)
0cba632b 4478 *
1da177e4
LT
4479 * RETURNS: 0 when ATAPI DMA can be used
4480 * nonzero otherwise
4481 */
4482int ata_check_atapi_dma(struct ata_queued_cmd *qc)
4483{
4484 struct ata_port *ap = qc->ap;
b9a4197e
TH
4485
4486 /* Don't allow DMA if it isn't multiple of 16 bytes. Quite a
4487 * few ATAPI devices choke on such DMA requests.
4488 */
4489 if (unlikely(qc->nbytes & 15))
4490 return 1;
6f23a31d 4491
1da177e4 4492 if (ap->ops->check_atapi_dma)
b9a4197e 4493 return ap->ops->check_atapi_dma(qc);
1da177e4 4494
b9a4197e 4495 return 0;
1da177e4 4496}
b9a4197e 4497
31cc23b3
TH
4498/**
4499 * ata_std_qc_defer - Check whether a qc needs to be deferred
4500 * @qc: ATA command in question
4501 *
4502 * Non-NCQ commands cannot run with any other command, NCQ or
4503 * not. As upper layer only knows the queue depth, we are
4504 * responsible for maintaining exclusion. This function checks
4505 * whether a new command @qc can be issued.
4506 *
4507 * LOCKING:
4508 * spin_lock_irqsave(host lock)
4509 *
4510 * RETURNS:
4511 * ATA_DEFER_* if deferring is needed, 0 otherwise.
4512 */
4513int ata_std_qc_defer(struct ata_queued_cmd *qc)
4514{
4515 struct ata_link *link = qc->dev->link;
4516
4517 if (qc->tf.protocol == ATA_PROT_NCQ) {
4518 if (!ata_tag_valid(link->active_tag))
4519 return 0;
4520 } else {
4521 if (!ata_tag_valid(link->active_tag) && !link->sactive)
4522 return 0;
4523 }
4524
4525 return ATA_DEFER_LINK;
4526}
4527
1da177e4
LT
4528/**
4529 * ata_qc_prep - Prepare taskfile for submission
4530 * @qc: Metadata associated with taskfile to be prepared
4531 *
780a87f7
JG
4532 * Prepare ATA taskfile for submission.
4533 *
1da177e4 4534 * LOCKING:
cca3974e 4535 * spin_lock_irqsave(host lock)
1da177e4
LT
4536 */
4537void ata_qc_prep(struct ata_queued_cmd *qc)
4538{
4539 if (!(qc->flags & ATA_QCFLAG_DMAMAP))
4540 return;
4541
4542 ata_fill_sg(qc);
4543}
4544
d26fc955
AC
4545/**
4546 * ata_dumb_qc_prep - Prepare taskfile for submission
4547 * @qc: Metadata associated with taskfile to be prepared
4548 *
4549 * Prepare ATA taskfile for submission.
4550 *
4551 * LOCKING:
4552 * spin_lock_irqsave(host lock)
4553 */
4554void ata_dumb_qc_prep(struct ata_queued_cmd *qc)
4555{
4556 if (!(qc->flags & ATA_QCFLAG_DMAMAP))
4557 return;
4558
4559 ata_fill_sg_dumb(qc);
4560}
4561
e46834cd
BK
4562void ata_noop_qc_prep(struct ata_queued_cmd *qc) { }
4563
0cba632b
JG
4564/**
4565 * ata_sg_init_one - Associate command with memory buffer
4566 * @qc: Command to be associated
4567 * @buf: Memory buffer
4568 * @buflen: Length of memory buffer, in bytes.
4569 *
4570 * Initialize the data-related elements of queued_cmd @qc
4571 * to point to a single memory buffer, @buf of byte length @buflen.
4572 *
4573 * LOCKING:
cca3974e 4574 * spin_lock_irqsave(host lock)
0cba632b
JG
4575 */
4576
1da177e4
LT
4577void ata_sg_init_one(struct ata_queued_cmd *qc, void *buf, unsigned int buflen)
4578{
1da177e4
LT
4579 qc->flags |= ATA_QCFLAG_SINGLE;
4580
cedc9a47 4581 qc->__sg = &qc->sgent;
1da177e4 4582 qc->n_elem = 1;
cedc9a47 4583 qc->orig_n_elem = 1;
1da177e4 4584 qc->buf_virt = buf;
233277ca 4585 qc->nbytes = buflen;
87260216 4586 qc->cursg = qc->__sg;
1da177e4 4587
61c0596c 4588 sg_init_one(&qc->sgent, buf, buflen);
1da177e4
LT
4589}
4590
0cba632b
JG
4591/**
4592 * ata_sg_init - Associate command with scatter-gather table.
4593 * @qc: Command to be associated
4594 * @sg: Scatter-gather table.
4595 * @n_elem: Number of elements in s/g table.
4596 *
4597 * Initialize the data-related elements of queued_cmd @qc
4598 * to point to a scatter-gather table @sg, containing @n_elem
4599 * elements.
4600 *
4601 * LOCKING:
cca3974e 4602 * spin_lock_irqsave(host lock)
0cba632b
JG
4603 */
4604
1da177e4
LT
4605void ata_sg_init(struct ata_queued_cmd *qc, struct scatterlist *sg,
4606 unsigned int n_elem)
4607{
4608 qc->flags |= ATA_QCFLAG_SG;
cedc9a47 4609 qc->__sg = sg;
1da177e4 4610 qc->n_elem = n_elem;
cedc9a47 4611 qc->orig_n_elem = n_elem;
87260216 4612 qc->cursg = qc->__sg;
1da177e4
LT
4613}
4614
4615/**
0cba632b
JG
4616 * ata_sg_setup_one - DMA-map the memory buffer associated with a command.
4617 * @qc: Command with memory buffer to be mapped.
4618 *
4619 * DMA-map the memory buffer associated with queued_cmd @qc.
1da177e4
LT
4620 *
4621 * LOCKING:
cca3974e 4622 * spin_lock_irqsave(host lock)
1da177e4
LT
4623 *
4624 * RETURNS:
0cba632b 4625 * Zero on success, negative on error.
1da177e4
LT
4626 */
4627
4628static int ata_sg_setup_one(struct ata_queued_cmd *qc)
4629{
4630 struct ata_port *ap = qc->ap;
4631 int dir = qc->dma_dir;
cedc9a47 4632 struct scatterlist *sg = qc->__sg;
1da177e4 4633 dma_addr_t dma_address;
2e242fa9 4634 int trim_sg = 0;
1da177e4 4635
cedc9a47
JG
4636 /* we must lengthen transfers to end on a 32-bit boundary */
4637 qc->pad_len = sg->length & 3;
4638 if (qc->pad_len) {
4639 void *pad_buf = ap->pad + (qc->tag * ATA_DMA_PAD_SZ);
4640 struct scatterlist *psg = &qc->pad_sgent;
4641
a4631474 4642 WARN_ON(qc->dev->class != ATA_DEV_ATAPI);
cedc9a47
JG
4643
4644 memset(pad_buf, 0, ATA_DMA_PAD_SZ);
4645
4646 if (qc->tf.flags & ATA_TFLAG_WRITE)
4647 memcpy(pad_buf, qc->buf_virt + sg->length - qc->pad_len,
4648 qc->pad_len);
4649
4650 sg_dma_address(psg) = ap->pad_dma + (qc->tag * ATA_DMA_PAD_SZ);
4651 sg_dma_len(psg) = ATA_DMA_PAD_SZ;
4652 /* trim sg */
4653 sg->length -= qc->pad_len;
2e242fa9
TH
4654 if (sg->length == 0)
4655 trim_sg = 1;
cedc9a47
JG
4656
4657 DPRINTK("padding done, sg->length=%u pad_len=%u\n",
4658 sg->length, qc->pad_len);
4659 }
4660
2e242fa9
TH
4661 if (trim_sg) {
4662 qc->n_elem--;
e1410f2d
JG
4663 goto skip_map;
4664 }
4665
2f1f610b 4666 dma_address = dma_map_single(ap->dev, qc->buf_virt,
32529e01 4667 sg->length, dir);
537a95d9
TH
4668 if (dma_mapping_error(dma_address)) {
4669 /* restore sg */
4670 sg->length += qc->pad_len;
1da177e4 4671 return -1;
537a95d9 4672 }
1da177e4
LT
4673
4674 sg_dma_address(sg) = dma_address;
32529e01 4675 sg_dma_len(sg) = sg->length;
1da177e4 4676
2e242fa9 4677skip_map:
1da177e4
LT
4678 DPRINTK("mapped buffer of %d bytes for %s\n", sg_dma_len(sg),
4679 qc->tf.flags & ATA_TFLAG_WRITE ? "write" : "read");
4680
4681 return 0;
4682}
4683
4684/**
0cba632b
JG
4685 * ata_sg_setup - DMA-map the scatter-gather table associated with a command.
4686 * @qc: Command with scatter-gather table to be mapped.
4687 *
4688 * DMA-map the scatter-gather table associated with queued_cmd @qc.
1da177e4
LT
4689 *
4690 * LOCKING:
cca3974e 4691 * spin_lock_irqsave(host lock)
1da177e4
LT
4692 *
4693 * RETURNS:
0cba632b 4694 * Zero on success, negative on error.
1da177e4
LT
4695 *
4696 */
4697
4698static int ata_sg_setup(struct ata_queued_cmd *qc)
4699{
4700 struct ata_port *ap = qc->ap;
cedc9a47 4701 struct scatterlist *sg = qc->__sg;
87260216 4702 struct scatterlist *lsg = sg_last(qc->__sg, qc->n_elem);
e1410f2d 4703 int n_elem, pre_n_elem, dir, trim_sg = 0;
1da177e4 4704
44877b4e 4705 VPRINTK("ENTER, ata%u\n", ap->print_id);
a4631474 4706 WARN_ON(!(qc->flags & ATA_QCFLAG_SG));
1da177e4 4707
cedc9a47
JG
4708 /* we must lengthen transfers to end on a 32-bit boundary */
4709 qc->pad_len = lsg->length & 3;
4710 if (qc->pad_len) {
4711 void *pad_buf = ap->pad + (qc->tag * ATA_DMA_PAD_SZ);
4712 struct scatterlist *psg = &qc->pad_sgent;
4713 unsigned int offset;
4714
a4631474 4715 WARN_ON(qc->dev->class != ATA_DEV_ATAPI);
cedc9a47
JG
4716
4717 memset(pad_buf, 0, ATA_DMA_PAD_SZ);
4718
4719 /*
4720 * psg->page/offset are used to copy to-be-written
4721 * data in this function or read data in ata_sg_clean.
4722 */
4723 offset = lsg->offset + lsg->length - qc->pad_len;
642f1490
JA
4724 sg_set_page(psg, nth_page(sg_page(lsg), offset >> PAGE_SHIFT),
4725 qc->pad_len, offset_in_page(offset));
cedc9a47
JG
4726
4727 if (qc->tf.flags & ATA_TFLAG_WRITE) {
45711f1a 4728 void *addr = kmap_atomic(sg_page(psg), KM_IRQ0);
cedc9a47 4729 memcpy(pad_buf, addr + psg->offset, qc->pad_len);
dfa15988 4730 kunmap_atomic(addr, KM_IRQ0);
cedc9a47
JG
4731 }
4732
4733 sg_dma_address(psg) = ap->pad_dma + (qc->tag * ATA_DMA_PAD_SZ);
4734 sg_dma_len(psg) = ATA_DMA_PAD_SZ;
4735 /* trim last sg */
4736 lsg->length -= qc->pad_len;
e1410f2d
JG
4737 if (lsg->length == 0)
4738 trim_sg = 1;
cedc9a47
JG
4739
4740 DPRINTK("padding done, sg[%d].length=%u pad_len=%u\n",
4741 qc->n_elem - 1, lsg->length, qc->pad_len);
4742 }
4743
e1410f2d
JG
4744 pre_n_elem = qc->n_elem;
4745 if (trim_sg && pre_n_elem)
4746 pre_n_elem--;
4747
4748 if (!pre_n_elem) {
4749 n_elem = 0;
4750 goto skip_map;
4751 }
4752
1da177e4 4753 dir = qc->dma_dir;
2f1f610b 4754 n_elem = dma_map_sg(ap->dev, sg, pre_n_elem, dir);
537a95d9
TH
4755 if (n_elem < 1) {
4756 /* restore last sg */
4757 lsg->length += qc->pad_len;
1da177e4 4758 return -1;
537a95d9 4759 }
1da177e4
LT
4760
4761 DPRINTK("%d sg elements mapped\n", n_elem);
4762
e1410f2d 4763skip_map:
1da177e4
LT
4764 qc->n_elem = n_elem;
4765
4766 return 0;
4767}
4768
0baab86b 4769/**
c893a3ae 4770 * swap_buf_le16 - swap halves of 16-bit words in place
0baab86b
EF
4771 * @buf: Buffer to swap
4772 * @buf_words: Number of 16-bit words in buffer.
4773 *
4774 * Swap halves of 16-bit words if needed to convert from
4775 * little-endian byte order to native cpu byte order, or
4776 * vice-versa.
4777 *
4778 * LOCKING:
6f0ef4fa 4779 * Inherited from caller.
0baab86b 4780 */
1da177e4
LT
4781void swap_buf_le16(u16 *buf, unsigned int buf_words)
4782{
4783#ifdef __BIG_ENDIAN
4784 unsigned int i;
4785
4786 for (i = 0; i < buf_words; i++)
4787 buf[i] = le16_to_cpu(buf[i]);
4788#endif /* __BIG_ENDIAN */
4789}
4790
6ae4cfb5 4791/**
0d5ff566 4792 * ata_data_xfer - Transfer data by PIO
a6b2c5d4 4793 * @adev: device to target
6ae4cfb5
AL
4794 * @buf: data buffer
4795 * @buflen: buffer length
344babaa 4796 * @write_data: read/write
6ae4cfb5
AL
4797 *
4798 * Transfer data from/to the device data register by PIO.
4799 *
4800 * LOCKING:
4801 * Inherited from caller.
6ae4cfb5 4802 */
0d5ff566
TH
4803void ata_data_xfer(struct ata_device *adev, unsigned char *buf,
4804 unsigned int buflen, int write_data)
1da177e4 4805{
9af5c9c9 4806 struct ata_port *ap = adev->link->ap;
6ae4cfb5 4807 unsigned int words = buflen >> 1;
1da177e4 4808
6ae4cfb5 4809 /* Transfer multiple of 2 bytes */
1da177e4 4810 if (write_data)
0d5ff566 4811 iowrite16_rep(ap->ioaddr.data_addr, buf, words);
1da177e4 4812 else
0d5ff566 4813 ioread16_rep(ap->ioaddr.data_addr, buf, words);
6ae4cfb5
AL
4814
4815 /* Transfer trailing 1 byte, if any. */
4816 if (unlikely(buflen & 0x01)) {
4817 u16 align_buf[1] = { 0 };
4818 unsigned char *trailing_buf = buf + buflen - 1;
4819
4820 if (write_data) {
4821 memcpy(align_buf, trailing_buf, 1);
0d5ff566 4822 iowrite16(le16_to_cpu(align_buf[0]), ap->ioaddr.data_addr);
6ae4cfb5 4823 } else {
0d5ff566 4824 align_buf[0] = cpu_to_le16(ioread16(ap->ioaddr.data_addr));
6ae4cfb5
AL
4825 memcpy(trailing_buf, align_buf, 1);
4826 }
4827 }
1da177e4
LT
4828}
4829
75e99585 4830/**
0d5ff566 4831 * ata_data_xfer_noirq - Transfer data by PIO
75e99585
AC
4832 * @adev: device to target
4833 * @buf: data buffer
4834 * @buflen: buffer length
4835 * @write_data: read/write
4836 *
88574551 4837 * Transfer data from/to the device data register by PIO. Do the
75e99585
AC
4838 * transfer with interrupts disabled.
4839 *
4840 * LOCKING:
4841 * Inherited from caller.
4842 */
0d5ff566
TH
4843void ata_data_xfer_noirq(struct ata_device *adev, unsigned char *buf,
4844 unsigned int buflen, int write_data)
75e99585
AC
4845{
4846 unsigned long flags;
4847 local_irq_save(flags);
0d5ff566 4848 ata_data_xfer(adev, buf, buflen, write_data);
75e99585
AC
4849 local_irq_restore(flags);
4850}
4851
4852
6ae4cfb5 4853/**
5a5dbd18 4854 * ata_pio_sector - Transfer a sector of data.
6ae4cfb5
AL
4855 * @qc: Command on going
4856 *
5a5dbd18 4857 * Transfer qc->sect_size bytes of data from/to the ATA device.
6ae4cfb5
AL
4858 *
4859 * LOCKING:
4860 * Inherited from caller.
4861 */
4862
1da177e4
LT
4863static void ata_pio_sector(struct ata_queued_cmd *qc)
4864{
4865 int do_write = (qc->tf.flags & ATA_TFLAG_WRITE);
1da177e4
LT
4866 struct ata_port *ap = qc->ap;
4867 struct page *page;
4868 unsigned int offset;
4869 unsigned char *buf;
4870
5a5dbd18 4871 if (qc->curbytes == qc->nbytes - qc->sect_size)
14be71f4 4872 ap->hsm_task_state = HSM_ST_LAST;
1da177e4 4873
45711f1a 4874 page = sg_page(qc->cursg);
87260216 4875 offset = qc->cursg->offset + qc->cursg_ofs;
1da177e4
LT
4876
4877 /* get the current page and offset */
4878 page = nth_page(page, (offset >> PAGE_SHIFT));
4879 offset %= PAGE_SIZE;
4880
1da177e4
LT
4881 DPRINTK("data %s\n", qc->tf.flags & ATA_TFLAG_WRITE ? "write" : "read");
4882
91b8b313
AL
4883 if (PageHighMem(page)) {
4884 unsigned long flags;
4885
a6b2c5d4 4886 /* FIXME: use a bounce buffer */
91b8b313
AL
4887 local_irq_save(flags);
4888 buf = kmap_atomic(page, KM_IRQ0);
083958d3 4889
91b8b313 4890 /* do the actual data transfer */
5a5dbd18 4891 ap->ops->data_xfer(qc->dev, buf + offset, qc->sect_size, do_write);
1da177e4 4892
91b8b313
AL
4893 kunmap_atomic(buf, KM_IRQ0);
4894 local_irq_restore(flags);
4895 } else {
4896 buf = page_address(page);
5a5dbd18 4897 ap->ops->data_xfer(qc->dev, buf + offset, qc->sect_size, do_write);
91b8b313 4898 }
1da177e4 4899
5a5dbd18
ML
4900 qc->curbytes += qc->sect_size;
4901 qc->cursg_ofs += qc->sect_size;
1da177e4 4902
87260216
JA
4903 if (qc->cursg_ofs == qc->cursg->length) {
4904 qc->cursg = sg_next(qc->cursg);
1da177e4
LT
4905 qc->cursg_ofs = 0;
4906 }
1da177e4 4907}
1da177e4 4908
07f6f7d0 4909/**
5a5dbd18 4910 * ata_pio_sectors - Transfer one or many sectors.
07f6f7d0
AL
4911 * @qc: Command on going
4912 *
5a5dbd18 4913 * Transfer one or many sectors of data from/to the
07f6f7d0
AL
4914 * ATA device for the DRQ request.
4915 *
4916 * LOCKING:
4917 * Inherited from caller.
4918 */
1da177e4 4919
07f6f7d0
AL
4920static void ata_pio_sectors(struct ata_queued_cmd *qc)
4921{
4922 if (is_multi_taskfile(&qc->tf)) {
4923 /* READ/WRITE MULTIPLE */
4924 unsigned int nsect;
4925
587005de 4926 WARN_ON(qc->dev->multi_count == 0);
1da177e4 4927
5a5dbd18 4928 nsect = min((qc->nbytes - qc->curbytes) / qc->sect_size,
726f0785 4929 qc->dev->multi_count);
07f6f7d0
AL
4930 while (nsect--)
4931 ata_pio_sector(qc);
4932 } else
4933 ata_pio_sector(qc);
4cc980b3
AL
4934
4935 ata_altstatus(qc->ap); /* flush */
07f6f7d0
AL
4936}
4937
c71c1857
AL
4938/**
4939 * atapi_send_cdb - Write CDB bytes to hardware
4940 * @ap: Port to which ATAPI device is attached.
4941 * @qc: Taskfile currently active
4942 *
4943 * When device has indicated its readiness to accept
4944 * a CDB, this function is called. Send the CDB.
4945 *
4946 * LOCKING:
4947 * caller.
4948 */
4949
4950static void atapi_send_cdb(struct ata_port *ap, struct ata_queued_cmd *qc)
4951{
4952 /* send SCSI cdb */
4953 DPRINTK("send cdb\n");
db024d53 4954 WARN_ON(qc->dev->cdb_len < 12);
c71c1857 4955
a6b2c5d4 4956 ap->ops->data_xfer(qc->dev, qc->cdb, qc->dev->cdb_len, 1);
c71c1857
AL
4957 ata_altstatus(ap); /* flush */
4958
4959 switch (qc->tf.protocol) {
4960 case ATA_PROT_ATAPI:
4961 ap->hsm_task_state = HSM_ST;
4962 break;
4963 case ATA_PROT_ATAPI_NODATA:
4964 ap->hsm_task_state = HSM_ST_LAST;
4965 break;
4966 case ATA_PROT_ATAPI_DMA:
4967 ap->hsm_task_state = HSM_ST_LAST;
4968 /* initiate bmdma */
4969 ap->ops->bmdma_start(qc);
4970 break;
4971 }
1da177e4
LT
4972}
4973
6ae4cfb5
AL
4974/**
4975 * __atapi_pio_bytes - Transfer data from/to the ATAPI device.
4976 * @qc: Command on going
4977 * @bytes: number of bytes
4978 *
4979 * Transfer Transfer data from/to the ATAPI device.
4980 *
4981 * LOCKING:
4982 * Inherited from caller.
4983 *
4984 */
4985
1da177e4
LT
4986static void __atapi_pio_bytes(struct ata_queued_cmd *qc, unsigned int bytes)
4987{
4988 int do_write = (qc->tf.flags & ATA_TFLAG_WRITE);
cedc9a47 4989 struct scatterlist *sg = qc->__sg;
0874ee76 4990 struct scatterlist *lsg = sg_last(qc->__sg, qc->n_elem);
1da177e4
LT
4991 struct ata_port *ap = qc->ap;
4992 struct page *page;
4993 unsigned char *buf;
4994 unsigned int offset, count;
0874ee76 4995 int no_more_sg = 0;
1da177e4 4996
563a6e1f 4997 if (qc->curbytes + bytes >= qc->nbytes)
14be71f4 4998 ap->hsm_task_state = HSM_ST_LAST;
1da177e4
LT
4999
5000next_sg:
0874ee76 5001 if (unlikely(no_more_sg)) {
7fb6ec28 5002 /*
563a6e1f
AL
5003 * The end of qc->sg is reached and the device expects
5004 * more data to transfer. In order not to overrun qc->sg
5005 * and fulfill length specified in the byte count register,
5006 * - for read case, discard trailing data from the device
5007 * - for write case, padding zero data to the device
5008 */
5009 u16 pad_buf[1] = { 0 };
5010 unsigned int words = bytes >> 1;
5011 unsigned int i;
5012
5013 if (words) /* warning if bytes > 1 */
f15a1daf
TH
5014 ata_dev_printk(qc->dev, KERN_WARNING,
5015 "%u bytes trailing data\n", bytes);
563a6e1f
AL
5016
5017 for (i = 0; i < words; i++)
2dcb407e 5018 ap->ops->data_xfer(qc->dev, (unsigned char *)pad_buf, 2, do_write);
563a6e1f 5019
14be71f4 5020 ap->hsm_task_state = HSM_ST_LAST;
563a6e1f
AL
5021 return;
5022 }
5023
87260216 5024 sg = qc->cursg;
1da177e4 5025
45711f1a 5026 page = sg_page(sg);
1da177e4
LT
5027 offset = sg->offset + qc->cursg_ofs;
5028
5029 /* get the current page and offset */
5030 page = nth_page(page, (offset >> PAGE_SHIFT));
5031 offset %= PAGE_SIZE;
5032
6952df03 5033 /* don't overrun current sg */
32529e01 5034 count = min(sg->length - qc->cursg_ofs, bytes);
1da177e4
LT
5035
5036 /* don't cross page boundaries */
5037 count = min(count, (unsigned int)PAGE_SIZE - offset);
5038
7282aa4b
AL
5039 DPRINTK("data %s\n", qc->tf.flags & ATA_TFLAG_WRITE ? "write" : "read");
5040
91b8b313
AL
5041 if (PageHighMem(page)) {
5042 unsigned long flags;
5043
a6b2c5d4 5044 /* FIXME: use bounce buffer */
91b8b313
AL
5045 local_irq_save(flags);
5046 buf = kmap_atomic(page, KM_IRQ0);
083958d3 5047
91b8b313 5048 /* do the actual data transfer */
a6b2c5d4 5049 ap->ops->data_xfer(qc->dev, buf + offset, count, do_write);
7282aa4b 5050
91b8b313
AL
5051 kunmap_atomic(buf, KM_IRQ0);
5052 local_irq_restore(flags);
5053 } else {
5054 buf = page_address(page);
a6b2c5d4 5055 ap->ops->data_xfer(qc->dev, buf + offset, count, do_write);
91b8b313 5056 }
1da177e4
LT
5057
5058 bytes -= count;
5059 qc->curbytes += count;
5060 qc->cursg_ofs += count;
5061
32529e01 5062 if (qc->cursg_ofs == sg->length) {
0874ee76
FT
5063 if (qc->cursg == lsg)
5064 no_more_sg = 1;
5065
87260216 5066 qc->cursg = sg_next(qc->cursg);
1da177e4
LT
5067 qc->cursg_ofs = 0;
5068 }
5069
563a6e1f 5070 if (bytes)
1da177e4 5071 goto next_sg;
1da177e4
LT
5072}
5073
6ae4cfb5
AL
5074/**
5075 * atapi_pio_bytes - Transfer data from/to the ATAPI device.
5076 * @qc: Command on going
5077 *
5078 * Transfer Transfer data from/to the ATAPI device.
5079 *
5080 * LOCKING:
5081 * Inherited from caller.
6ae4cfb5
AL
5082 */
5083
1da177e4
LT
5084static void atapi_pio_bytes(struct ata_queued_cmd *qc)
5085{
5086 struct ata_port *ap = qc->ap;
5087 struct ata_device *dev = qc->dev;
5088 unsigned int ireason, bc_lo, bc_hi, bytes;
5089 int i_write, do_write = (qc->tf.flags & ATA_TFLAG_WRITE) ? 1 : 0;
5090
eec4c3f3
AL
5091 /* Abuse qc->result_tf for temp storage of intermediate TF
5092 * here to save some kernel stack usage.
5093 * For normal completion, qc->result_tf is not relevant. For
5094 * error, qc->result_tf is later overwritten by ata_qc_complete().
5095 * So, the correctness of qc->result_tf is not affected.
5096 */
5097 ap->ops->tf_read(ap, &qc->result_tf);
5098 ireason = qc->result_tf.nsect;
5099 bc_lo = qc->result_tf.lbam;
5100 bc_hi = qc->result_tf.lbah;
1da177e4
LT
5101 bytes = (bc_hi << 8) | bc_lo;
5102
5103 /* shall be cleared to zero, indicating xfer of data */
5104 if (ireason & (1 << 0))
5105 goto err_out;
5106
5107 /* make sure transfer direction matches expected */
5108 i_write = ((ireason & (1 << 1)) == 0) ? 1 : 0;
5109 if (do_write != i_write)
5110 goto err_out;
5111
44877b4e 5112 VPRINTK("ata%u: xfering %d bytes\n", ap->print_id, bytes);
312f7da2 5113
1da177e4 5114 __atapi_pio_bytes(qc, bytes);
4cc980b3 5115 ata_altstatus(ap); /* flush */
1da177e4
LT
5116
5117 return;
5118
5119err_out:
f15a1daf 5120 ata_dev_printk(dev, KERN_INFO, "ATAPI check failed\n");
11a56d24 5121 qc->err_mask |= AC_ERR_HSM;
14be71f4 5122 ap->hsm_task_state = HSM_ST_ERR;
1da177e4
LT
5123}
5124
5125/**
c234fb00
AL
5126 * ata_hsm_ok_in_wq - Check if the qc can be handled in the workqueue.
5127 * @ap: the target ata_port
5128 * @qc: qc on going
1da177e4 5129 *
c234fb00
AL
5130 * RETURNS:
5131 * 1 if ok in workqueue, 0 otherwise.
1da177e4 5132 */
c234fb00
AL
5133
5134static inline int ata_hsm_ok_in_wq(struct ata_port *ap, struct ata_queued_cmd *qc)
1da177e4 5135{
c234fb00
AL
5136 if (qc->tf.flags & ATA_TFLAG_POLLING)
5137 return 1;
1da177e4 5138
c234fb00
AL
5139 if (ap->hsm_task_state == HSM_ST_FIRST) {
5140 if (qc->tf.protocol == ATA_PROT_PIO &&
5141 (qc->tf.flags & ATA_TFLAG_WRITE))
5142 return 1;
1da177e4 5143
c234fb00
AL
5144 if (is_atapi_taskfile(&qc->tf) &&
5145 !(qc->dev->flags & ATA_DFLAG_CDB_INTR))
5146 return 1;
fe79e683
AL
5147 }
5148
c234fb00
AL
5149 return 0;
5150}
1da177e4 5151
c17ea20d
TH
5152/**
5153 * ata_hsm_qc_complete - finish a qc running on standard HSM
5154 * @qc: Command to complete
5155 * @in_wq: 1 if called from workqueue, 0 otherwise
5156 *
5157 * Finish @qc which is running on standard HSM.
5158 *
5159 * LOCKING:
cca3974e 5160 * If @in_wq is zero, spin_lock_irqsave(host lock).
c17ea20d
TH
5161 * Otherwise, none on entry and grabs host lock.
5162 */
5163static void ata_hsm_qc_complete(struct ata_queued_cmd *qc, int in_wq)
5164{
5165 struct ata_port *ap = qc->ap;
5166 unsigned long flags;
5167
5168 if (ap->ops->error_handler) {
5169 if (in_wq) {
ba6a1308 5170 spin_lock_irqsave(ap->lock, flags);
c17ea20d 5171
cca3974e
JG
5172 /* EH might have kicked in while host lock is
5173 * released.
c17ea20d
TH
5174 */
5175 qc = ata_qc_from_tag(ap, qc->tag);
5176 if (qc) {
5177 if (likely(!(qc->err_mask & AC_ERR_HSM))) {
83625006 5178 ap->ops->irq_on(ap);
c17ea20d
TH
5179 ata_qc_complete(qc);
5180 } else
5181 ata_port_freeze(ap);
5182 }
5183
ba6a1308 5184 spin_unlock_irqrestore(ap->lock, flags);
c17ea20d
TH
5185 } else {
5186 if (likely(!(qc->err_mask & AC_ERR_HSM)))
5187 ata_qc_complete(qc);
5188 else
5189 ata_port_freeze(ap);
5190 }
5191 } else {
5192 if (in_wq) {
ba6a1308 5193 spin_lock_irqsave(ap->lock, flags);
83625006 5194 ap->ops->irq_on(ap);
c17ea20d 5195 ata_qc_complete(qc);
ba6a1308 5196 spin_unlock_irqrestore(ap->lock, flags);
c17ea20d
TH
5197 } else
5198 ata_qc_complete(qc);
5199 }
5200}
5201
bb5cb290
AL
5202/**
5203 * ata_hsm_move - move the HSM to the next state.
5204 * @ap: the target ata_port
5205 * @qc: qc on going
5206 * @status: current device status
5207 * @in_wq: 1 if called from workqueue, 0 otherwise
5208 *
5209 * RETURNS:
5210 * 1 when poll next status needed, 0 otherwise.
5211 */
9a1004d0
TH
5212int ata_hsm_move(struct ata_port *ap, struct ata_queued_cmd *qc,
5213 u8 status, int in_wq)
e2cec771 5214{
bb5cb290
AL
5215 unsigned long flags = 0;
5216 int poll_next;
5217
6912ccd5
AL
5218 WARN_ON((qc->flags & ATA_QCFLAG_ACTIVE) == 0);
5219
bb5cb290
AL
5220 /* Make sure ata_qc_issue_prot() does not throw things
5221 * like DMA polling into the workqueue. Notice that
5222 * in_wq is not equivalent to (qc->tf.flags & ATA_TFLAG_POLLING).
5223 */
c234fb00 5224 WARN_ON(in_wq != ata_hsm_ok_in_wq(ap, qc));
bb5cb290 5225
e2cec771 5226fsm_start:
999bb6f4 5227 DPRINTK("ata%u: protocol %d task_state %d (dev_stat 0x%X)\n",
44877b4e 5228 ap->print_id, qc->tf.protocol, ap->hsm_task_state, status);
999bb6f4 5229
e2cec771
AL
5230 switch (ap->hsm_task_state) {
5231 case HSM_ST_FIRST:
bb5cb290
AL
5232 /* Send first data block or PACKET CDB */
5233
5234 /* If polling, we will stay in the work queue after
5235 * sending the data. Otherwise, interrupt handler
5236 * takes over after sending the data.
5237 */
5238 poll_next = (qc->tf.flags & ATA_TFLAG_POLLING);
5239
e2cec771 5240 /* check device status */
3655d1d3
AL
5241 if (unlikely((status & ATA_DRQ) == 0)) {
5242 /* handle BSY=0, DRQ=0 as error */
5243 if (likely(status & (ATA_ERR | ATA_DF)))
5244 /* device stops HSM for abort/error */
5245 qc->err_mask |= AC_ERR_DEV;
5246 else
5247 /* HSM violation. Let EH handle this */
5248 qc->err_mask |= AC_ERR_HSM;
5249
14be71f4 5250 ap->hsm_task_state = HSM_ST_ERR;
e2cec771 5251 goto fsm_start;
1da177e4
LT
5252 }
5253
71601958
AL
5254 /* Device should not ask for data transfer (DRQ=1)
5255 * when it finds something wrong.
eee6c32f
AL
5256 * We ignore DRQ here and stop the HSM by
5257 * changing hsm_task_state to HSM_ST_ERR and
5258 * let the EH abort the command or reset the device.
71601958
AL
5259 */
5260 if (unlikely(status & (ATA_ERR | ATA_DF))) {
44877b4e
TH
5261 ata_port_printk(ap, KERN_WARNING, "DRQ=1 with device "
5262 "error, dev_stat 0x%X\n", status);
3655d1d3 5263 qc->err_mask |= AC_ERR_HSM;
eee6c32f
AL
5264 ap->hsm_task_state = HSM_ST_ERR;
5265 goto fsm_start;
71601958 5266 }
1da177e4 5267
bb5cb290
AL
5268 /* Send the CDB (atapi) or the first data block (ata pio out).
5269 * During the state transition, interrupt handler shouldn't
5270 * be invoked before the data transfer is complete and
5271 * hsm_task_state is changed. Hence, the following locking.
5272 */
5273 if (in_wq)
ba6a1308 5274 spin_lock_irqsave(ap->lock, flags);
1da177e4 5275
bb5cb290
AL
5276 if (qc->tf.protocol == ATA_PROT_PIO) {
5277 /* PIO data out protocol.
5278 * send first data block.
5279 */
0565c26d 5280
bb5cb290
AL
5281 /* ata_pio_sectors() might change the state
5282 * to HSM_ST_LAST. so, the state is changed here
5283 * before ata_pio_sectors().
5284 */
5285 ap->hsm_task_state = HSM_ST;
5286 ata_pio_sectors(qc);
bb5cb290
AL
5287 } else
5288 /* send CDB */
5289 atapi_send_cdb(ap, qc);
5290
5291 if (in_wq)
ba6a1308 5292 spin_unlock_irqrestore(ap->lock, flags);
bb5cb290
AL
5293
5294 /* if polling, ata_pio_task() handles the rest.
5295 * otherwise, interrupt handler takes over from here.
5296 */
e2cec771 5297 break;
1c848984 5298
e2cec771
AL
5299 case HSM_ST:
5300 /* complete command or read/write the data register */
5301 if (qc->tf.protocol == ATA_PROT_ATAPI) {
5302 /* ATAPI PIO protocol */
5303 if ((status & ATA_DRQ) == 0) {
3655d1d3
AL
5304 /* No more data to transfer or device error.
5305 * Device error will be tagged in HSM_ST_LAST.
5306 */
e2cec771
AL
5307 ap->hsm_task_state = HSM_ST_LAST;
5308 goto fsm_start;
5309 }
1da177e4 5310
71601958
AL
5311 /* Device should not ask for data transfer (DRQ=1)
5312 * when it finds something wrong.
eee6c32f
AL
5313 * We ignore DRQ here and stop the HSM by
5314 * changing hsm_task_state to HSM_ST_ERR and
5315 * let the EH abort the command or reset the device.
71601958
AL
5316 */
5317 if (unlikely(status & (ATA_ERR | ATA_DF))) {
44877b4e
TH
5318 ata_port_printk(ap, KERN_WARNING, "DRQ=1 with "
5319 "device error, dev_stat 0x%X\n",
5320 status);
3655d1d3 5321 qc->err_mask |= AC_ERR_HSM;
eee6c32f
AL
5322 ap->hsm_task_state = HSM_ST_ERR;
5323 goto fsm_start;
71601958 5324 }
1da177e4 5325
e2cec771 5326 atapi_pio_bytes(qc);
7fb6ec28 5327
e2cec771
AL
5328 if (unlikely(ap->hsm_task_state == HSM_ST_ERR))
5329 /* bad ireason reported by device */
5330 goto fsm_start;
1da177e4 5331
e2cec771
AL
5332 } else {
5333 /* ATA PIO protocol */
5334 if (unlikely((status & ATA_DRQ) == 0)) {
5335 /* handle BSY=0, DRQ=0 as error */
3655d1d3
AL
5336 if (likely(status & (ATA_ERR | ATA_DF)))
5337 /* device stops HSM for abort/error */
5338 qc->err_mask |= AC_ERR_DEV;
5339 else
55a8e2c8
TH
5340 /* HSM violation. Let EH handle this.
5341 * Phantom devices also trigger this
5342 * condition. Mark hint.
5343 */
5344 qc->err_mask |= AC_ERR_HSM |
5345 AC_ERR_NODEV_HINT;
3655d1d3 5346
e2cec771
AL
5347 ap->hsm_task_state = HSM_ST_ERR;
5348 goto fsm_start;
5349 }
1da177e4 5350
eee6c32f
AL
5351 /* For PIO reads, some devices may ask for
5352 * data transfer (DRQ=1) alone with ERR=1.
5353 * We respect DRQ here and transfer one
5354 * block of junk data before changing the
5355 * hsm_task_state to HSM_ST_ERR.
5356 *
5357 * For PIO writes, ERR=1 DRQ=1 doesn't make
5358 * sense since the data block has been
5359 * transferred to the device.
71601958
AL
5360 */
5361 if (unlikely(status & (ATA_ERR | ATA_DF))) {
71601958
AL
5362 /* data might be corrputed */
5363 qc->err_mask |= AC_ERR_DEV;
eee6c32f
AL
5364
5365 if (!(qc->tf.flags & ATA_TFLAG_WRITE)) {
5366 ata_pio_sectors(qc);
eee6c32f
AL
5367 status = ata_wait_idle(ap);
5368 }
5369
3655d1d3
AL
5370 if (status & (ATA_BUSY | ATA_DRQ))
5371 qc->err_mask |= AC_ERR_HSM;
5372
eee6c32f
AL
5373 /* ata_pio_sectors() might change the
5374 * state to HSM_ST_LAST. so, the state
5375 * is changed after ata_pio_sectors().
5376 */
5377 ap->hsm_task_state = HSM_ST_ERR;
5378 goto fsm_start;
71601958
AL
5379 }
5380
e2cec771
AL
5381 ata_pio_sectors(qc);
5382
5383 if (ap->hsm_task_state == HSM_ST_LAST &&
5384 (!(qc->tf.flags & ATA_TFLAG_WRITE))) {
5385 /* all data read */
52a32205 5386 status = ata_wait_idle(ap);
e2cec771
AL
5387 goto fsm_start;
5388 }
5389 }
5390
bb5cb290 5391 poll_next = 1;
1da177e4
LT
5392 break;
5393
14be71f4 5394 case HSM_ST_LAST:
6912ccd5
AL
5395 if (unlikely(!ata_ok(status))) {
5396 qc->err_mask |= __ac_err_mask(status);
e2cec771
AL
5397 ap->hsm_task_state = HSM_ST_ERR;
5398 goto fsm_start;
5399 }
5400
5401 /* no more data to transfer */
4332a771 5402 DPRINTK("ata%u: dev %u command complete, drv_stat 0x%x\n",
44877b4e 5403 ap->print_id, qc->dev->devno, status);
e2cec771 5404
6912ccd5
AL
5405 WARN_ON(qc->err_mask);
5406
e2cec771 5407 ap->hsm_task_state = HSM_ST_IDLE;
1da177e4 5408
e2cec771 5409 /* complete taskfile transaction */
c17ea20d 5410 ata_hsm_qc_complete(qc, in_wq);
bb5cb290
AL
5411
5412 poll_next = 0;
1da177e4
LT
5413 break;
5414
14be71f4 5415 case HSM_ST_ERR:
e2cec771
AL
5416 /* make sure qc->err_mask is available to
5417 * know what's wrong and recover
5418 */
5419 WARN_ON(qc->err_mask == 0);
5420
5421 ap->hsm_task_state = HSM_ST_IDLE;
bb5cb290 5422
999bb6f4 5423 /* complete taskfile transaction */
c17ea20d 5424 ata_hsm_qc_complete(qc, in_wq);
bb5cb290
AL
5425
5426 poll_next = 0;
e2cec771
AL
5427 break;
5428 default:
bb5cb290 5429 poll_next = 0;
6912ccd5 5430 BUG();
1da177e4
LT
5431 }
5432
bb5cb290 5433 return poll_next;
1da177e4
LT
5434}
5435
65f27f38 5436static void ata_pio_task(struct work_struct *work)
8061f5f0 5437{
65f27f38
DH
5438 struct ata_port *ap =
5439 container_of(work, struct ata_port, port_task.work);
5440 struct ata_queued_cmd *qc = ap->port_task_data;
8061f5f0 5441 u8 status;
a1af3734 5442 int poll_next;
8061f5f0 5443
7fb6ec28 5444fsm_start:
a1af3734 5445 WARN_ON(ap->hsm_task_state == HSM_ST_IDLE);
8061f5f0 5446
a1af3734
AL
5447 /*
5448 * This is purely heuristic. This is a fast path.
5449 * Sometimes when we enter, BSY will be cleared in
5450 * a chk-status or two. If not, the drive is probably seeking
5451 * or something. Snooze for a couple msecs, then
5452 * chk-status again. If still busy, queue delayed work.
5453 */
5454 status = ata_busy_wait(ap, ATA_BUSY, 5);
5455 if (status & ATA_BUSY) {
5456 msleep(2);
5457 status = ata_busy_wait(ap, ATA_BUSY, 10);
5458 if (status & ATA_BUSY) {
31ce6dae 5459 ata_port_queue_task(ap, ata_pio_task, qc, ATA_SHORT_PAUSE);
a1af3734
AL
5460 return;
5461 }
8061f5f0
TH
5462 }
5463
a1af3734
AL
5464 /* move the HSM */
5465 poll_next = ata_hsm_move(ap, qc, status, 1);
8061f5f0 5466
a1af3734
AL
5467 /* another command or interrupt handler
5468 * may be running at this point.
5469 */
5470 if (poll_next)
7fb6ec28 5471 goto fsm_start;
8061f5f0
TH
5472}
5473
1da177e4
LT
5474/**
5475 * ata_qc_new - Request an available ATA command, for queueing
5476 * @ap: Port associated with device @dev
5477 * @dev: Device from whom we request an available command structure
5478 *
5479 * LOCKING:
0cba632b 5480 * None.
1da177e4
LT
5481 */
5482
5483static struct ata_queued_cmd *ata_qc_new(struct ata_port *ap)
5484{
5485 struct ata_queued_cmd *qc = NULL;
5486 unsigned int i;
5487
e3180499 5488 /* no command while frozen */
b51e9e5d 5489 if (unlikely(ap->pflags & ATA_PFLAG_FROZEN))
e3180499
TH
5490 return NULL;
5491
2ab7db1f
TH
5492 /* the last tag is reserved for internal command. */
5493 for (i = 0; i < ATA_MAX_QUEUE - 1; i++)
6cec4a39 5494 if (!test_and_set_bit(i, &ap->qc_allocated)) {
f69499f4 5495 qc = __ata_qc_from_tag(ap, i);
1da177e4
LT
5496 break;
5497 }
5498
5499 if (qc)
5500 qc->tag = i;
5501
5502 return qc;
5503}
5504
5505/**
5506 * ata_qc_new_init - Request an available ATA command, and initialize it
1da177e4
LT
5507 * @dev: Device from whom we request an available command structure
5508 *
5509 * LOCKING:
0cba632b 5510 * None.
1da177e4
LT
5511 */
5512
3373efd8 5513struct ata_queued_cmd *ata_qc_new_init(struct ata_device *dev)
1da177e4 5514{
9af5c9c9 5515 struct ata_port *ap = dev->link->ap;
1da177e4
LT
5516 struct ata_queued_cmd *qc;
5517
5518 qc = ata_qc_new(ap);
5519 if (qc) {
1da177e4
LT
5520 qc->scsicmd = NULL;
5521 qc->ap = ap;
5522 qc->dev = dev;
1da177e4 5523
2c13b7ce 5524 ata_qc_reinit(qc);
1da177e4
LT
5525 }
5526
5527 return qc;
5528}
5529
1da177e4
LT
5530/**
5531 * ata_qc_free - free unused ata_queued_cmd
5532 * @qc: Command to complete
5533 *
5534 * Designed to free unused ata_queued_cmd object
5535 * in case something prevents using it.
5536 *
5537 * LOCKING:
cca3974e 5538 * spin_lock_irqsave(host lock)
1da177e4
LT
5539 */
5540void ata_qc_free(struct ata_queued_cmd *qc)
5541{
4ba946e9
TH
5542 struct ata_port *ap = qc->ap;
5543 unsigned int tag;
5544
a4631474 5545 WARN_ON(qc == NULL); /* ata_qc_from_tag _might_ return NULL */
1da177e4 5546
4ba946e9
TH
5547 qc->flags = 0;
5548 tag = qc->tag;
5549 if (likely(ata_tag_valid(tag))) {
4ba946e9 5550 qc->tag = ATA_TAG_POISON;
6cec4a39 5551 clear_bit(tag, &ap->qc_allocated);
4ba946e9 5552 }
1da177e4
LT
5553}
5554
76014427 5555void __ata_qc_complete(struct ata_queued_cmd *qc)
1da177e4 5556{
dedaf2b0 5557 struct ata_port *ap = qc->ap;
9af5c9c9 5558 struct ata_link *link = qc->dev->link;
dedaf2b0 5559
a4631474
TH
5560 WARN_ON(qc == NULL); /* ata_qc_from_tag _might_ return NULL */
5561 WARN_ON(!(qc->flags & ATA_QCFLAG_ACTIVE));
1da177e4
LT
5562
5563 if (likely(qc->flags & ATA_QCFLAG_DMAMAP))
5564 ata_sg_clean(qc);
5565
7401abf2 5566 /* command should be marked inactive atomically with qc completion */
da917d69 5567 if (qc->tf.protocol == ATA_PROT_NCQ) {
9af5c9c9 5568 link->sactive &= ~(1 << qc->tag);
da917d69
TH
5569 if (!link->sactive)
5570 ap->nr_active_links--;
5571 } else {
9af5c9c9 5572 link->active_tag = ATA_TAG_POISON;
da917d69
TH
5573 ap->nr_active_links--;
5574 }
5575
5576 /* clear exclusive status */
5577 if (unlikely(qc->flags & ATA_QCFLAG_CLEAR_EXCL &&
5578 ap->excl_link == link))
5579 ap->excl_link = NULL;
7401abf2 5580
3f3791d3
AL
5581 /* atapi: mark qc as inactive to prevent the interrupt handler
5582 * from completing the command twice later, before the error handler
5583 * is called. (when rc != 0 and atapi request sense is needed)
5584 */
5585 qc->flags &= ~ATA_QCFLAG_ACTIVE;
dedaf2b0 5586 ap->qc_active &= ~(1 << qc->tag);
3f3791d3 5587
1da177e4 5588 /* call completion callback */
77853bf2 5589 qc->complete_fn(qc);
1da177e4
LT
5590}
5591
39599a53
TH
5592static void fill_result_tf(struct ata_queued_cmd *qc)
5593{
5594 struct ata_port *ap = qc->ap;
5595
39599a53 5596 qc->result_tf.flags = qc->tf.flags;
4742d54f 5597 ap->ops->tf_read(ap, &qc->result_tf);
39599a53
TH
5598}
5599
f686bcb8
TH
5600/**
5601 * ata_qc_complete - Complete an active ATA command
5602 * @qc: Command to complete
5603 * @err_mask: ATA Status register contents
5604 *
5605 * Indicate to the mid and upper layers that an ATA
5606 * command has completed, with either an ok or not-ok status.
5607 *
5608 * LOCKING:
cca3974e 5609 * spin_lock_irqsave(host lock)
f686bcb8
TH
5610 */
5611void ata_qc_complete(struct ata_queued_cmd *qc)
5612{
5613 struct ata_port *ap = qc->ap;
5614
5615 /* XXX: New EH and old EH use different mechanisms to
5616 * synchronize EH with regular execution path.
5617 *
5618 * In new EH, a failed qc is marked with ATA_QCFLAG_FAILED.
5619 * Normal execution path is responsible for not accessing a
5620 * failed qc. libata core enforces the rule by returning NULL
5621 * from ata_qc_from_tag() for failed qcs.
5622 *
5623 * Old EH depends on ata_qc_complete() nullifying completion
5624 * requests if ATA_QCFLAG_EH_SCHEDULED is set. Old EH does
5625 * not synchronize with interrupt handler. Only PIO task is
5626 * taken care of.
5627 */
5628 if (ap->ops->error_handler) {
4dbfa39b
TH
5629 struct ata_device *dev = qc->dev;
5630 struct ata_eh_info *ehi = &dev->link->eh_info;
5631
b51e9e5d 5632 WARN_ON(ap->pflags & ATA_PFLAG_FROZEN);
f686bcb8
TH
5633
5634 if (unlikely(qc->err_mask))
5635 qc->flags |= ATA_QCFLAG_FAILED;
5636
5637 if (unlikely(qc->flags & ATA_QCFLAG_FAILED)) {
5638 if (!ata_tag_internal(qc->tag)) {
5639 /* always fill result TF for failed qc */
39599a53 5640 fill_result_tf(qc);
f686bcb8
TH
5641 ata_qc_schedule_eh(qc);
5642 return;
5643 }
5644 }
5645
5646 /* read result TF if requested */
5647 if (qc->flags & ATA_QCFLAG_RESULT_TF)
39599a53 5648 fill_result_tf(qc);
f686bcb8 5649
4dbfa39b
TH
5650 /* Some commands need post-processing after successful
5651 * completion.
5652 */
5653 switch (qc->tf.command) {
5654 case ATA_CMD_SET_FEATURES:
5655 if (qc->tf.feature != SETFEATURES_WC_ON &&
5656 qc->tf.feature != SETFEATURES_WC_OFF)
5657 break;
5658 /* fall through */
5659 case ATA_CMD_INIT_DEV_PARAMS: /* CHS translation changed */
5660 case ATA_CMD_SET_MULTI: /* multi_count changed */
5661 /* revalidate device */
5662 ehi->dev_action[dev->devno] |= ATA_EH_REVALIDATE;
5663 ata_port_schedule_eh(ap);
5664 break;
054a5fba
TH
5665
5666 case ATA_CMD_SLEEP:
5667 dev->flags |= ATA_DFLAG_SLEEPING;
5668 break;
4dbfa39b
TH
5669 }
5670
f686bcb8
TH
5671 __ata_qc_complete(qc);
5672 } else {
5673 if (qc->flags & ATA_QCFLAG_EH_SCHEDULED)
5674 return;
5675
5676 /* read result TF if failed or requested */
5677 if (qc->err_mask || qc->flags & ATA_QCFLAG_RESULT_TF)
39599a53 5678 fill_result_tf(qc);
f686bcb8
TH
5679
5680 __ata_qc_complete(qc);
5681 }
5682}
5683
dedaf2b0
TH
5684/**
5685 * ata_qc_complete_multiple - Complete multiple qcs successfully
5686 * @ap: port in question
5687 * @qc_active: new qc_active mask
5688 * @finish_qc: LLDD callback invoked before completing a qc
5689 *
5690 * Complete in-flight commands. This functions is meant to be
5691 * called from low-level driver's interrupt routine to complete
5692 * requests normally. ap->qc_active and @qc_active is compared
5693 * and commands are completed accordingly.
5694 *
5695 * LOCKING:
cca3974e 5696 * spin_lock_irqsave(host lock)
dedaf2b0
TH
5697 *
5698 * RETURNS:
5699 * Number of completed commands on success, -errno otherwise.
5700 */
5701int ata_qc_complete_multiple(struct ata_port *ap, u32 qc_active,
5702 void (*finish_qc)(struct ata_queued_cmd *))
5703{
5704 int nr_done = 0;
5705 u32 done_mask;
5706 int i;
5707
5708 done_mask = ap->qc_active ^ qc_active;
5709
5710 if (unlikely(done_mask & qc_active)) {
5711 ata_port_printk(ap, KERN_ERR, "illegal qc_active transition "
5712 "(%08x->%08x)\n", ap->qc_active, qc_active);
5713 return -EINVAL;
5714 }
5715
5716 for (i = 0; i < ATA_MAX_QUEUE; i++) {
5717 struct ata_queued_cmd *qc;
5718
5719 if (!(done_mask & (1 << i)))
5720 continue;
5721
5722 if ((qc = ata_qc_from_tag(ap, i))) {
5723 if (finish_qc)
5724 finish_qc(qc);
5725 ata_qc_complete(qc);
5726 nr_done++;
5727 }
5728 }
5729
5730 return nr_done;
5731}
5732
1da177e4
LT
5733static inline int ata_should_dma_map(struct ata_queued_cmd *qc)
5734{
5735 struct ata_port *ap = qc->ap;
5736
5737 switch (qc->tf.protocol) {
3dc1d881 5738 case ATA_PROT_NCQ:
1da177e4
LT
5739 case ATA_PROT_DMA:
5740 case ATA_PROT_ATAPI_DMA:
5741 return 1;
5742
5743 case ATA_PROT_ATAPI:
5744 case ATA_PROT_PIO:
1da177e4
LT
5745 if (ap->flags & ATA_FLAG_PIO_DMA)
5746 return 1;
5747
5748 /* fall through */
5749
5750 default:
5751 return 0;
5752 }
5753
5754 /* never reached */
5755}
5756
5757/**
5758 * ata_qc_issue - issue taskfile to device
5759 * @qc: command to issue to device
5760 *
5761 * Prepare an ATA command to submission to device.
5762 * This includes mapping the data into a DMA-able
5763 * area, filling in the S/G table, and finally
5764 * writing the taskfile to hardware, starting the command.
5765 *
5766 * LOCKING:
cca3974e 5767 * spin_lock_irqsave(host lock)
1da177e4 5768 */
8e0e694a 5769void ata_qc_issue(struct ata_queued_cmd *qc)
1da177e4
LT
5770{
5771 struct ata_port *ap = qc->ap;
9af5c9c9 5772 struct ata_link *link = qc->dev->link;
1da177e4 5773
dedaf2b0
TH
5774 /* Make sure only one non-NCQ command is outstanding. The
5775 * check is skipped for old EH because it reuses active qc to
5776 * request ATAPI sense.
5777 */
9af5c9c9 5778 WARN_ON(ap->ops->error_handler && ata_tag_valid(link->active_tag));
dedaf2b0
TH
5779
5780 if (qc->tf.protocol == ATA_PROT_NCQ) {
9af5c9c9 5781 WARN_ON(link->sactive & (1 << qc->tag));
da917d69
TH
5782
5783 if (!link->sactive)
5784 ap->nr_active_links++;
9af5c9c9 5785 link->sactive |= 1 << qc->tag;
dedaf2b0 5786 } else {
9af5c9c9 5787 WARN_ON(link->sactive);
da917d69
TH
5788
5789 ap->nr_active_links++;
9af5c9c9 5790 link->active_tag = qc->tag;
dedaf2b0
TH
5791 }
5792
e4a70e76 5793 qc->flags |= ATA_QCFLAG_ACTIVE;
dedaf2b0 5794 ap->qc_active |= 1 << qc->tag;
e4a70e76 5795
1da177e4
LT
5796 if (ata_should_dma_map(qc)) {
5797 if (qc->flags & ATA_QCFLAG_SG) {
5798 if (ata_sg_setup(qc))
8e436af9 5799 goto sg_err;
1da177e4
LT
5800 } else if (qc->flags & ATA_QCFLAG_SINGLE) {
5801 if (ata_sg_setup_one(qc))
8e436af9 5802 goto sg_err;
1da177e4
LT
5803 }
5804 } else {
5805 qc->flags &= ~ATA_QCFLAG_DMAMAP;
5806 }
5807
054a5fba
TH
5808 /* if device is sleeping, schedule softreset and abort the link */
5809 if (unlikely(qc->dev->flags & ATA_DFLAG_SLEEPING)) {
5810 link->eh_info.action |= ATA_EH_SOFTRESET;
5811 ata_ehi_push_desc(&link->eh_info, "waking up from sleep");
5812 ata_link_abort(link);
5813 return;
5814 }
5815
1da177e4
LT
5816 ap->ops->qc_prep(qc);
5817
8e0e694a
TH
5818 qc->err_mask |= ap->ops->qc_issue(qc);
5819 if (unlikely(qc->err_mask))
5820 goto err;
5821 return;
1da177e4 5822
8e436af9
TH
5823sg_err:
5824 qc->flags &= ~ATA_QCFLAG_DMAMAP;
8e0e694a
TH
5825 qc->err_mask |= AC_ERR_SYSTEM;
5826err:
5827 ata_qc_complete(qc);
1da177e4
LT
5828}
5829
5830/**
5831 * ata_qc_issue_prot - issue taskfile to device in proto-dependent manner
5832 * @qc: command to issue to device
5833 *
5834 * Using various libata functions and hooks, this function
5835 * starts an ATA command. ATA commands are grouped into
5836 * classes called "protocols", and issuing each type of protocol
5837 * is slightly different.
5838 *
0baab86b
EF
5839 * May be used as the qc_issue() entry in ata_port_operations.
5840 *
1da177e4 5841 * LOCKING:
cca3974e 5842 * spin_lock_irqsave(host lock)
1da177e4
LT
5843 *
5844 * RETURNS:
9a3d9eb0 5845 * Zero on success, AC_ERR_* mask on failure
1da177e4
LT
5846 */
5847
9a3d9eb0 5848unsigned int ata_qc_issue_prot(struct ata_queued_cmd *qc)
1da177e4
LT
5849{
5850 struct ata_port *ap = qc->ap;
5851
e50362ec
AL
5852 /* Use polling pio if the LLD doesn't handle
5853 * interrupt driven pio and atapi CDB interrupt.
5854 */
5855 if (ap->flags & ATA_FLAG_PIO_POLLING) {
5856 switch (qc->tf.protocol) {
5857 case ATA_PROT_PIO:
e3472cbe 5858 case ATA_PROT_NODATA:
e50362ec
AL
5859 case ATA_PROT_ATAPI:
5860 case ATA_PROT_ATAPI_NODATA:
5861 qc->tf.flags |= ATA_TFLAG_POLLING;
5862 break;
5863 case ATA_PROT_ATAPI_DMA:
5864 if (qc->dev->flags & ATA_DFLAG_CDB_INTR)
3a778275 5865 /* see ata_dma_blacklisted() */
e50362ec
AL
5866 BUG();
5867 break;
5868 default:
5869 break;
5870 }
5871 }
5872
312f7da2 5873 /* select the device */
1da177e4
LT
5874 ata_dev_select(ap, qc->dev->devno, 1, 0);
5875
312f7da2 5876 /* start the command */
1da177e4
LT
5877 switch (qc->tf.protocol) {
5878 case ATA_PROT_NODATA:
312f7da2
AL
5879 if (qc->tf.flags & ATA_TFLAG_POLLING)
5880 ata_qc_set_polling(qc);
5881
e5338254 5882 ata_tf_to_host(ap, &qc->tf);
312f7da2
AL
5883 ap->hsm_task_state = HSM_ST_LAST;
5884
5885 if (qc->tf.flags & ATA_TFLAG_POLLING)
31ce6dae 5886 ata_port_queue_task(ap, ata_pio_task, qc, 0);
312f7da2 5887
1da177e4
LT
5888 break;
5889
5890 case ATA_PROT_DMA:
587005de 5891 WARN_ON(qc->tf.flags & ATA_TFLAG_POLLING);
312f7da2 5892
1da177e4
LT
5893 ap->ops->tf_load(ap, &qc->tf); /* load tf registers */
5894 ap->ops->bmdma_setup(qc); /* set up bmdma */
5895 ap->ops->bmdma_start(qc); /* initiate bmdma */
312f7da2 5896 ap->hsm_task_state = HSM_ST_LAST;
1da177e4
LT
5897 break;
5898
312f7da2
AL
5899 case ATA_PROT_PIO:
5900 if (qc->tf.flags & ATA_TFLAG_POLLING)
5901 ata_qc_set_polling(qc);
1da177e4 5902
e5338254 5903 ata_tf_to_host(ap, &qc->tf);
312f7da2 5904
54f00389
AL
5905 if (qc->tf.flags & ATA_TFLAG_WRITE) {
5906 /* PIO data out protocol */
5907 ap->hsm_task_state = HSM_ST_FIRST;
31ce6dae 5908 ata_port_queue_task(ap, ata_pio_task, qc, 0);
54f00389
AL
5909
5910 /* always send first data block using
e27486db 5911 * the ata_pio_task() codepath.
54f00389 5912 */
312f7da2 5913 } else {
54f00389
AL
5914 /* PIO data in protocol */
5915 ap->hsm_task_state = HSM_ST;
5916
5917 if (qc->tf.flags & ATA_TFLAG_POLLING)
31ce6dae 5918 ata_port_queue_task(ap, ata_pio_task, qc, 0);
54f00389
AL
5919
5920 /* if polling, ata_pio_task() handles the rest.
5921 * otherwise, interrupt handler takes over from here.
5922 */
312f7da2
AL
5923 }
5924
1da177e4
LT
5925 break;
5926
1da177e4 5927 case ATA_PROT_ATAPI:
1da177e4 5928 case ATA_PROT_ATAPI_NODATA:
312f7da2
AL
5929 if (qc->tf.flags & ATA_TFLAG_POLLING)
5930 ata_qc_set_polling(qc);
5931
e5338254 5932 ata_tf_to_host(ap, &qc->tf);
f6ef65e6 5933
312f7da2
AL
5934 ap->hsm_task_state = HSM_ST_FIRST;
5935
5936 /* send cdb by polling if no cdb interrupt */
5937 if ((!(qc->dev->flags & ATA_DFLAG_CDB_INTR)) ||
5938 (qc->tf.flags & ATA_TFLAG_POLLING))
31ce6dae 5939 ata_port_queue_task(ap, ata_pio_task, qc, 0);
1da177e4
LT
5940 break;
5941
5942 case ATA_PROT_ATAPI_DMA:
587005de 5943 WARN_ON(qc->tf.flags & ATA_TFLAG_POLLING);
312f7da2 5944
1da177e4
LT
5945 ap->ops->tf_load(ap, &qc->tf); /* load tf registers */
5946 ap->ops->bmdma_setup(qc); /* set up bmdma */
312f7da2
AL
5947 ap->hsm_task_state = HSM_ST_FIRST;
5948
5949 /* send cdb by polling if no cdb interrupt */
5950 if (!(qc->dev->flags & ATA_DFLAG_CDB_INTR))
31ce6dae 5951 ata_port_queue_task(ap, ata_pio_task, qc, 0);
1da177e4
LT
5952 break;
5953
5954 default:
5955 WARN_ON(1);
9a3d9eb0 5956 return AC_ERR_SYSTEM;
1da177e4
LT
5957 }
5958
5959 return 0;
5960}
5961
1da177e4
LT
5962/**
5963 * ata_host_intr - Handle host interrupt for given (port, task)
5964 * @ap: Port on which interrupt arrived (possibly...)
5965 * @qc: Taskfile currently active in engine
5966 *
5967 * Handle host interrupt for given queued command. Currently,
5968 * only DMA interrupts are handled. All other commands are
5969 * handled via polling with interrupts disabled (nIEN bit).
5970 *
5971 * LOCKING:
cca3974e 5972 * spin_lock_irqsave(host lock)
1da177e4
LT
5973 *
5974 * RETURNS:
5975 * One if interrupt was handled, zero if not (shared irq).
5976 */
5977
2dcb407e
JG
5978inline unsigned int ata_host_intr(struct ata_port *ap,
5979 struct ata_queued_cmd *qc)
1da177e4 5980{
9af5c9c9 5981 struct ata_eh_info *ehi = &ap->link.eh_info;
312f7da2 5982 u8 status, host_stat = 0;
1da177e4 5983
312f7da2 5984 VPRINTK("ata%u: protocol %d task_state %d\n",
44877b4e 5985 ap->print_id, qc->tf.protocol, ap->hsm_task_state);
1da177e4 5986
312f7da2
AL
5987 /* Check whether we are expecting interrupt in this state */
5988 switch (ap->hsm_task_state) {
5989 case HSM_ST_FIRST:
6912ccd5
AL
5990 /* Some pre-ATAPI-4 devices assert INTRQ
5991 * at this state when ready to receive CDB.
5992 */
1da177e4 5993
312f7da2
AL
5994 /* Check the ATA_DFLAG_CDB_INTR flag is enough here.
5995 * The flag was turned on only for atapi devices.
5996 * No need to check is_atapi_taskfile(&qc->tf) again.
5997 */
5998 if (!(qc->dev->flags & ATA_DFLAG_CDB_INTR))
1da177e4 5999 goto idle_irq;
1da177e4 6000 break;
312f7da2
AL
6001 case HSM_ST_LAST:
6002 if (qc->tf.protocol == ATA_PROT_DMA ||
6003 qc->tf.protocol == ATA_PROT_ATAPI_DMA) {
6004 /* check status of DMA engine */
6005 host_stat = ap->ops->bmdma_status(ap);
44877b4e
TH
6006 VPRINTK("ata%u: host_stat 0x%X\n",
6007 ap->print_id, host_stat);
312f7da2
AL
6008
6009 /* if it's not our irq... */
6010 if (!(host_stat & ATA_DMA_INTR))
6011 goto idle_irq;
6012
6013 /* before we do anything else, clear DMA-Start bit */
6014 ap->ops->bmdma_stop(qc);
a4f16610
AL
6015
6016 if (unlikely(host_stat & ATA_DMA_ERR)) {
6017 /* error when transfering data to/from memory */
6018 qc->err_mask |= AC_ERR_HOST_BUS;
6019 ap->hsm_task_state = HSM_ST_ERR;
6020 }
312f7da2
AL
6021 }
6022 break;
6023 case HSM_ST:
6024 break;
1da177e4
LT
6025 default:
6026 goto idle_irq;
6027 }
6028
312f7da2
AL
6029 /* check altstatus */
6030 status = ata_altstatus(ap);
6031 if (status & ATA_BUSY)
6032 goto idle_irq;
1da177e4 6033
312f7da2
AL
6034 /* check main status, clearing INTRQ */
6035 status = ata_chk_status(ap);
6036 if (unlikely(status & ATA_BUSY))
6037 goto idle_irq;
1da177e4 6038
312f7da2
AL
6039 /* ack bmdma irq events */
6040 ap->ops->irq_clear(ap);
1da177e4 6041
bb5cb290 6042 ata_hsm_move(ap, qc, status, 0);
ea54763f
TH
6043
6044 if (unlikely(qc->err_mask) && (qc->tf.protocol == ATA_PROT_DMA ||
6045 qc->tf.protocol == ATA_PROT_ATAPI_DMA))
6046 ata_ehi_push_desc(ehi, "BMDMA stat 0x%x", host_stat);
6047
1da177e4
LT
6048 return 1; /* irq handled */
6049
6050idle_irq:
6051 ap->stats.idle_irq++;
6052
6053#ifdef ATA_IRQ_TRAP
6054 if ((ap->stats.idle_irq % 1000) == 0) {
6d32d30f
JG
6055 ata_chk_status(ap);
6056 ap->ops->irq_clear(ap);
f15a1daf 6057 ata_port_printk(ap, KERN_WARNING, "irq trap\n");
23cfce89 6058 return 1;
1da177e4
LT
6059 }
6060#endif
6061 return 0; /* irq not handled */
6062}
6063
6064/**
6065 * ata_interrupt - Default ATA host interrupt handler
0cba632b 6066 * @irq: irq line (unused)
cca3974e 6067 * @dev_instance: pointer to our ata_host information structure
1da177e4 6068 *
0cba632b
JG
6069 * Default interrupt handler for PCI IDE devices. Calls
6070 * ata_host_intr() for each port that is not disabled.
6071 *
1da177e4 6072 * LOCKING:
cca3974e 6073 * Obtains host lock during operation.
1da177e4
LT
6074 *
6075 * RETURNS:
0cba632b 6076 * IRQ_NONE or IRQ_HANDLED.
1da177e4
LT
6077 */
6078
2dcb407e 6079irqreturn_t ata_interrupt(int irq, void *dev_instance)
1da177e4 6080{
cca3974e 6081 struct ata_host *host = dev_instance;
1da177e4
LT
6082 unsigned int i;
6083 unsigned int handled = 0;
6084 unsigned long flags;
6085
6086 /* TODO: make _irqsave conditional on x86 PCI IDE legacy mode */
cca3974e 6087 spin_lock_irqsave(&host->lock, flags);
1da177e4 6088
cca3974e 6089 for (i = 0; i < host->n_ports; i++) {
1da177e4
LT
6090 struct ata_port *ap;
6091
cca3974e 6092 ap = host->ports[i];
c1389503 6093 if (ap &&
029f5468 6094 !(ap->flags & ATA_FLAG_DISABLED)) {
1da177e4
LT
6095 struct ata_queued_cmd *qc;
6096
9af5c9c9 6097 qc = ata_qc_from_tag(ap, ap->link.active_tag);
312f7da2 6098 if (qc && (!(qc->tf.flags & ATA_TFLAG_POLLING)) &&
21b1ed74 6099 (qc->flags & ATA_QCFLAG_ACTIVE))
1da177e4
LT
6100 handled |= ata_host_intr(ap, qc);
6101 }
6102 }
6103
cca3974e 6104 spin_unlock_irqrestore(&host->lock, flags);
1da177e4
LT
6105
6106 return IRQ_RETVAL(handled);
6107}
6108
34bf2170
TH
6109/**
6110 * sata_scr_valid - test whether SCRs are accessible
936fd732 6111 * @link: ATA link to test SCR accessibility for
34bf2170 6112 *
936fd732 6113 * Test whether SCRs are accessible for @link.
34bf2170
TH
6114 *
6115 * LOCKING:
6116 * None.
6117 *
6118 * RETURNS:
6119 * 1 if SCRs are accessible, 0 otherwise.
6120 */
936fd732 6121int sata_scr_valid(struct ata_link *link)
34bf2170 6122{
936fd732
TH
6123 struct ata_port *ap = link->ap;
6124
a16abc0b 6125 return (ap->flags & ATA_FLAG_SATA) && ap->ops->scr_read;
34bf2170
TH
6126}
6127
6128/**
6129 * sata_scr_read - read SCR register of the specified port
936fd732 6130 * @link: ATA link to read SCR for
34bf2170
TH
6131 * @reg: SCR to read
6132 * @val: Place to store read value
6133 *
936fd732 6134 * Read SCR register @reg of @link into *@val. This function is
633273a3
TH
6135 * guaranteed to succeed if @link is ap->link, the cable type of
6136 * the port is SATA and the port implements ->scr_read.
34bf2170
TH
6137 *
6138 * LOCKING:
633273a3 6139 * None if @link is ap->link. Kernel thread context otherwise.
34bf2170
TH
6140 *
6141 * RETURNS:
6142 * 0 on success, negative errno on failure.
6143 */
936fd732 6144int sata_scr_read(struct ata_link *link, int reg, u32 *val)
34bf2170 6145{
633273a3
TH
6146 if (ata_is_host_link(link)) {
6147 struct ata_port *ap = link->ap;
936fd732 6148
633273a3
TH
6149 if (sata_scr_valid(link))
6150 return ap->ops->scr_read(ap, reg, val);
6151 return -EOPNOTSUPP;
6152 }
6153
6154 return sata_pmp_scr_read(link, reg, val);
34bf2170
TH
6155}
6156
6157/**
6158 * sata_scr_write - write SCR register of the specified port
936fd732 6159 * @link: ATA link to write SCR for
34bf2170
TH
6160 * @reg: SCR to write
6161 * @val: value to write
6162 *
936fd732 6163 * Write @val to SCR register @reg of @link. This function is
633273a3
TH
6164 * guaranteed to succeed if @link is ap->link, the cable type of
6165 * the port is SATA and the port implements ->scr_read.
34bf2170
TH
6166 *
6167 * LOCKING:
633273a3 6168 * None if @link is ap->link. Kernel thread context otherwise.
34bf2170
TH
6169 *
6170 * RETURNS:
6171 * 0 on success, negative errno on failure.
6172 */
936fd732 6173int sata_scr_write(struct ata_link *link, int reg, u32 val)
34bf2170 6174{
633273a3
TH
6175 if (ata_is_host_link(link)) {
6176 struct ata_port *ap = link->ap;
6177
6178 if (sata_scr_valid(link))
6179 return ap->ops->scr_write(ap, reg, val);
6180 return -EOPNOTSUPP;
6181 }
936fd732 6182
633273a3 6183 return sata_pmp_scr_write(link, reg, val);
34bf2170
TH
6184}
6185
6186/**
6187 * sata_scr_write_flush - write SCR register of the specified port and flush
936fd732 6188 * @link: ATA link to write SCR for
34bf2170
TH
6189 * @reg: SCR to write
6190 * @val: value to write
6191 *
6192 * This function is identical to sata_scr_write() except that this
6193 * function performs flush after writing to the register.
6194 *
6195 * LOCKING:
633273a3 6196 * None if @link is ap->link. Kernel thread context otherwise.
34bf2170
TH
6197 *
6198 * RETURNS:
6199 * 0 on success, negative errno on failure.
6200 */
936fd732 6201int sata_scr_write_flush(struct ata_link *link, int reg, u32 val)
34bf2170 6202{
633273a3
TH
6203 if (ata_is_host_link(link)) {
6204 struct ata_port *ap = link->ap;
6205 int rc;
da3dbb17 6206
633273a3
TH
6207 if (sata_scr_valid(link)) {
6208 rc = ap->ops->scr_write(ap, reg, val);
6209 if (rc == 0)
6210 rc = ap->ops->scr_read(ap, reg, &val);
6211 return rc;
6212 }
6213 return -EOPNOTSUPP;
34bf2170 6214 }
633273a3
TH
6215
6216 return sata_pmp_scr_write(link, reg, val);
34bf2170
TH
6217}
6218
6219/**
936fd732
TH
6220 * ata_link_online - test whether the given link is online
6221 * @link: ATA link to test
34bf2170 6222 *
936fd732
TH
6223 * Test whether @link is online. Note that this function returns
6224 * 0 if online status of @link cannot be obtained, so
6225 * ata_link_online(link) != !ata_link_offline(link).
34bf2170
TH
6226 *
6227 * LOCKING:
6228 * None.
6229 *
6230 * RETURNS:
6231 * 1 if the port online status is available and online.
6232 */
936fd732 6233int ata_link_online(struct ata_link *link)
34bf2170
TH
6234{
6235 u32 sstatus;
6236
936fd732
TH
6237 if (sata_scr_read(link, SCR_STATUS, &sstatus) == 0 &&
6238 (sstatus & 0xf) == 0x3)
34bf2170
TH
6239 return 1;
6240 return 0;
6241}
6242
6243/**
936fd732
TH
6244 * ata_link_offline - test whether the given link is offline
6245 * @link: ATA link to test
34bf2170 6246 *
936fd732
TH
6247 * Test whether @link is offline. Note that this function
6248 * returns 0 if offline status of @link cannot be obtained, so
6249 * ata_link_online(link) != !ata_link_offline(link).
34bf2170
TH
6250 *
6251 * LOCKING:
6252 * None.
6253 *
6254 * RETURNS:
6255 * 1 if the port offline status is available and offline.
6256 */
936fd732 6257int ata_link_offline(struct ata_link *link)
34bf2170
TH
6258{
6259 u32 sstatus;
6260
936fd732
TH
6261 if (sata_scr_read(link, SCR_STATUS, &sstatus) == 0 &&
6262 (sstatus & 0xf) != 0x3)
34bf2170
TH
6263 return 1;
6264 return 0;
6265}
0baab86b 6266
77b08fb5 6267int ata_flush_cache(struct ata_device *dev)
9b847548 6268{
977e6b9f 6269 unsigned int err_mask;
9b847548
JA
6270 u8 cmd;
6271
6272 if (!ata_try_flush_cache(dev))
6273 return 0;
6274
6fc49adb 6275 if (dev->flags & ATA_DFLAG_FLUSH_EXT)
9b847548
JA
6276 cmd = ATA_CMD_FLUSH_EXT;
6277 else
6278 cmd = ATA_CMD_FLUSH;
6279
4f34337b
AC
6280 /* This is wrong. On a failed flush we get back the LBA of the lost
6281 sector and we should (assuming it wasn't aborted as unknown) issue
2dcb407e 6282 a further flush command to continue the writeback until it
4f34337b 6283 does not error */
977e6b9f
TH
6284 err_mask = ata_do_simple_cmd(dev, cmd);
6285 if (err_mask) {
6286 ata_dev_printk(dev, KERN_ERR, "failed to flush cache\n");
6287 return -EIO;
6288 }
6289
6290 return 0;
9b847548
JA
6291}
6292
6ffa01d8 6293#ifdef CONFIG_PM
cca3974e
JG
6294static int ata_host_request_pm(struct ata_host *host, pm_message_t mesg,
6295 unsigned int action, unsigned int ehi_flags,
6296 int wait)
500530f6
TH
6297{
6298 unsigned long flags;
6299 int i, rc;
6300
cca3974e
JG
6301 for (i = 0; i < host->n_ports; i++) {
6302 struct ata_port *ap = host->ports[i];
e3667ebf 6303 struct ata_link *link;
500530f6
TH
6304
6305 /* Previous resume operation might still be in
6306 * progress. Wait for PM_PENDING to clear.
6307 */
6308 if (ap->pflags & ATA_PFLAG_PM_PENDING) {
6309 ata_port_wait_eh(ap);
6310 WARN_ON(ap->pflags & ATA_PFLAG_PM_PENDING);
6311 }
6312
6313 /* request PM ops to EH */
6314 spin_lock_irqsave(ap->lock, flags);
6315
6316 ap->pm_mesg = mesg;
6317 if (wait) {
6318 rc = 0;
6319 ap->pm_result = &rc;
6320 }
6321
6322 ap->pflags |= ATA_PFLAG_PM_PENDING;
e3667ebf
TH
6323 __ata_port_for_each_link(link, ap) {
6324 link->eh_info.action |= action;
6325 link->eh_info.flags |= ehi_flags;
6326 }
500530f6
TH
6327
6328 ata_port_schedule_eh(ap);
6329
6330 spin_unlock_irqrestore(ap->lock, flags);
6331
6332 /* wait and check result */
6333 if (wait) {
6334 ata_port_wait_eh(ap);
6335 WARN_ON(ap->pflags & ATA_PFLAG_PM_PENDING);
6336 if (rc)
6337 return rc;
6338 }
6339 }
6340
6341 return 0;
6342}
6343
6344/**
cca3974e
JG
6345 * ata_host_suspend - suspend host
6346 * @host: host to suspend
500530f6
TH
6347 * @mesg: PM message
6348 *
cca3974e 6349 * Suspend @host. Actual operation is performed by EH. This
500530f6
TH
6350 * function requests EH to perform PM operations and waits for EH
6351 * to finish.
6352 *
6353 * LOCKING:
6354 * Kernel thread context (may sleep).
6355 *
6356 * RETURNS:
6357 * 0 on success, -errno on failure.
6358 */
cca3974e 6359int ata_host_suspend(struct ata_host *host, pm_message_t mesg)
500530f6 6360{
9666f400 6361 int rc;
500530f6 6362
cca3974e 6363 rc = ata_host_request_pm(host, mesg, 0, ATA_EHI_QUIET, 1);
9666f400
TH
6364 if (rc == 0)
6365 host->dev->power.power_state = mesg;
500530f6
TH
6366 return rc;
6367}
6368
6369/**
cca3974e
JG
6370 * ata_host_resume - resume host
6371 * @host: host to resume
500530f6 6372 *
cca3974e 6373 * Resume @host. Actual operation is performed by EH. This
500530f6
TH
6374 * function requests EH to perform PM operations and returns.
6375 * Note that all resume operations are performed parallely.
6376 *
6377 * LOCKING:
6378 * Kernel thread context (may sleep).
6379 */
cca3974e 6380void ata_host_resume(struct ata_host *host)
500530f6 6381{
cca3974e
JG
6382 ata_host_request_pm(host, PMSG_ON, ATA_EH_SOFTRESET,
6383 ATA_EHI_NO_AUTOPSY | ATA_EHI_QUIET, 0);
6384 host->dev->power.power_state = PMSG_ON;
500530f6 6385}
6ffa01d8 6386#endif
500530f6 6387
c893a3ae
RD
6388/**
6389 * ata_port_start - Set port up for dma.
6390 * @ap: Port to initialize
6391 *
6392 * Called just after data structures for each port are
6393 * initialized. Allocates space for PRD table.
6394 *
6395 * May be used as the port_start() entry in ata_port_operations.
6396 *
6397 * LOCKING:
6398 * Inherited from caller.
6399 */
f0d36efd 6400int ata_port_start(struct ata_port *ap)
1da177e4 6401{
2f1f610b 6402 struct device *dev = ap->dev;
6037d6bb 6403 int rc;
1da177e4 6404
f0d36efd
TH
6405 ap->prd = dmam_alloc_coherent(dev, ATA_PRD_TBL_SZ, &ap->prd_dma,
6406 GFP_KERNEL);
1da177e4
LT
6407 if (!ap->prd)
6408 return -ENOMEM;
6409
6037d6bb 6410 rc = ata_pad_alloc(ap, dev);
f0d36efd 6411 if (rc)
6037d6bb 6412 return rc;
1da177e4 6413
f0d36efd
TH
6414 DPRINTK("prd alloc, virt %p, dma %llx\n", ap->prd,
6415 (unsigned long long)ap->prd_dma);
1da177e4
LT
6416 return 0;
6417}
6418
3ef3b43d
TH
6419/**
6420 * ata_dev_init - Initialize an ata_device structure
6421 * @dev: Device structure to initialize
6422 *
6423 * Initialize @dev in preparation for probing.
6424 *
6425 * LOCKING:
6426 * Inherited from caller.
6427 */
6428void ata_dev_init(struct ata_device *dev)
6429{
9af5c9c9
TH
6430 struct ata_link *link = dev->link;
6431 struct ata_port *ap = link->ap;
72fa4b74
TH
6432 unsigned long flags;
6433
5a04bf4b 6434 /* SATA spd limit is bound to the first device */
9af5c9c9
TH
6435 link->sata_spd_limit = link->hw_sata_spd_limit;
6436 link->sata_spd = 0;
5a04bf4b 6437
72fa4b74
TH
6438 /* High bits of dev->flags are used to record warm plug
6439 * requests which occur asynchronously. Synchronize using
cca3974e 6440 * host lock.
72fa4b74 6441 */
ba6a1308 6442 spin_lock_irqsave(ap->lock, flags);
72fa4b74 6443 dev->flags &= ~ATA_DFLAG_INIT_MASK;
3dcc323f 6444 dev->horkage = 0;
ba6a1308 6445 spin_unlock_irqrestore(ap->lock, flags);
3ef3b43d 6446
72fa4b74
TH
6447 memset((void *)dev + ATA_DEVICE_CLEAR_OFFSET, 0,
6448 sizeof(*dev) - ATA_DEVICE_CLEAR_OFFSET);
3ef3b43d
TH
6449 dev->pio_mask = UINT_MAX;
6450 dev->mwdma_mask = UINT_MAX;
6451 dev->udma_mask = UINT_MAX;
6452}
6453
4fb37a25
TH
6454/**
6455 * ata_link_init - Initialize an ata_link structure
6456 * @ap: ATA port link is attached to
6457 * @link: Link structure to initialize
8989805d 6458 * @pmp: Port multiplier port number
4fb37a25
TH
6459 *
6460 * Initialize @link.
6461 *
6462 * LOCKING:
6463 * Kernel thread context (may sleep)
6464 */
fb7fd614 6465void ata_link_init(struct ata_port *ap, struct ata_link *link, int pmp)
4fb37a25
TH
6466{
6467 int i;
6468
6469 /* clear everything except for devices */
6470 memset(link, 0, offsetof(struct ata_link, device[0]));
6471
6472 link->ap = ap;
8989805d 6473 link->pmp = pmp;
4fb37a25
TH
6474 link->active_tag = ATA_TAG_POISON;
6475 link->hw_sata_spd_limit = UINT_MAX;
6476
6477 /* can't use iterator, ap isn't initialized yet */
6478 for (i = 0; i < ATA_MAX_DEVICES; i++) {
6479 struct ata_device *dev = &link->device[i];
6480
6481 dev->link = link;
6482 dev->devno = dev - link->device;
6483 ata_dev_init(dev);
6484 }
6485}
6486
6487/**
6488 * sata_link_init_spd - Initialize link->sata_spd_limit
6489 * @link: Link to configure sata_spd_limit for
6490 *
6491 * Initialize @link->[hw_]sata_spd_limit to the currently
6492 * configured value.
6493 *
6494 * LOCKING:
6495 * Kernel thread context (may sleep).
6496 *
6497 * RETURNS:
6498 * 0 on success, -errno on failure.
6499 */
fb7fd614 6500int sata_link_init_spd(struct ata_link *link)
4fb37a25
TH
6501{
6502 u32 scontrol, spd;
6503 int rc;
6504
6505 rc = sata_scr_read(link, SCR_CONTROL, &scontrol);
6506 if (rc)
6507 return rc;
6508
6509 spd = (scontrol >> 4) & 0xf;
6510 if (spd)
6511 link->hw_sata_spd_limit &= (1 << spd) - 1;
6512
6513 link->sata_spd_limit = link->hw_sata_spd_limit;
6514
6515 return 0;
6516}
6517
1da177e4 6518/**
f3187195
TH
6519 * ata_port_alloc - allocate and initialize basic ATA port resources
6520 * @host: ATA host this allocated port belongs to
1da177e4 6521 *
f3187195
TH
6522 * Allocate and initialize basic ATA port resources.
6523 *
6524 * RETURNS:
6525 * Allocate ATA port on success, NULL on failure.
0cba632b 6526 *
1da177e4 6527 * LOCKING:
f3187195 6528 * Inherited from calling layer (may sleep).
1da177e4 6529 */
f3187195 6530struct ata_port *ata_port_alloc(struct ata_host *host)
1da177e4 6531{
f3187195 6532 struct ata_port *ap;
1da177e4 6533
f3187195
TH
6534 DPRINTK("ENTER\n");
6535
6536 ap = kzalloc(sizeof(*ap), GFP_KERNEL);
6537 if (!ap)
6538 return NULL;
6539
f4d6d004 6540 ap->pflags |= ATA_PFLAG_INITIALIZING;
cca3974e 6541 ap->lock = &host->lock;
198e0fed 6542 ap->flags = ATA_FLAG_DISABLED;
f3187195 6543 ap->print_id = -1;
1da177e4 6544 ap->ctl = ATA_DEVCTL_OBS;
cca3974e 6545 ap->host = host;
f3187195 6546 ap->dev = host->dev;
1da177e4 6547 ap->last_ctl = 0xFF;
bd5d825c
BP
6548
6549#if defined(ATA_VERBOSE_DEBUG)
6550 /* turn on all debugging levels */
6551 ap->msg_enable = 0x00FF;
6552#elif defined(ATA_DEBUG)
6553 ap->msg_enable = ATA_MSG_DRV | ATA_MSG_INFO | ATA_MSG_CTL | ATA_MSG_WARN | ATA_MSG_ERR;
88574551 6554#else
0dd4b21f 6555 ap->msg_enable = ATA_MSG_DRV | ATA_MSG_ERR | ATA_MSG_WARN;
bd5d825c 6556#endif
1da177e4 6557
65f27f38
DH
6558 INIT_DELAYED_WORK(&ap->port_task, NULL);
6559 INIT_DELAYED_WORK(&ap->hotplug_task, ata_scsi_hotplug);
6560 INIT_WORK(&ap->scsi_rescan_task, ata_scsi_dev_rescan);
a72ec4ce 6561 INIT_LIST_HEAD(&ap->eh_done_q);
c6cf9e99 6562 init_waitqueue_head(&ap->eh_wait_q);
5ddf24c5
TH
6563 init_timer_deferrable(&ap->fastdrain_timer);
6564 ap->fastdrain_timer.function = ata_eh_fastdrain_timerfn;
6565 ap->fastdrain_timer.data = (unsigned long)ap;
1da177e4 6566
838df628 6567 ap->cbl = ATA_CBL_NONE;
838df628 6568
8989805d 6569 ata_link_init(ap, &ap->link, 0);
1da177e4
LT
6570
6571#ifdef ATA_IRQ_TRAP
6572 ap->stats.unhandled_irq = 1;
6573 ap->stats.idle_irq = 1;
6574#endif
1da177e4 6575 return ap;
1da177e4
LT
6576}
6577
f0d36efd
TH
6578static void ata_host_release(struct device *gendev, void *res)
6579{
6580 struct ata_host *host = dev_get_drvdata(gendev);
6581 int i;
6582
6583 for (i = 0; i < host->n_ports; i++) {
6584 struct ata_port *ap = host->ports[i];
6585
ecef7253
TH
6586 if (!ap)
6587 continue;
6588
6589 if ((host->flags & ATA_HOST_STARTED) && ap->ops->port_stop)
f0d36efd 6590 ap->ops->port_stop(ap);
f0d36efd
TH
6591 }
6592
ecef7253 6593 if ((host->flags & ATA_HOST_STARTED) && host->ops->host_stop)
f0d36efd 6594 host->ops->host_stop(host);
1aa56cca 6595
1aa506e4
TH
6596 for (i = 0; i < host->n_ports; i++) {
6597 struct ata_port *ap = host->ports[i];
6598
4911487a
TH
6599 if (!ap)
6600 continue;
6601
6602 if (ap->scsi_host)
1aa506e4
TH
6603 scsi_host_put(ap->scsi_host);
6604
633273a3 6605 kfree(ap->pmp_link);
4911487a 6606 kfree(ap);
1aa506e4
TH
6607 host->ports[i] = NULL;
6608 }
6609
1aa56cca 6610 dev_set_drvdata(gendev, NULL);
f0d36efd
TH
6611}
6612
f3187195
TH
6613/**
6614 * ata_host_alloc - allocate and init basic ATA host resources
6615 * @dev: generic device this host is associated with
6616 * @max_ports: maximum number of ATA ports associated with this host
6617 *
6618 * Allocate and initialize basic ATA host resources. LLD calls
6619 * this function to allocate a host, initializes it fully and
6620 * attaches it using ata_host_register().
6621 *
6622 * @max_ports ports are allocated and host->n_ports is
6623 * initialized to @max_ports. The caller is allowed to decrease
6624 * host->n_ports before calling ata_host_register(). The unused
6625 * ports will be automatically freed on registration.
6626 *
6627 * RETURNS:
6628 * Allocate ATA host on success, NULL on failure.
6629 *
6630 * LOCKING:
6631 * Inherited from calling layer (may sleep).
6632 */
6633struct ata_host *ata_host_alloc(struct device *dev, int max_ports)
6634{
6635 struct ata_host *host;
6636 size_t sz;
6637 int i;
6638
6639 DPRINTK("ENTER\n");
6640
6641 if (!devres_open_group(dev, NULL, GFP_KERNEL))
6642 return NULL;
6643
6644 /* alloc a container for our list of ATA ports (buses) */
6645 sz = sizeof(struct ata_host) + (max_ports + 1) * sizeof(void *);
6646 /* alloc a container for our list of ATA ports (buses) */
6647 host = devres_alloc(ata_host_release, sz, GFP_KERNEL);
6648 if (!host)
6649 goto err_out;
6650
6651 devres_add(dev, host);
6652 dev_set_drvdata(dev, host);
6653
6654 spin_lock_init(&host->lock);
6655 host->dev = dev;
6656 host->n_ports = max_ports;
6657
6658 /* allocate ports bound to this host */
6659 for (i = 0; i < max_ports; i++) {
6660 struct ata_port *ap;
6661
6662 ap = ata_port_alloc(host);
6663 if (!ap)
6664 goto err_out;
6665
6666 ap->port_no = i;
6667 host->ports[i] = ap;
6668 }
6669
6670 devres_remove_group(dev, NULL);
6671 return host;
6672
6673 err_out:
6674 devres_release_group(dev, NULL);
6675 return NULL;
6676}
6677
f5cda257
TH
6678/**
6679 * ata_host_alloc_pinfo - alloc host and init with port_info array
6680 * @dev: generic device this host is associated with
6681 * @ppi: array of ATA port_info to initialize host with
6682 * @n_ports: number of ATA ports attached to this host
6683 *
6684 * Allocate ATA host and initialize with info from @ppi. If NULL
6685 * terminated, @ppi may contain fewer entries than @n_ports. The
6686 * last entry will be used for the remaining ports.
6687 *
6688 * RETURNS:
6689 * Allocate ATA host on success, NULL on failure.
6690 *
6691 * LOCKING:
6692 * Inherited from calling layer (may sleep).
6693 */
6694struct ata_host *ata_host_alloc_pinfo(struct device *dev,
6695 const struct ata_port_info * const * ppi,
6696 int n_ports)
6697{
6698 const struct ata_port_info *pi;
6699 struct ata_host *host;
6700 int i, j;
6701
6702 host = ata_host_alloc(dev, n_ports);
6703 if (!host)
6704 return NULL;
6705
6706 for (i = 0, j = 0, pi = NULL; i < host->n_ports; i++) {
6707 struct ata_port *ap = host->ports[i];
6708
6709 if (ppi[j])
6710 pi = ppi[j++];
6711
6712 ap->pio_mask = pi->pio_mask;
6713 ap->mwdma_mask = pi->mwdma_mask;
6714 ap->udma_mask = pi->udma_mask;
6715 ap->flags |= pi->flags;
0c88758b 6716 ap->link.flags |= pi->link_flags;
f5cda257
TH
6717 ap->ops = pi->port_ops;
6718
6719 if (!host->ops && (pi->port_ops != &ata_dummy_port_ops))
6720 host->ops = pi->port_ops;
6721 if (!host->private_data && pi->private_data)
6722 host->private_data = pi->private_data;
6723 }
6724
6725 return host;
6726}
6727
ecef7253
TH
6728/**
6729 * ata_host_start - start and freeze ports of an ATA host
6730 * @host: ATA host to start ports for
6731 *
6732 * Start and then freeze ports of @host. Started status is
6733 * recorded in host->flags, so this function can be called
6734 * multiple times. Ports are guaranteed to get started only
f3187195
TH
6735 * once. If host->ops isn't initialized yet, its set to the
6736 * first non-dummy port ops.
ecef7253
TH
6737 *
6738 * LOCKING:
6739 * Inherited from calling layer (may sleep).
6740 *
6741 * RETURNS:
6742 * 0 if all ports are started successfully, -errno otherwise.
6743 */
6744int ata_host_start(struct ata_host *host)
6745{
6746 int i, rc;
6747
6748 if (host->flags & ATA_HOST_STARTED)
6749 return 0;
6750
6751 for (i = 0; i < host->n_ports; i++) {
6752 struct ata_port *ap = host->ports[i];
6753
f3187195
TH
6754 if (!host->ops && !ata_port_is_dummy(ap))
6755 host->ops = ap->ops;
6756
ecef7253
TH
6757 if (ap->ops->port_start) {
6758 rc = ap->ops->port_start(ap);
6759 if (rc) {
6760 ata_port_printk(ap, KERN_ERR, "failed to "
6761 "start port (errno=%d)\n", rc);
6762 goto err_out;
6763 }
6764 }
6765
6766 ata_eh_freeze_port(ap);
6767 }
6768
6769 host->flags |= ATA_HOST_STARTED;
6770 return 0;
6771
6772 err_out:
6773 while (--i >= 0) {
6774 struct ata_port *ap = host->ports[i];
6775
6776 if (ap->ops->port_stop)
6777 ap->ops->port_stop(ap);
6778 }
6779 return rc;
6780}
6781
b03732f0 6782/**
cca3974e
JG
6783 * ata_sas_host_init - Initialize a host struct
6784 * @host: host to initialize
6785 * @dev: device host is attached to
6786 * @flags: host flags
6787 * @ops: port_ops
b03732f0
BK
6788 *
6789 * LOCKING:
6790 * PCI/etc. bus probe sem.
6791 *
6792 */
f3187195 6793/* KILLME - the only user left is ipr */
cca3974e
JG
6794void ata_host_init(struct ata_host *host, struct device *dev,
6795 unsigned long flags, const struct ata_port_operations *ops)
b03732f0 6796{
cca3974e
JG
6797 spin_lock_init(&host->lock);
6798 host->dev = dev;
6799 host->flags = flags;
6800 host->ops = ops;
b03732f0
BK
6801}
6802
f3187195
TH
6803/**
6804 * ata_host_register - register initialized ATA host
6805 * @host: ATA host to register
6806 * @sht: template for SCSI host
6807 *
6808 * Register initialized ATA host. @host is allocated using
6809 * ata_host_alloc() and fully initialized by LLD. This function
6810 * starts ports, registers @host with ATA and SCSI layers and
6811 * probe registered devices.
6812 *
6813 * LOCKING:
6814 * Inherited from calling layer (may sleep).
6815 *
6816 * RETURNS:
6817 * 0 on success, -errno otherwise.
6818 */
6819int ata_host_register(struct ata_host *host, struct scsi_host_template *sht)
6820{
6821 int i, rc;
6822
6823 /* host must have been started */
6824 if (!(host->flags & ATA_HOST_STARTED)) {
6825 dev_printk(KERN_ERR, host->dev,
6826 "BUG: trying to register unstarted host\n");
6827 WARN_ON(1);
6828 return -EINVAL;
6829 }
6830
6831 /* Blow away unused ports. This happens when LLD can't
6832 * determine the exact number of ports to allocate at
6833 * allocation time.
6834 */
6835 for (i = host->n_ports; host->ports[i]; i++)
6836 kfree(host->ports[i]);
6837
6838 /* give ports names and add SCSI hosts */
6839 for (i = 0; i < host->n_ports; i++)
6840 host->ports[i]->print_id = ata_print_id++;
6841
6842 rc = ata_scsi_add_hosts(host, sht);
6843 if (rc)
6844 return rc;
6845
fafbae87
TH
6846 /* associate with ACPI nodes */
6847 ata_acpi_associate(host);
6848
f3187195
TH
6849 /* set cable, sata_spd_limit and report */
6850 for (i = 0; i < host->n_ports; i++) {
6851 struct ata_port *ap = host->ports[i];
f3187195
TH
6852 unsigned long xfer_mask;
6853
6854 /* set SATA cable type if still unset */
6855 if (ap->cbl == ATA_CBL_NONE && (ap->flags & ATA_FLAG_SATA))
6856 ap->cbl = ATA_CBL_SATA;
6857
6858 /* init sata_spd_limit to the current value */
4fb37a25 6859 sata_link_init_spd(&ap->link);
f3187195 6860
cbcdd875 6861 /* print per-port info to dmesg */
f3187195
TH
6862 xfer_mask = ata_pack_xfermask(ap->pio_mask, ap->mwdma_mask,
6863 ap->udma_mask);
6864
abf6e8ed 6865 if (!ata_port_is_dummy(ap)) {
cbcdd875
TH
6866 ata_port_printk(ap, KERN_INFO,
6867 "%cATA max %s %s\n",
a16abc0b 6868 (ap->flags & ATA_FLAG_SATA) ? 'S' : 'P',
f3187195 6869 ata_mode_string(xfer_mask),
cbcdd875 6870 ap->link.eh_info.desc);
abf6e8ed
TH
6871 ata_ehi_clear_desc(&ap->link.eh_info);
6872 } else
f3187195
TH
6873 ata_port_printk(ap, KERN_INFO, "DUMMY\n");
6874 }
6875
6876 /* perform each probe synchronously */
6877 DPRINTK("probe begin\n");
6878 for (i = 0; i < host->n_ports; i++) {
6879 struct ata_port *ap = host->ports[i];
6880 int rc;
6881
6882 /* probe */
6883 if (ap->ops->error_handler) {
9af5c9c9 6884 struct ata_eh_info *ehi = &ap->link.eh_info;
f3187195
TH
6885 unsigned long flags;
6886
6887 ata_port_probe(ap);
6888
6889 /* kick EH for boot probing */
6890 spin_lock_irqsave(ap->lock, flags);
6891
f58229f8
TH
6892 ehi->probe_mask =
6893 (1 << ata_link_max_devices(&ap->link)) - 1;
f3187195
TH
6894 ehi->action |= ATA_EH_SOFTRESET;
6895 ehi->flags |= ATA_EHI_NO_AUTOPSY | ATA_EHI_QUIET;
6896
f4d6d004 6897 ap->pflags &= ~ATA_PFLAG_INITIALIZING;
f3187195
TH
6898 ap->pflags |= ATA_PFLAG_LOADING;
6899 ata_port_schedule_eh(ap);
6900
6901 spin_unlock_irqrestore(ap->lock, flags);
6902
6903 /* wait for EH to finish */
6904 ata_port_wait_eh(ap);
6905 } else {
6906 DPRINTK("ata%u: bus probe begin\n", ap->print_id);
6907 rc = ata_bus_probe(ap);
6908 DPRINTK("ata%u: bus probe end\n", ap->print_id);
6909
6910 if (rc) {
6911 /* FIXME: do something useful here?
6912 * Current libata behavior will
6913 * tear down everything when
6914 * the module is removed
6915 * or the h/w is unplugged.
6916 */
6917 }
6918 }
6919 }
6920
6921 /* probes are done, now scan each port's disk(s) */
6922 DPRINTK("host probe begin\n");
6923 for (i = 0; i < host->n_ports; i++) {
6924 struct ata_port *ap = host->ports[i];
6925
1ae46317 6926 ata_scsi_scan_host(ap, 1);
f3187195
TH
6927 }
6928
6929 return 0;
6930}
6931
f5cda257
TH
6932/**
6933 * ata_host_activate - start host, request IRQ and register it
6934 * @host: target ATA host
6935 * @irq: IRQ to request
6936 * @irq_handler: irq_handler used when requesting IRQ
6937 * @irq_flags: irq_flags used when requesting IRQ
6938 * @sht: scsi_host_template to use when registering the host
6939 *
6940 * After allocating an ATA host and initializing it, most libata
6941 * LLDs perform three steps to activate the host - start host,
6942 * request IRQ and register it. This helper takes necessasry
6943 * arguments and performs the three steps in one go.
6944 *
6945 * LOCKING:
6946 * Inherited from calling layer (may sleep).
6947 *
6948 * RETURNS:
6949 * 0 on success, -errno otherwise.
6950 */
6951int ata_host_activate(struct ata_host *host, int irq,
6952 irq_handler_t irq_handler, unsigned long irq_flags,
6953 struct scsi_host_template *sht)
6954{
cbcdd875 6955 int i, rc;
f5cda257
TH
6956
6957 rc = ata_host_start(host);
6958 if (rc)
6959 return rc;
6960
6961 rc = devm_request_irq(host->dev, irq, irq_handler, irq_flags,
6962 dev_driver_string(host->dev), host);
6963 if (rc)
6964 return rc;
6965
cbcdd875
TH
6966 for (i = 0; i < host->n_ports; i++)
6967 ata_port_desc(host->ports[i], "irq %d", irq);
4031826b 6968
f5cda257
TH
6969 rc = ata_host_register(host, sht);
6970 /* if failed, just free the IRQ and leave ports alone */
6971 if (rc)
6972 devm_free_irq(host->dev, irq, host);
6973
6974 return rc;
6975}
6976
720ba126
TH
6977/**
6978 * ata_port_detach - Detach ATA port in prepration of device removal
6979 * @ap: ATA port to be detached
6980 *
6981 * Detach all ATA devices and the associated SCSI devices of @ap;
6982 * then, remove the associated SCSI host. @ap is guaranteed to
6983 * be quiescent on return from this function.
6984 *
6985 * LOCKING:
6986 * Kernel thread context (may sleep).
6987 */
741b7763 6988static void ata_port_detach(struct ata_port *ap)
720ba126
TH
6989{
6990 unsigned long flags;
41bda9c9 6991 struct ata_link *link;
f58229f8 6992 struct ata_device *dev;
720ba126
TH
6993
6994 if (!ap->ops->error_handler)
c3cf30a9 6995 goto skip_eh;
720ba126
TH
6996
6997 /* tell EH we're leaving & flush EH */
ba6a1308 6998 spin_lock_irqsave(ap->lock, flags);
b51e9e5d 6999 ap->pflags |= ATA_PFLAG_UNLOADING;
ba6a1308 7000 spin_unlock_irqrestore(ap->lock, flags);
720ba126
TH
7001
7002 ata_port_wait_eh(ap);
7003
7004 /* EH is now guaranteed to see UNLOADING, so no new device
7005 * will be attached. Disable all existing devices.
7006 */
ba6a1308 7007 spin_lock_irqsave(ap->lock, flags);
720ba126 7008
41bda9c9
TH
7009 ata_port_for_each_link(link, ap) {
7010 ata_link_for_each_dev(dev, link)
7011 ata_dev_disable(dev);
7012 }
720ba126 7013
ba6a1308 7014 spin_unlock_irqrestore(ap->lock, flags);
720ba126
TH
7015
7016 /* Final freeze & EH. All in-flight commands are aborted. EH
7017 * will be skipped and retrials will be terminated with bad
7018 * target.
7019 */
ba6a1308 7020 spin_lock_irqsave(ap->lock, flags);
720ba126 7021 ata_port_freeze(ap); /* won't be thawed */
ba6a1308 7022 spin_unlock_irqrestore(ap->lock, flags);
720ba126
TH
7023
7024 ata_port_wait_eh(ap);
45a66c1c 7025 cancel_rearming_delayed_work(&ap->hotplug_task);
720ba126 7026
c3cf30a9 7027 skip_eh:
720ba126 7028 /* remove the associated SCSI host */
cca3974e 7029 scsi_remove_host(ap->scsi_host);
720ba126
TH
7030}
7031
0529c159
TH
7032/**
7033 * ata_host_detach - Detach all ports of an ATA host
7034 * @host: Host to detach
7035 *
7036 * Detach all ports of @host.
7037 *
7038 * LOCKING:
7039 * Kernel thread context (may sleep).
7040 */
7041void ata_host_detach(struct ata_host *host)
7042{
7043 int i;
7044
7045 for (i = 0; i < host->n_ports; i++)
7046 ata_port_detach(host->ports[i]);
7047}
7048
1da177e4
LT
7049/**
7050 * ata_std_ports - initialize ioaddr with standard port offsets.
7051 * @ioaddr: IO address structure to be initialized
0baab86b
EF
7052 *
7053 * Utility function which initializes data_addr, error_addr,
7054 * feature_addr, nsect_addr, lbal_addr, lbam_addr, lbah_addr,
7055 * device_addr, status_addr, and command_addr to standard offsets
7056 * relative to cmd_addr.
7057 *
7058 * Does not set ctl_addr, altstatus_addr, bmdma_addr, or scr_addr.
1da177e4 7059 */
0baab86b 7060
1da177e4
LT
7061void ata_std_ports(struct ata_ioports *ioaddr)
7062{
7063 ioaddr->data_addr = ioaddr->cmd_addr + ATA_REG_DATA;
7064 ioaddr->error_addr = ioaddr->cmd_addr + ATA_REG_ERR;
7065 ioaddr->feature_addr = ioaddr->cmd_addr + ATA_REG_FEATURE;
7066 ioaddr->nsect_addr = ioaddr->cmd_addr + ATA_REG_NSECT;
7067 ioaddr->lbal_addr = ioaddr->cmd_addr + ATA_REG_LBAL;
7068 ioaddr->lbam_addr = ioaddr->cmd_addr + ATA_REG_LBAM;
7069 ioaddr->lbah_addr = ioaddr->cmd_addr + ATA_REG_LBAH;
7070 ioaddr->device_addr = ioaddr->cmd_addr + ATA_REG_DEVICE;
7071 ioaddr->status_addr = ioaddr->cmd_addr + ATA_REG_STATUS;
7072 ioaddr->command_addr = ioaddr->cmd_addr + ATA_REG_CMD;
7073}
7074
0baab86b 7075
374b1873
JG
7076#ifdef CONFIG_PCI
7077
1da177e4
LT
7078/**
7079 * ata_pci_remove_one - PCI layer callback for device removal
7080 * @pdev: PCI device that was removed
7081 *
b878ca5d
TH
7082 * PCI layer indicates to libata via this hook that hot-unplug or
7083 * module unload event has occurred. Detach all ports. Resource
7084 * release is handled via devres.
1da177e4
LT
7085 *
7086 * LOCKING:
7087 * Inherited from PCI layer (may sleep).
7088 */
f0d36efd 7089void ata_pci_remove_one(struct pci_dev *pdev)
1da177e4 7090{
2855568b 7091 struct device *dev = &pdev->dev;
cca3974e 7092 struct ata_host *host = dev_get_drvdata(dev);
1da177e4 7093
b878ca5d 7094 ata_host_detach(host);
1da177e4
LT
7095}
7096
7097/* move to PCI subsystem */
057ace5e 7098int pci_test_config_bits(struct pci_dev *pdev, const struct pci_bits *bits)
1da177e4
LT
7099{
7100 unsigned long tmp = 0;
7101
7102 switch (bits->width) {
7103 case 1: {
7104 u8 tmp8 = 0;
7105 pci_read_config_byte(pdev, bits->reg, &tmp8);
7106 tmp = tmp8;
7107 break;
7108 }
7109 case 2: {
7110 u16 tmp16 = 0;
7111 pci_read_config_word(pdev, bits->reg, &tmp16);
7112 tmp = tmp16;
7113 break;
7114 }
7115 case 4: {
7116 u32 tmp32 = 0;
7117 pci_read_config_dword(pdev, bits->reg, &tmp32);
7118 tmp = tmp32;
7119 break;
7120 }
7121
7122 default:
7123 return -EINVAL;
7124 }
7125
7126 tmp &= bits->mask;
7127
7128 return (tmp == bits->val) ? 1 : 0;
7129}
9b847548 7130
6ffa01d8 7131#ifdef CONFIG_PM
3c5100c1 7132void ata_pci_device_do_suspend(struct pci_dev *pdev, pm_message_t mesg)
9b847548
JA
7133{
7134 pci_save_state(pdev);
4c90d971 7135 pci_disable_device(pdev);
500530f6 7136
4c90d971 7137 if (mesg.event == PM_EVENT_SUSPEND)
500530f6 7138 pci_set_power_state(pdev, PCI_D3hot);
9b847548
JA
7139}
7140
553c4aa6 7141int ata_pci_device_do_resume(struct pci_dev *pdev)
9b847548 7142{
553c4aa6
TH
7143 int rc;
7144
9b847548
JA
7145 pci_set_power_state(pdev, PCI_D0);
7146 pci_restore_state(pdev);
553c4aa6 7147
b878ca5d 7148 rc = pcim_enable_device(pdev);
553c4aa6
TH
7149 if (rc) {
7150 dev_printk(KERN_ERR, &pdev->dev,
7151 "failed to enable device after resume (%d)\n", rc);
7152 return rc;
7153 }
7154
9b847548 7155 pci_set_master(pdev);
553c4aa6 7156 return 0;
500530f6
TH
7157}
7158
3c5100c1 7159int ata_pci_device_suspend(struct pci_dev *pdev, pm_message_t mesg)
500530f6 7160{
cca3974e 7161 struct ata_host *host = dev_get_drvdata(&pdev->dev);
500530f6
TH
7162 int rc = 0;
7163
cca3974e 7164 rc = ata_host_suspend(host, mesg);
500530f6
TH
7165 if (rc)
7166 return rc;
7167
3c5100c1 7168 ata_pci_device_do_suspend(pdev, mesg);
500530f6
TH
7169
7170 return 0;
7171}
7172
7173int ata_pci_device_resume(struct pci_dev *pdev)
7174{
cca3974e 7175 struct ata_host *host = dev_get_drvdata(&pdev->dev);
553c4aa6 7176 int rc;
500530f6 7177
553c4aa6
TH
7178 rc = ata_pci_device_do_resume(pdev);
7179 if (rc == 0)
7180 ata_host_resume(host);
7181 return rc;
9b847548 7182}
6ffa01d8
TH
7183#endif /* CONFIG_PM */
7184
1da177e4
LT
7185#endif /* CONFIG_PCI */
7186
7187
1da177e4
LT
7188static int __init ata_init(void)
7189{
a8601e5f 7190 ata_probe_timeout *= HZ;
1da177e4
LT
7191 ata_wq = create_workqueue("ata");
7192 if (!ata_wq)
7193 return -ENOMEM;
7194
453b07ac
TH
7195 ata_aux_wq = create_singlethread_workqueue("ata_aux");
7196 if (!ata_aux_wq) {
7197 destroy_workqueue(ata_wq);
7198 return -ENOMEM;
7199 }
7200
1da177e4
LT
7201 printk(KERN_DEBUG "libata version " DRV_VERSION " loaded.\n");
7202 return 0;
7203}
7204
7205static void __exit ata_exit(void)
7206{
7207 destroy_workqueue(ata_wq);
453b07ac 7208 destroy_workqueue(ata_aux_wq);
1da177e4
LT
7209}
7210
a4625085 7211subsys_initcall(ata_init);
1da177e4
LT
7212module_exit(ata_exit);
7213
67846b30 7214static unsigned long ratelimit_time;
34af946a 7215static DEFINE_SPINLOCK(ata_ratelimit_lock);
67846b30
JG
7216
7217int ata_ratelimit(void)
7218{
7219 int rc;
7220 unsigned long flags;
7221
7222 spin_lock_irqsave(&ata_ratelimit_lock, flags);
7223
7224 if (time_after(jiffies, ratelimit_time)) {
7225 rc = 1;
7226 ratelimit_time = jiffies + (HZ/5);
7227 } else
7228 rc = 0;
7229
7230 spin_unlock_irqrestore(&ata_ratelimit_lock, flags);
7231
7232 return rc;
7233}
7234
c22daff4
TH
7235/**
7236 * ata_wait_register - wait until register value changes
7237 * @reg: IO-mapped register
7238 * @mask: Mask to apply to read register value
7239 * @val: Wait condition
7240 * @interval_msec: polling interval in milliseconds
7241 * @timeout_msec: timeout in milliseconds
7242 *
7243 * Waiting for some bits of register to change is a common
7244 * operation for ATA controllers. This function reads 32bit LE
7245 * IO-mapped register @reg and tests for the following condition.
7246 *
7247 * (*@reg & mask) != val
7248 *
7249 * If the condition is met, it returns; otherwise, the process is
7250 * repeated after @interval_msec until timeout.
7251 *
7252 * LOCKING:
7253 * Kernel thread context (may sleep)
7254 *
7255 * RETURNS:
7256 * The final register value.
7257 */
7258u32 ata_wait_register(void __iomem *reg, u32 mask, u32 val,
7259 unsigned long interval_msec,
7260 unsigned long timeout_msec)
7261{
7262 unsigned long timeout;
7263 u32 tmp;
7264
7265 tmp = ioread32(reg);
7266
7267 /* Calculate timeout _after_ the first read to make sure
7268 * preceding writes reach the controller before starting to
7269 * eat away the timeout.
7270 */
7271 timeout = jiffies + (timeout_msec * HZ) / 1000;
7272
7273 while ((tmp & mask) == val && time_before(jiffies, timeout)) {
7274 msleep(interval_msec);
7275 tmp = ioread32(reg);
7276 }
7277
7278 return tmp;
7279}
7280
dd5b06c4
TH
7281/*
7282 * Dummy port_ops
7283 */
7284static void ata_dummy_noret(struct ata_port *ap) { }
7285static int ata_dummy_ret0(struct ata_port *ap) { return 0; }
7286static void ata_dummy_qc_noret(struct ata_queued_cmd *qc) { }
7287
7288static u8 ata_dummy_check_status(struct ata_port *ap)
7289{
7290 return ATA_DRDY;
7291}
7292
7293static unsigned int ata_dummy_qc_issue(struct ata_queued_cmd *qc)
7294{
7295 return AC_ERR_SYSTEM;
7296}
7297
7298const struct ata_port_operations ata_dummy_port_ops = {
dd5b06c4
TH
7299 .check_status = ata_dummy_check_status,
7300 .check_altstatus = ata_dummy_check_status,
7301 .dev_select = ata_noop_dev_select,
7302 .qc_prep = ata_noop_qc_prep,
7303 .qc_issue = ata_dummy_qc_issue,
7304 .freeze = ata_dummy_noret,
7305 .thaw = ata_dummy_noret,
7306 .error_handler = ata_dummy_noret,
7307 .post_internal_cmd = ata_dummy_qc_noret,
7308 .irq_clear = ata_dummy_noret,
7309 .port_start = ata_dummy_ret0,
7310 .port_stop = ata_dummy_noret,
7311};
7312
21b0ad4f
TH
7313const struct ata_port_info ata_dummy_port_info = {
7314 .port_ops = &ata_dummy_port_ops,
7315};
7316
1da177e4
LT
7317/*
7318 * libata is essentially a library of internal helper functions for
7319 * low-level ATA host controller drivers. As such, the API/ABI is
7320 * likely to change as new drivers are added and updated.
7321 * Do not depend on ABI/API stability.
7322 */
7323
e9c83914
TH
7324EXPORT_SYMBOL_GPL(sata_deb_timing_normal);
7325EXPORT_SYMBOL_GPL(sata_deb_timing_hotplug);
7326EXPORT_SYMBOL_GPL(sata_deb_timing_long);
dd5b06c4 7327EXPORT_SYMBOL_GPL(ata_dummy_port_ops);
21b0ad4f 7328EXPORT_SYMBOL_GPL(ata_dummy_port_info);
1da177e4
LT
7329EXPORT_SYMBOL_GPL(ata_std_bios_param);
7330EXPORT_SYMBOL_GPL(ata_std_ports);
cca3974e 7331EXPORT_SYMBOL_GPL(ata_host_init);
f3187195 7332EXPORT_SYMBOL_GPL(ata_host_alloc);
f5cda257 7333EXPORT_SYMBOL_GPL(ata_host_alloc_pinfo);
ecef7253 7334EXPORT_SYMBOL_GPL(ata_host_start);
f3187195 7335EXPORT_SYMBOL_GPL(ata_host_register);
f5cda257 7336EXPORT_SYMBOL_GPL(ata_host_activate);
0529c159 7337EXPORT_SYMBOL_GPL(ata_host_detach);
1da177e4
LT
7338EXPORT_SYMBOL_GPL(ata_sg_init);
7339EXPORT_SYMBOL_GPL(ata_sg_init_one);
9a1004d0 7340EXPORT_SYMBOL_GPL(ata_hsm_move);
f686bcb8 7341EXPORT_SYMBOL_GPL(ata_qc_complete);
dedaf2b0 7342EXPORT_SYMBOL_GPL(ata_qc_complete_multiple);
1da177e4 7343EXPORT_SYMBOL_GPL(ata_qc_issue_prot);
1da177e4
LT
7344EXPORT_SYMBOL_GPL(ata_tf_load);
7345EXPORT_SYMBOL_GPL(ata_tf_read);
7346EXPORT_SYMBOL_GPL(ata_noop_dev_select);
7347EXPORT_SYMBOL_GPL(ata_std_dev_select);
43727fbc 7348EXPORT_SYMBOL_GPL(sata_print_link_status);
1da177e4
LT
7349EXPORT_SYMBOL_GPL(ata_tf_to_fis);
7350EXPORT_SYMBOL_GPL(ata_tf_from_fis);
7351EXPORT_SYMBOL_GPL(ata_check_status);
7352EXPORT_SYMBOL_GPL(ata_altstatus);
1da177e4
LT
7353EXPORT_SYMBOL_GPL(ata_exec_command);
7354EXPORT_SYMBOL_GPL(ata_port_start);
d92e74d3 7355EXPORT_SYMBOL_GPL(ata_sff_port_start);
1da177e4 7356EXPORT_SYMBOL_GPL(ata_interrupt);
04351821 7357EXPORT_SYMBOL_GPL(ata_do_set_mode);
0d5ff566
TH
7358EXPORT_SYMBOL_GPL(ata_data_xfer);
7359EXPORT_SYMBOL_GPL(ata_data_xfer_noirq);
31cc23b3 7360EXPORT_SYMBOL_GPL(ata_std_qc_defer);
1da177e4 7361EXPORT_SYMBOL_GPL(ata_qc_prep);
d26fc955 7362EXPORT_SYMBOL_GPL(ata_dumb_qc_prep);
e46834cd 7363EXPORT_SYMBOL_GPL(ata_noop_qc_prep);
1da177e4
LT
7364EXPORT_SYMBOL_GPL(ata_bmdma_setup);
7365EXPORT_SYMBOL_GPL(ata_bmdma_start);
7366EXPORT_SYMBOL_GPL(ata_bmdma_irq_clear);
7367EXPORT_SYMBOL_GPL(ata_bmdma_status);
7368EXPORT_SYMBOL_GPL(ata_bmdma_stop);
6d97dbd7
TH
7369EXPORT_SYMBOL_GPL(ata_bmdma_freeze);
7370EXPORT_SYMBOL_GPL(ata_bmdma_thaw);
7371EXPORT_SYMBOL_GPL(ata_bmdma_drive_eh);
7372EXPORT_SYMBOL_GPL(ata_bmdma_error_handler);
7373EXPORT_SYMBOL_GPL(ata_bmdma_post_internal_cmd);
1da177e4 7374EXPORT_SYMBOL_GPL(ata_port_probe);
10305f0f 7375EXPORT_SYMBOL_GPL(ata_dev_disable);
3c567b7d 7376EXPORT_SYMBOL_GPL(sata_set_spd);
936fd732
TH
7377EXPORT_SYMBOL_GPL(sata_link_debounce);
7378EXPORT_SYMBOL_GPL(sata_link_resume);
1da177e4
LT
7379EXPORT_SYMBOL_GPL(sata_phy_reset);
7380EXPORT_SYMBOL_GPL(__sata_phy_reset);
7381EXPORT_SYMBOL_GPL(ata_bus_reset);
f5914a46 7382EXPORT_SYMBOL_GPL(ata_std_prereset);
c2bd5804 7383EXPORT_SYMBOL_GPL(ata_std_softreset);
cc0680a5 7384EXPORT_SYMBOL_GPL(sata_link_hardreset);
c2bd5804
TH
7385EXPORT_SYMBOL_GPL(sata_std_hardreset);
7386EXPORT_SYMBOL_GPL(ata_std_postreset);
2e9edbf8
JG
7387EXPORT_SYMBOL_GPL(ata_dev_classify);
7388EXPORT_SYMBOL_GPL(ata_dev_pair);
1da177e4 7389EXPORT_SYMBOL_GPL(ata_port_disable);
67846b30 7390EXPORT_SYMBOL_GPL(ata_ratelimit);
c22daff4 7391EXPORT_SYMBOL_GPL(ata_wait_register);
6f8b9958 7392EXPORT_SYMBOL_GPL(ata_busy_sleep);
88ff6eaf 7393EXPORT_SYMBOL_GPL(ata_wait_after_reset);
d4b2bab4 7394EXPORT_SYMBOL_GPL(ata_wait_ready);
86e45b6b 7395EXPORT_SYMBOL_GPL(ata_port_queue_task);
1da177e4
LT
7396EXPORT_SYMBOL_GPL(ata_scsi_ioctl);
7397EXPORT_SYMBOL_GPL(ata_scsi_queuecmd);
1da177e4 7398EXPORT_SYMBOL_GPL(ata_scsi_slave_config);
83c47bcb 7399EXPORT_SYMBOL_GPL(ata_scsi_slave_destroy);
a6e6ce8e 7400EXPORT_SYMBOL_GPL(ata_scsi_change_queue_depth);
1da177e4 7401EXPORT_SYMBOL_GPL(ata_host_intr);
34bf2170
TH
7402EXPORT_SYMBOL_GPL(sata_scr_valid);
7403EXPORT_SYMBOL_GPL(sata_scr_read);
7404EXPORT_SYMBOL_GPL(sata_scr_write);
7405EXPORT_SYMBOL_GPL(sata_scr_write_flush);
936fd732
TH
7406EXPORT_SYMBOL_GPL(ata_link_online);
7407EXPORT_SYMBOL_GPL(ata_link_offline);
6ffa01d8 7408#ifdef CONFIG_PM
cca3974e
JG
7409EXPORT_SYMBOL_GPL(ata_host_suspend);
7410EXPORT_SYMBOL_GPL(ata_host_resume);
6ffa01d8 7411#endif /* CONFIG_PM */
6a62a04d
TH
7412EXPORT_SYMBOL_GPL(ata_id_string);
7413EXPORT_SYMBOL_GPL(ata_id_c_string);
10305f0f 7414EXPORT_SYMBOL_GPL(ata_id_to_dma_mode);
1da177e4
LT
7415EXPORT_SYMBOL_GPL(ata_scsi_simulate);
7416
1bc4ccff 7417EXPORT_SYMBOL_GPL(ata_pio_need_iordy);
452503f9
AC
7418EXPORT_SYMBOL_GPL(ata_timing_compute);
7419EXPORT_SYMBOL_GPL(ata_timing_merge);
7420
1da177e4
LT
7421#ifdef CONFIG_PCI
7422EXPORT_SYMBOL_GPL(pci_test_config_bits);
d583bc18 7423EXPORT_SYMBOL_GPL(ata_pci_init_sff_host);
1626aeb8 7424EXPORT_SYMBOL_GPL(ata_pci_init_bmdma);
d583bc18 7425EXPORT_SYMBOL_GPL(ata_pci_prepare_sff_host);
1da177e4
LT
7426EXPORT_SYMBOL_GPL(ata_pci_init_one);
7427EXPORT_SYMBOL_GPL(ata_pci_remove_one);
6ffa01d8 7428#ifdef CONFIG_PM
500530f6
TH
7429EXPORT_SYMBOL_GPL(ata_pci_device_do_suspend);
7430EXPORT_SYMBOL_GPL(ata_pci_device_do_resume);
9b847548
JA
7431EXPORT_SYMBOL_GPL(ata_pci_device_suspend);
7432EXPORT_SYMBOL_GPL(ata_pci_device_resume);
6ffa01d8 7433#endif /* CONFIG_PM */
67951ade
AC
7434EXPORT_SYMBOL_GPL(ata_pci_default_filter);
7435EXPORT_SYMBOL_GPL(ata_pci_clear_simplex);
1da177e4 7436#endif /* CONFIG_PCI */
9b847548 7437
31f88384 7438EXPORT_SYMBOL_GPL(sata_pmp_qc_defer_cmd_switch);
3af9a77a
TH
7439EXPORT_SYMBOL_GPL(sata_pmp_std_prereset);
7440EXPORT_SYMBOL_GPL(sata_pmp_std_hardreset);
7441EXPORT_SYMBOL_GPL(sata_pmp_std_postreset);
7442EXPORT_SYMBOL_GPL(sata_pmp_do_eh);
7443
b64bbc39
TH
7444EXPORT_SYMBOL_GPL(__ata_ehi_push_desc);
7445EXPORT_SYMBOL_GPL(ata_ehi_push_desc);
7446EXPORT_SYMBOL_GPL(ata_ehi_clear_desc);
cbcdd875
TH
7447EXPORT_SYMBOL_GPL(ata_port_desc);
7448#ifdef CONFIG_PCI
7449EXPORT_SYMBOL_GPL(ata_port_pbar_desc);
7450#endif /* CONFIG_PCI */
ece1d636 7451EXPORT_SYMBOL_GPL(ata_eng_timeout);
7b70fc03 7452EXPORT_SYMBOL_GPL(ata_port_schedule_eh);
dbd82616 7453EXPORT_SYMBOL_GPL(ata_link_abort);
7b70fc03 7454EXPORT_SYMBOL_GPL(ata_port_abort);
e3180499 7455EXPORT_SYMBOL_GPL(ata_port_freeze);
7d77b247 7456EXPORT_SYMBOL_GPL(sata_async_notification);
e3180499
TH
7457EXPORT_SYMBOL_GPL(ata_eh_freeze_port);
7458EXPORT_SYMBOL_GPL(ata_eh_thaw_port);
ece1d636
TH
7459EXPORT_SYMBOL_GPL(ata_eh_qc_complete);
7460EXPORT_SYMBOL_GPL(ata_eh_qc_retry);
022bdb07 7461EXPORT_SYMBOL_GPL(ata_do_eh);
83625006 7462EXPORT_SYMBOL_GPL(ata_irq_on);
a619f981 7463EXPORT_SYMBOL_GPL(ata_dev_try_classify);
be0d18df
AC
7464
7465EXPORT_SYMBOL_GPL(ata_cable_40wire);
7466EXPORT_SYMBOL_GPL(ata_cable_80wire);
7467EXPORT_SYMBOL_GPL(ata_cable_unknown);
7468EXPORT_SYMBOL_GPL(ata_cable_sata);
This page took 0.992245 seconds and 5 git commands to generate.