gpio/omap: fix debounce clock handling
[deliverable/linux.git] / drivers / gpio / gpio-omap.c
CommitLineData
5e1c5ff4 1/*
5e1c5ff4
TL
2 * Support functions for OMAP GPIO
3 *
92105bb7 4 * Copyright (C) 2003-2005 Nokia Corporation
96de0e25 5 * Written by Juha Yrjölä <juha.yrjola@nokia.com>
5e1c5ff4 6 *
44169075
SS
7 * Copyright (C) 2009 Texas Instruments
8 * Added OMAP4 support - Santosh Shilimkar <santosh.shilimkar@ti.com>
9 *
5e1c5ff4
TL
10 * This program is free software; you can redistribute it and/or modify
11 * it under the terms of the GNU General Public License version 2 as
12 * published by the Free Software Foundation.
13 */
14
5e1c5ff4
TL
15#include <linux/init.h>
16#include <linux/module.h>
5e1c5ff4 17#include <linux/interrupt.h>
3c437ffd 18#include <linux/syscore_ops.h>
92105bb7 19#include <linux/err.h>
f8ce2547 20#include <linux/clk.h>
fced80c7 21#include <linux/io.h>
77640aab
VC
22#include <linux/slab.h>
23#include <linux/pm_runtime.h>
55b93c32 24#include <linux/pm.h>
5e1c5ff4 25
a09e64fb 26#include <mach/hardware.h>
5e1c5ff4 27#include <asm/irq.h>
a09e64fb 28#include <mach/irqs.h>
1bc857f7 29#include <asm/gpio.h>
5e1c5ff4
TL
30#include <asm/mach/irq.h>
31
2dc983c5
TKD
32#define OFF_MODE 1
33
03e128ca
C
34static LIST_HEAD(omap_gpio_list);
35
6d62e216
C
36struct gpio_regs {
37 u32 irqenable1;
38 u32 irqenable2;
39 u32 wake_en;
40 u32 ctrl;
41 u32 oe;
42 u32 leveldetect0;
43 u32 leveldetect1;
44 u32 risingdetect;
45 u32 fallingdetect;
46 u32 dataout;
47};
48
5e1c5ff4 49struct gpio_bank {
03e128ca 50 struct list_head node;
9f7065da 51 unsigned long pbase;
92105bb7 52 void __iomem *base;
5e1c5ff4
TL
53 u16 irq;
54 u16 virtual_irq_start;
92105bb7
TL
55 u32 suspend_wakeup;
56 u32 saved_wakeup;
3ac4fa99
JY
57 u32 non_wakeup_gpios;
58 u32 enabled_non_wakeup_gpios;
6d62e216 59 struct gpio_regs context;
3ac4fa99
JY
60 u32 saved_datain;
61 u32 saved_fallingdetect;
62 u32 saved_risingdetect;
b144ff6f 63 u32 level_mask;
4318f36b 64 u32 toggle_mask;
5e1c5ff4 65 spinlock_t lock;
52e31344 66 struct gpio_chip chip;
89db9482 67 struct clk *dbck;
058af1ea 68 u32 mod_usage;
8865b9b6 69 u32 dbck_enable_mask;
72f83af9 70 bool dbck_enabled;
77640aab 71 struct device *dev;
d0d665a8 72 bool is_mpuio;
77640aab 73 bool dbck_flag;
0cde8d03 74 bool loses_context;
5de62b86 75 int stride;
d5f46247 76 u32 width;
60a3437d 77 int context_loss_count;
03e128ca 78 u16 id;
2dc983c5
TKD
79 int power_mode;
80 bool workaround_enabled;
fa87931a
KH
81
82 void (*set_dataout)(struct gpio_bank *bank, int gpio, int enable);
60a3437d 83 int (*get_context_loss_count)(struct device *dev);
fa87931a
KH
84
85 struct omap_gpio_reg_offs *regs;
5e1c5ff4
TL
86};
87
129fd223
KH
88#define GPIO_INDEX(bank, gpio) (gpio % bank->width)
89#define GPIO_BIT(bank, gpio) (1 << GPIO_INDEX(bank, gpio))
c8eef65a 90#define GPIO_MOD_CTRL_BIT BIT(0)
5e1c5ff4
TL
91
92static void _set_gpio_direction(struct gpio_bank *bank, int gpio, int is_input)
93{
92105bb7 94 void __iomem *reg = bank->base;
5e1c5ff4
TL
95 u32 l;
96
fa87931a 97 reg += bank->regs->direction;
5e1c5ff4
TL
98 l = __raw_readl(reg);
99 if (is_input)
100 l |= 1 << gpio;
101 else
102 l &= ~(1 << gpio);
103 __raw_writel(l, reg);
104}
105
fa87931a
KH
106
107/* set data out value using dedicate set/clear register */
108static void _set_gpio_dataout_reg(struct gpio_bank *bank, int gpio, int enable)
5e1c5ff4 109{
92105bb7 110 void __iomem *reg = bank->base;
fa87931a 111 u32 l = GPIO_BIT(bank, gpio);
5e1c5ff4 112
fa87931a
KH
113 if (enable)
114 reg += bank->regs->set_dataout;
115 else
116 reg += bank->regs->clr_dataout;
5e1c5ff4 117
5e1c5ff4
TL
118 __raw_writel(l, reg);
119}
120
fa87931a
KH
121/* set data out value using mask register */
122static void _set_gpio_dataout_mask(struct gpio_bank *bank, int gpio, int enable)
5e1c5ff4 123{
fa87931a
KH
124 void __iomem *reg = bank->base + bank->regs->dataout;
125 u32 gpio_bit = GPIO_BIT(bank, gpio);
126 u32 l;
5e1c5ff4 127
fa87931a
KH
128 l = __raw_readl(reg);
129 if (enable)
130 l |= gpio_bit;
131 else
132 l &= ~gpio_bit;
5e1c5ff4 133 __raw_writel(l, reg);
5e1c5ff4
TL
134}
135
b37c45b8 136static int _get_gpio_datain(struct gpio_bank *bank, int gpio)
b37c45b8 137{
fa87931a 138 void __iomem *reg = bank->base + bank->regs->datain;
b37c45b8 139
fa87931a 140 return (__raw_readl(reg) & GPIO_BIT(bank, gpio)) != 0;
5e1c5ff4 141}
b37c45b8 142
b37c45b8
RQ
143static int _get_gpio_dataout(struct gpio_bank *bank, int gpio)
144{
fa87931a 145 void __iomem *reg = bank->base + bank->regs->dataout;
b37c45b8 146
129fd223 147 return (__raw_readl(reg) & GPIO_BIT(bank, gpio)) != 0;
b37c45b8
RQ
148}
149
ece9528e
KH
150static inline void _gpio_rmw(void __iomem *base, u32 reg, u32 mask, bool set)
151{
152 int l = __raw_readl(base + reg);
153
154 if (set)
155 l |= mask;
156 else
157 l &= ~mask;
158
159 __raw_writel(l, base + reg);
160}
92105bb7 161
72f83af9
TKD
162static inline void _gpio_dbck_enable(struct gpio_bank *bank)
163{
164 if (bank->dbck_enable_mask && !bank->dbck_enabled) {
165 clk_enable(bank->dbck);
166 bank->dbck_enabled = true;
167 }
168}
169
170static inline void _gpio_dbck_disable(struct gpio_bank *bank)
171{
172 if (bank->dbck_enable_mask && bank->dbck_enabled) {
173 clk_disable(bank->dbck);
174 bank->dbck_enabled = false;
175 }
176}
177
168ef3d9
FB
178/**
179 * _set_gpio_debounce - low level gpio debounce time
180 * @bank: the gpio bank we're acting upon
181 * @gpio: the gpio number on this @gpio
182 * @debounce: debounce time to use
183 *
184 * OMAP's debounce time is in 31us steps so we need
185 * to convert and round up to the closest unit.
186 */
187static void _set_gpio_debounce(struct gpio_bank *bank, unsigned gpio,
188 unsigned debounce)
189{
9942da0e 190 void __iomem *reg;
168ef3d9
FB
191 u32 val;
192 u32 l;
193
77640aab
VC
194 if (!bank->dbck_flag)
195 return;
196
168ef3d9
FB
197 if (debounce < 32)
198 debounce = 0x01;
199 else if (debounce > 7936)
200 debounce = 0xff;
201 else
202 debounce = (debounce / 0x1f) - 1;
203
129fd223 204 l = GPIO_BIT(bank, gpio);
168ef3d9 205
9942da0e 206 reg = bank->base + bank->regs->debounce;
168ef3d9
FB
207 __raw_writel(debounce, reg);
208
9942da0e 209 reg = bank->base + bank->regs->debounce_en;
168ef3d9
FB
210 val = __raw_readl(reg);
211
212 if (debounce) {
213 val |= l;
77640aab 214 clk_enable(bank->dbck);
168ef3d9
FB
215 } else {
216 val &= ~l;
77640aab 217 clk_disable(bank->dbck);
168ef3d9 218 }
f7ec0b0b 219 bank->dbck_enable_mask = val;
168ef3d9
FB
220
221 __raw_writel(val, reg);
222}
223
5e571f38 224static inline void set_gpio_trigger(struct gpio_bank *bank, int gpio,
5eb3bb9c 225 int trigger)
5e1c5ff4 226{
3ac4fa99 227 void __iomem *base = bank->base;
92105bb7
TL
228 u32 gpio_bit = 1 << gpio;
229
5e571f38
TKD
230 _gpio_rmw(base, bank->regs->leveldetect0, gpio_bit,
231 trigger & IRQ_TYPE_LEVEL_LOW);
232 _gpio_rmw(base, bank->regs->leveldetect1, gpio_bit,
233 trigger & IRQ_TYPE_LEVEL_HIGH);
234 _gpio_rmw(base, bank->regs->risingdetect, gpio_bit,
235 trigger & IRQ_TYPE_EDGE_RISING);
236 _gpio_rmw(base, bank->regs->fallingdetect, gpio_bit,
237 trigger & IRQ_TYPE_EDGE_FALLING);
238
239 if (likely(!(bank->non_wakeup_gpios & gpio_bit)))
240 _gpio_rmw(base, bank->regs->wkup_en, gpio_bit, trigger != 0);
241
55b220ca 242 /* This part needs to be executed always for OMAP{34xx, 44xx} */
5e571f38
TKD
243 if (!bank->regs->irqctrl) {
244 /* On omap24xx proceed only when valid GPIO bit is set */
245 if (bank->non_wakeup_gpios) {
246 if (!(bank->non_wakeup_gpios & gpio_bit))
247 goto exit;
248 }
249
699117a6
CW
250 /*
251 * Log the edge gpio and manually trigger the IRQ
252 * after resume if the input level changes
253 * to avoid irq lost during PER RET/OFF mode
254 * Applies for omap2 non-wakeup gpio and all omap3 gpios
255 */
256 if (trigger & IRQ_TYPE_EDGE_BOTH)
3ac4fa99
JY
257 bank->enabled_non_wakeup_gpios |= gpio_bit;
258 else
259 bank->enabled_non_wakeup_gpios &= ~gpio_bit;
260 }
5eb3bb9c 261
5e571f38 262exit:
9ea14d8c
TKD
263 bank->level_mask =
264 __raw_readl(bank->base + bank->regs->leveldetect0) |
265 __raw_readl(bank->base + bank->regs->leveldetect1);
92105bb7
TL
266}
267
9198bcd3 268#ifdef CONFIG_ARCH_OMAP1
4318f36b
CM
269/*
270 * This only applies to chips that can't do both rising and falling edge
271 * detection at once. For all other chips, this function is a noop.
272 */
273static void _toggle_gpio_edge_triggering(struct gpio_bank *bank, int gpio)
274{
275 void __iomem *reg = bank->base;
276 u32 l = 0;
277
5e571f38 278 if (!bank->regs->irqctrl)
4318f36b 279 return;
5e571f38
TKD
280
281 reg += bank->regs->irqctrl;
4318f36b
CM
282
283 l = __raw_readl(reg);
284 if ((l >> gpio) & 1)
285 l &= ~(1 << gpio);
286 else
287 l |= 1 << gpio;
288
289 __raw_writel(l, reg);
290}
5e571f38
TKD
291#else
292static void _toggle_gpio_edge_triggering(struct gpio_bank *bank, int gpio) {}
9198bcd3 293#endif
4318f36b 294
92105bb7
TL
295static int _set_gpio_triggering(struct gpio_bank *bank, int gpio, int trigger)
296{
297 void __iomem *reg = bank->base;
5e571f38 298 void __iomem *base = bank->base;
92105bb7 299 u32 l = 0;
5e1c5ff4 300
5e571f38
TKD
301 if (bank->regs->leveldetect0 && bank->regs->wkup_en) {
302 set_gpio_trigger(bank, gpio, trigger);
303 } else if (bank->regs->irqctrl) {
304 reg += bank->regs->irqctrl;
305
5e1c5ff4 306 l = __raw_readl(reg);
29501577 307 if ((trigger & IRQ_TYPE_SENSE_MASK) == IRQ_TYPE_EDGE_BOTH)
4318f36b 308 bank->toggle_mask |= 1 << gpio;
6cab4860 309 if (trigger & IRQ_TYPE_EDGE_RISING)
5e1c5ff4 310 l |= 1 << gpio;
6cab4860 311 else if (trigger & IRQ_TYPE_EDGE_FALLING)
5e1c5ff4 312 l &= ~(1 << gpio);
92105bb7 313 else
5e571f38
TKD
314 return -EINVAL;
315
316 __raw_writel(l, reg);
317 } else if (bank->regs->edgectrl1) {
5e1c5ff4 318 if (gpio & 0x08)
5e571f38 319 reg += bank->regs->edgectrl2;
5e1c5ff4 320 else
5e571f38
TKD
321 reg += bank->regs->edgectrl1;
322
5e1c5ff4
TL
323 gpio &= 0x07;
324 l = __raw_readl(reg);
325 l &= ~(3 << (gpio << 1));
6cab4860 326 if (trigger & IRQ_TYPE_EDGE_RISING)
6e60e79a 327 l |= 2 << (gpio << 1);
6cab4860 328 if (trigger & IRQ_TYPE_EDGE_FALLING)
6e60e79a 329 l |= 1 << (gpio << 1);
5e571f38
TKD
330
331 /* Enable wake-up during idle for dynamic tick */
332 _gpio_rmw(base, bank->regs->wkup_en, 1 << gpio, trigger);
333 __raw_writel(l, reg);
5e1c5ff4 334 }
92105bb7 335 return 0;
5e1c5ff4
TL
336}
337
e9191028 338static int gpio_irq_type(struct irq_data *d, unsigned type)
5e1c5ff4
TL
339{
340 struct gpio_bank *bank;
92105bb7
TL
341 unsigned gpio;
342 int retval;
a6472533 343 unsigned long flags;
92105bb7 344
e9191028
LB
345 if (!cpu_class_is_omap2() && d->irq > IH_MPUIO_BASE)
346 gpio = OMAP_MPUIO(d->irq - IH_MPUIO_BASE);
92105bb7 347 else
e9191028 348 gpio = d->irq - IH_GPIO_BASE;
5e1c5ff4 349
e5c56ed3 350 if (type & ~IRQ_TYPE_SENSE_MASK)
6e60e79a 351 return -EINVAL;
e5c56ed3 352
9ea14d8c
TKD
353 bank = irq_data_get_irq_chip_data(d);
354
355 if (!bank->regs->leveldetect0 &&
356 (type & (IRQ_TYPE_LEVEL_LOW|IRQ_TYPE_LEVEL_HIGH)))
92105bb7
TL
357 return -EINVAL;
358
a6472533 359 spin_lock_irqsave(&bank->lock, flags);
129fd223 360 retval = _set_gpio_triggering(bank, GPIO_INDEX(bank, gpio), type);
a6472533 361 spin_unlock_irqrestore(&bank->lock, flags);
672e302e
KH
362
363 if (type & (IRQ_TYPE_LEVEL_LOW | IRQ_TYPE_LEVEL_HIGH))
6845664a 364 __irq_set_handler_locked(d->irq, handle_level_irq);
672e302e 365 else if (type & (IRQ_TYPE_EDGE_FALLING | IRQ_TYPE_EDGE_RISING))
6845664a 366 __irq_set_handler_locked(d->irq, handle_edge_irq);
672e302e 367
92105bb7 368 return retval;
5e1c5ff4
TL
369}
370
371static void _clear_gpio_irqbank(struct gpio_bank *bank, int gpio_mask)
372{
92105bb7 373 void __iomem *reg = bank->base;
5e1c5ff4 374
eef4bec7 375 reg += bank->regs->irqstatus;
5e1c5ff4 376 __raw_writel(gpio_mask, reg);
bee7930f
HD
377
378 /* Workaround for clearing DSP GPIO interrupts to allow retention */
eef4bec7
KH
379 if (bank->regs->irqstatus2) {
380 reg = bank->base + bank->regs->irqstatus2;
bedfd154 381 __raw_writel(gpio_mask, reg);
eef4bec7 382 }
bedfd154
RQ
383
384 /* Flush posted write for the irq status to avoid spurious interrupts */
385 __raw_readl(reg);
5e1c5ff4
TL
386}
387
388static inline void _clear_gpio_irqstatus(struct gpio_bank *bank, int gpio)
389{
129fd223 390 _clear_gpio_irqbank(bank, GPIO_BIT(bank, gpio));
5e1c5ff4
TL
391}
392
ea6dedd7
ID
393static u32 _get_gpio_irqbank_mask(struct gpio_bank *bank)
394{
395 void __iomem *reg = bank->base;
99c47707 396 u32 l;
c390aad0 397 u32 mask = (1 << bank->width) - 1;
ea6dedd7 398
28f3b5a0 399 reg += bank->regs->irqenable;
99c47707 400 l = __raw_readl(reg);
28f3b5a0 401 if (bank->regs->irqenable_inv)
99c47707
ID
402 l = ~l;
403 l &= mask;
404 return l;
ea6dedd7
ID
405}
406
28f3b5a0 407static void _enable_gpio_irqbank(struct gpio_bank *bank, int gpio_mask)
5e1c5ff4 408{
92105bb7 409 void __iomem *reg = bank->base;
5e1c5ff4
TL
410 u32 l;
411
28f3b5a0
KH
412 if (bank->regs->set_irqenable) {
413 reg += bank->regs->set_irqenable;
414 l = gpio_mask;
415 } else {
416 reg += bank->regs->irqenable;
5e1c5ff4 417 l = __raw_readl(reg);
28f3b5a0
KH
418 if (bank->regs->irqenable_inv)
419 l &= ~gpio_mask;
5e1c5ff4
TL
420 else
421 l |= gpio_mask;
28f3b5a0
KH
422 }
423
424 __raw_writel(l, reg);
425}
426
427static void _disable_gpio_irqbank(struct gpio_bank *bank, int gpio_mask)
428{
429 void __iomem *reg = bank->base;
430 u32 l;
431
432 if (bank->regs->clr_irqenable) {
433 reg += bank->regs->clr_irqenable;
5e1c5ff4 434 l = gpio_mask;
28f3b5a0
KH
435 } else {
436 reg += bank->regs->irqenable;
56739a69 437 l = __raw_readl(reg);
28f3b5a0 438 if (bank->regs->irqenable_inv)
56739a69 439 l |= gpio_mask;
92105bb7 440 else
28f3b5a0 441 l &= ~gpio_mask;
5e1c5ff4 442 }
28f3b5a0 443
5e1c5ff4
TL
444 __raw_writel(l, reg);
445}
446
447static inline void _set_gpio_irqenable(struct gpio_bank *bank, int gpio, int enable)
448{
28f3b5a0 449 _enable_gpio_irqbank(bank, GPIO_BIT(bank, gpio));
5e1c5ff4
TL
450}
451
92105bb7
TL
452/*
453 * Note that ENAWAKEUP needs to be enabled in GPIO_SYSCONFIG register.
454 * 1510 does not seem to have a wake-up register. If JTAG is connected
455 * to the target, system will wake up always on GPIO events. While
456 * system is running all registered GPIO interrupts need to have wake-up
457 * enabled. When system is suspended, only selected GPIO interrupts need
458 * to have wake-up enabled.
459 */
460static int _set_gpio_wakeup(struct gpio_bank *bank, int gpio, int enable)
461{
f64ad1a0
KH
462 u32 gpio_bit = GPIO_BIT(bank, gpio);
463 unsigned long flags;
a6472533 464
f64ad1a0
KH
465 if (bank->non_wakeup_gpios & gpio_bit) {
466 dev_err(bank->dev,
467 "Unable to modify wakeup on non-wakeup GPIO%d\n", gpio);
92105bb7
TL
468 return -EINVAL;
469 }
f64ad1a0
KH
470
471 spin_lock_irqsave(&bank->lock, flags);
472 if (enable)
473 bank->suspend_wakeup |= gpio_bit;
474 else
475 bank->suspend_wakeup &= ~gpio_bit;
476
477 spin_unlock_irqrestore(&bank->lock, flags);
478
479 return 0;
92105bb7
TL
480}
481
4196dd6b
TL
482static void _reset_gpio(struct gpio_bank *bank, int gpio)
483{
129fd223 484 _set_gpio_direction(bank, GPIO_INDEX(bank, gpio), 1);
4196dd6b
TL
485 _set_gpio_irqenable(bank, gpio, 0);
486 _clear_gpio_irqstatus(bank, gpio);
129fd223 487 _set_gpio_triggering(bank, GPIO_INDEX(bank, gpio), IRQ_TYPE_NONE);
4196dd6b
TL
488}
489
92105bb7 490/* Use disable_irq_wake() and enable_irq_wake() functions from drivers */
e9191028 491static int gpio_wake_enable(struct irq_data *d, unsigned int enable)
92105bb7 492{
e9191028 493 unsigned int gpio = d->irq - IH_GPIO_BASE;
92105bb7
TL
494 struct gpio_bank *bank;
495 int retval;
496
e9191028 497 bank = irq_data_get_irq_chip_data(d);
f64ad1a0 498 retval = _set_gpio_wakeup(bank, gpio, enable);
92105bb7
TL
499
500 return retval;
501}
502
3ff164e1 503static int omap_gpio_request(struct gpio_chip *chip, unsigned offset)
5e1c5ff4 504{
3ff164e1 505 struct gpio_bank *bank = container_of(chip, struct gpio_bank, chip);
a6472533 506 unsigned long flags;
52e31344 507
55b93c32
TKD
508 /*
509 * If this is the first gpio_request for the bank,
510 * enable the bank module.
511 */
512 if (!bank->mod_usage)
513 pm_runtime_get_sync(bank->dev);
92105bb7 514
55b93c32 515 spin_lock_irqsave(&bank->lock, flags);
4196dd6b
TL
516 /* Set trigger to none. You need to enable the desired trigger with
517 * request_irq() or set_irq_type().
518 */
3ff164e1 519 _set_gpio_triggering(bank, offset, IRQ_TYPE_NONE);
92105bb7 520
fad96ea8
C
521 if (bank->regs->pinctrl) {
522 void __iomem *reg = bank->base + bank->regs->pinctrl;
5e1c5ff4 523
92105bb7 524 /* Claim the pin for MPU */
3ff164e1 525 __raw_writel(__raw_readl(reg) | (1 << offset), reg);
5e1c5ff4 526 }
fad96ea8 527
c8eef65a
C
528 if (bank->regs->ctrl && !bank->mod_usage) {
529 void __iomem *reg = bank->base + bank->regs->ctrl;
530 u32 ctrl;
531
532 ctrl = __raw_readl(reg);
533 /* Module is enabled, clocks are not gated */
534 ctrl &= ~GPIO_MOD_CTRL_BIT;
535 __raw_writel(ctrl, reg);
058af1ea 536 }
c8eef65a
C
537
538 bank->mod_usage |= 1 << offset;
539
a6472533 540 spin_unlock_irqrestore(&bank->lock, flags);
5e1c5ff4
TL
541
542 return 0;
543}
544
3ff164e1 545static void omap_gpio_free(struct gpio_chip *chip, unsigned offset)
5e1c5ff4 546{
3ff164e1 547 struct gpio_bank *bank = container_of(chip, struct gpio_bank, chip);
6ed87c5b 548 void __iomem *base = bank->base;
a6472533 549 unsigned long flags;
5e1c5ff4 550
a6472533 551 spin_lock_irqsave(&bank->lock, flags);
6ed87c5b
TKD
552
553 if (bank->regs->wkup_en)
9f096868 554 /* Disable wake-up during idle for dynamic tick */
6ed87c5b
TKD
555 _gpio_rmw(base, bank->regs->wkup_en, 1 << offset, 0);
556
c8eef65a
C
557 bank->mod_usage &= ~(1 << offset);
558
559 if (bank->regs->ctrl && !bank->mod_usage) {
560 void __iomem *reg = bank->base + bank->regs->ctrl;
561 u32 ctrl;
562
563 ctrl = __raw_readl(reg);
564 /* Module is disabled, clocks are gated */
565 ctrl |= GPIO_MOD_CTRL_BIT;
566 __raw_writel(ctrl, reg);
058af1ea 567 }
c8eef65a 568
3ff164e1 569 _reset_gpio(bank, bank->chip.base + offset);
a6472533 570 spin_unlock_irqrestore(&bank->lock, flags);
55b93c32
TKD
571
572 /*
573 * If this is the last gpio to be freed in the bank,
574 * disable the bank module.
575 */
576 if (!bank->mod_usage)
577 pm_runtime_put(bank->dev);
5e1c5ff4
TL
578}
579
580/*
581 * We need to unmask the GPIO bank interrupt as soon as possible to
582 * avoid missing GPIO interrupts for other lines in the bank.
583 * Then we need to mask-read-clear-unmask the triggered GPIO lines
584 * in the bank to avoid missing nested interrupts for a GPIO line.
585 * If we wait to unmask individual GPIO lines in the bank after the
586 * line's interrupt handler has been run, we may miss some nested
587 * interrupts.
588 */
10dd5ce2 589static void gpio_irq_handler(unsigned int irq, struct irq_desc *desc)
5e1c5ff4 590{
92105bb7 591 void __iomem *isr_reg = NULL;
5e1c5ff4 592 u32 isr;
4318f36b 593 unsigned int gpio_irq, gpio_index;
5e1c5ff4 594 struct gpio_bank *bank;
ea6dedd7
ID
595 u32 retrigger = 0;
596 int unmasked = 0;
ee144182 597 struct irq_chip *chip = irq_desc_get_chip(desc);
5e1c5ff4 598
ee144182 599 chained_irq_enter(chip, desc);
5e1c5ff4 600
6845664a 601 bank = irq_get_handler_data(irq);
eef4bec7 602 isr_reg = bank->base + bank->regs->irqstatus;
55b93c32 603 pm_runtime_get_sync(bank->dev);
b1cc4c55
EK
604
605 if (WARN_ON(!isr_reg))
606 goto exit;
607
92105bb7 608 while(1) {
6e60e79a 609 u32 isr_saved, level_mask = 0;
ea6dedd7 610 u32 enabled;
6e60e79a 611
ea6dedd7
ID
612 enabled = _get_gpio_irqbank_mask(bank);
613 isr_saved = isr = __raw_readl(isr_reg) & enabled;
6e60e79a 614
9ea14d8c 615 if (bank->level_mask)
b144ff6f 616 level_mask = bank->level_mask & enabled;
6e60e79a
TL
617
618 /* clear edge sensitive interrupts before handler(s) are
619 called so that we don't miss any interrupt occurred while
620 executing them */
28f3b5a0 621 _disable_gpio_irqbank(bank, isr_saved & ~level_mask);
6e60e79a 622 _clear_gpio_irqbank(bank, isr_saved & ~level_mask);
28f3b5a0 623 _enable_gpio_irqbank(bank, isr_saved & ~level_mask);
6e60e79a
TL
624
625 /* if there is only edge sensitive GPIO pin interrupts
626 configured, we could unmask GPIO bank interrupt immediately */
ea6dedd7
ID
627 if (!level_mask && !unmasked) {
628 unmasked = 1;
ee144182 629 chained_irq_exit(chip, desc);
ea6dedd7 630 }
92105bb7 631
ea6dedd7
ID
632 isr |= retrigger;
633 retrigger = 0;
92105bb7
TL
634 if (!isr)
635 break;
636
637 gpio_irq = bank->virtual_irq_start;
638 for (; isr != 0; isr >>= 1, gpio_irq++) {
129fd223 639 gpio_index = GPIO_INDEX(bank, irq_to_gpio(gpio_irq));
4318f36b 640
92105bb7
TL
641 if (!(isr & 1))
642 continue;
29454dde 643
4318f36b
CM
644 /*
645 * Some chips can't respond to both rising and falling
646 * at the same time. If this irq was requested with
647 * both flags, we need to flip the ICR data for the IRQ
648 * to respond to the IRQ for the opposite direction.
649 * This will be indicated in the bank toggle_mask.
650 */
651 if (bank->toggle_mask & (1 << gpio_index))
652 _toggle_gpio_edge_triggering(bank, gpio_index);
4318f36b 653
d8aa0251 654 generic_handle_irq(gpio_irq);
92105bb7 655 }
1a8bfa1e 656 }
ea6dedd7
ID
657 /* if bank has any level sensitive GPIO pin interrupt
658 configured, we must unmask the bank interrupt only after
659 handler(s) are executed in order to avoid spurious bank
660 interrupt */
b1cc4c55 661exit:
ea6dedd7 662 if (!unmasked)
ee144182 663 chained_irq_exit(chip, desc);
55b93c32 664 pm_runtime_put(bank->dev);
5e1c5ff4
TL
665}
666
e9191028 667static void gpio_irq_shutdown(struct irq_data *d)
4196dd6b 668{
e9191028
LB
669 unsigned int gpio = d->irq - IH_GPIO_BASE;
670 struct gpio_bank *bank = irq_data_get_irq_chip_data(d);
85ec7b97 671 unsigned long flags;
4196dd6b 672
85ec7b97 673 spin_lock_irqsave(&bank->lock, flags);
4196dd6b 674 _reset_gpio(bank, gpio);
85ec7b97 675 spin_unlock_irqrestore(&bank->lock, flags);
4196dd6b
TL
676}
677
e9191028 678static void gpio_ack_irq(struct irq_data *d)
5e1c5ff4 679{
e9191028
LB
680 unsigned int gpio = d->irq - IH_GPIO_BASE;
681 struct gpio_bank *bank = irq_data_get_irq_chip_data(d);
5e1c5ff4
TL
682
683 _clear_gpio_irqstatus(bank, gpio);
684}
685
e9191028 686static void gpio_mask_irq(struct irq_data *d)
5e1c5ff4 687{
e9191028
LB
688 unsigned int gpio = d->irq - IH_GPIO_BASE;
689 struct gpio_bank *bank = irq_data_get_irq_chip_data(d);
85ec7b97 690 unsigned long flags;
5e1c5ff4 691
85ec7b97 692 spin_lock_irqsave(&bank->lock, flags);
5e1c5ff4 693 _set_gpio_irqenable(bank, gpio, 0);
129fd223 694 _set_gpio_triggering(bank, GPIO_INDEX(bank, gpio), IRQ_TYPE_NONE);
85ec7b97 695 spin_unlock_irqrestore(&bank->lock, flags);
5e1c5ff4
TL
696}
697
e9191028 698static void gpio_unmask_irq(struct irq_data *d)
5e1c5ff4 699{
e9191028
LB
700 unsigned int gpio = d->irq - IH_GPIO_BASE;
701 struct gpio_bank *bank = irq_data_get_irq_chip_data(d);
129fd223 702 unsigned int irq_mask = GPIO_BIT(bank, gpio);
8c04a176 703 u32 trigger = irqd_get_trigger_type(d);
85ec7b97 704 unsigned long flags;
55b6019a 705
85ec7b97 706 spin_lock_irqsave(&bank->lock, flags);
55b6019a 707 if (trigger)
129fd223 708 _set_gpio_triggering(bank, GPIO_INDEX(bank, gpio), trigger);
b144ff6f
KH
709
710 /* For level-triggered GPIOs, the clearing must be done after
711 * the HW source is cleared, thus after the handler has run */
712 if (bank->level_mask & irq_mask) {
713 _set_gpio_irqenable(bank, gpio, 0);
714 _clear_gpio_irqstatus(bank, gpio);
715 }
5e1c5ff4 716
4de8c75b 717 _set_gpio_irqenable(bank, gpio, 1);
85ec7b97 718 spin_unlock_irqrestore(&bank->lock, flags);
5e1c5ff4
TL
719}
720
e5c56ed3
DB
721static struct irq_chip gpio_irq_chip = {
722 .name = "GPIO",
e9191028
LB
723 .irq_shutdown = gpio_irq_shutdown,
724 .irq_ack = gpio_ack_irq,
725 .irq_mask = gpio_mask_irq,
726 .irq_unmask = gpio_unmask_irq,
727 .irq_set_type = gpio_irq_type,
728 .irq_set_wake = gpio_wake_enable,
e5c56ed3
DB
729};
730
731/*---------------------------------------------------------------------*/
732
79ee031f 733static int omap_mpuio_suspend_noirq(struct device *dev)
11a78b79 734{
79ee031f 735 struct platform_device *pdev = to_platform_device(dev);
11a78b79 736 struct gpio_bank *bank = platform_get_drvdata(pdev);
5de62b86
TL
737 void __iomem *mask_reg = bank->base +
738 OMAP_MPUIO_GPIO_MASKIT / bank->stride;
a6472533 739 unsigned long flags;
11a78b79 740
a6472533 741 spin_lock_irqsave(&bank->lock, flags);
11a78b79
DB
742 bank->saved_wakeup = __raw_readl(mask_reg);
743 __raw_writel(0xffff & ~bank->suspend_wakeup, mask_reg);
a6472533 744 spin_unlock_irqrestore(&bank->lock, flags);
11a78b79
DB
745
746 return 0;
747}
748
79ee031f 749static int omap_mpuio_resume_noirq(struct device *dev)
11a78b79 750{
79ee031f 751 struct platform_device *pdev = to_platform_device(dev);
11a78b79 752 struct gpio_bank *bank = platform_get_drvdata(pdev);
5de62b86
TL
753 void __iomem *mask_reg = bank->base +
754 OMAP_MPUIO_GPIO_MASKIT / bank->stride;
a6472533 755 unsigned long flags;
11a78b79 756
a6472533 757 spin_lock_irqsave(&bank->lock, flags);
11a78b79 758 __raw_writel(bank->saved_wakeup, mask_reg);
a6472533 759 spin_unlock_irqrestore(&bank->lock, flags);
11a78b79
DB
760
761 return 0;
762}
763
47145210 764static const struct dev_pm_ops omap_mpuio_dev_pm_ops = {
79ee031f
MD
765 .suspend_noirq = omap_mpuio_suspend_noirq,
766 .resume_noirq = omap_mpuio_resume_noirq,
767};
768
3c437ffd 769/* use platform_driver for this. */
11a78b79 770static struct platform_driver omap_mpuio_driver = {
11a78b79
DB
771 .driver = {
772 .name = "mpuio",
79ee031f 773 .pm = &omap_mpuio_dev_pm_ops,
11a78b79
DB
774 },
775};
776
777static struct platform_device omap_mpuio_device = {
778 .name = "mpuio",
779 .id = -1,
780 .dev = {
781 .driver = &omap_mpuio_driver.driver,
782 }
783 /* could list the /proc/iomem resources */
784};
785
03e128ca 786static inline void mpuio_init(struct gpio_bank *bank)
11a78b79 787{
77640aab 788 platform_set_drvdata(&omap_mpuio_device, bank);
fcf126d8 789
11a78b79
DB
790 if (platform_driver_register(&omap_mpuio_driver) == 0)
791 (void) platform_device_register(&omap_mpuio_device);
792}
793
e5c56ed3 794/*---------------------------------------------------------------------*/
5e1c5ff4 795
52e31344
DB
796static int gpio_input(struct gpio_chip *chip, unsigned offset)
797{
798 struct gpio_bank *bank;
799 unsigned long flags;
800
801 bank = container_of(chip, struct gpio_bank, chip);
802 spin_lock_irqsave(&bank->lock, flags);
803 _set_gpio_direction(bank, offset, 1);
804 spin_unlock_irqrestore(&bank->lock, flags);
805 return 0;
806}
807
b37c45b8
RQ
808static int gpio_is_input(struct gpio_bank *bank, int mask)
809{
fa87931a 810 void __iomem *reg = bank->base + bank->regs->direction;
b37c45b8 811
b37c45b8
RQ
812 return __raw_readl(reg) & mask;
813}
814
52e31344
DB
815static int gpio_get(struct gpio_chip *chip, unsigned offset)
816{
b37c45b8
RQ
817 struct gpio_bank *bank;
818 void __iomem *reg;
819 int gpio;
820 u32 mask;
821
822 gpio = chip->base + offset;
a8be8daf 823 bank = container_of(chip, struct gpio_bank, chip);
b37c45b8 824 reg = bank->base;
129fd223 825 mask = GPIO_BIT(bank, gpio);
b37c45b8
RQ
826
827 if (gpio_is_input(bank, mask))
828 return _get_gpio_datain(bank, gpio);
829 else
830 return _get_gpio_dataout(bank, gpio);
52e31344
DB
831}
832
833static int gpio_output(struct gpio_chip *chip, unsigned offset, int value)
834{
835 struct gpio_bank *bank;
836 unsigned long flags;
837
838 bank = container_of(chip, struct gpio_bank, chip);
839 spin_lock_irqsave(&bank->lock, flags);
fa87931a 840 bank->set_dataout(bank, offset, value);
52e31344
DB
841 _set_gpio_direction(bank, offset, 0);
842 spin_unlock_irqrestore(&bank->lock, flags);
843 return 0;
844}
845
168ef3d9
FB
846static int gpio_debounce(struct gpio_chip *chip, unsigned offset,
847 unsigned debounce)
848{
849 struct gpio_bank *bank;
850 unsigned long flags;
851
852 bank = container_of(chip, struct gpio_bank, chip);
77640aab
VC
853
854 if (!bank->dbck) {
855 bank->dbck = clk_get(bank->dev, "dbclk");
856 if (IS_ERR(bank->dbck))
857 dev_err(bank->dev, "Could not get gpio dbck\n");
858 }
859
168ef3d9
FB
860 spin_lock_irqsave(&bank->lock, flags);
861 _set_gpio_debounce(bank, offset, debounce);
862 spin_unlock_irqrestore(&bank->lock, flags);
863
864 return 0;
865}
866
52e31344
DB
867static void gpio_set(struct gpio_chip *chip, unsigned offset, int value)
868{
869 struct gpio_bank *bank;
870 unsigned long flags;
871
872 bank = container_of(chip, struct gpio_bank, chip);
873 spin_lock_irqsave(&bank->lock, flags);
fa87931a 874 bank->set_dataout(bank, offset, value);
52e31344
DB
875 spin_unlock_irqrestore(&bank->lock, flags);
876}
877
a007b709
DB
878static int gpio_2irq(struct gpio_chip *chip, unsigned offset)
879{
880 struct gpio_bank *bank;
881
882 bank = container_of(chip, struct gpio_bank, chip);
883 return bank->virtual_irq_start + offset;
884}
885
52e31344
DB
886/*---------------------------------------------------------------------*/
887
9a748053 888static void __init omap_gpio_show_rev(struct gpio_bank *bank)
9f7065da 889{
e5ff4440 890 static bool called;
9f7065da
TL
891 u32 rev;
892
e5ff4440 893 if (called || bank->regs->revision == USHRT_MAX)
9f7065da
TL
894 return;
895
e5ff4440
KH
896 rev = __raw_readw(bank->base + bank->regs->revision);
897 pr_info("OMAP GPIO hardware version %d.%d\n",
9f7065da 898 (rev >> 4) & 0x0f, rev & 0x0f);
e5ff4440
KH
899
900 called = true;
9f7065da
TL
901}
902
8ba55c5c
DB
903/* This lock class tells lockdep that GPIO irqs are in a different
904 * category than their parents, so it won't report false recursion.
905 */
906static struct lock_class_key gpio_lock_class;
907
03e128ca 908static void omap_gpio_mod_init(struct gpio_bank *bank)
2fae7fbe 909{
ab985f0f
TKD
910 void __iomem *base = bank->base;
911 u32 l = 0xffffffff;
2fae7fbe 912
ab985f0f
TKD
913 if (bank->width == 16)
914 l = 0xffff;
915
d0d665a8 916 if (bank->is_mpuio) {
ab985f0f
TKD
917 __raw_writel(l, bank->base + bank->regs->irqenable);
918 return;
2fae7fbe 919 }
ab985f0f
TKD
920
921 _gpio_rmw(base, bank->regs->irqenable, l, bank->regs->irqenable_inv);
922 _gpio_rmw(base, bank->regs->irqstatus, l,
923 bank->regs->irqenable_inv == false);
924 _gpio_rmw(base, bank->regs->irqenable, l, bank->regs->debounce_en != 0);
925 _gpio_rmw(base, bank->regs->irqenable, l, bank->regs->ctrl != 0);
926 if (bank->regs->debounce_en)
927 _gpio_rmw(base, bank->regs->debounce_en, 0, 1);
928
2dc983c5
TKD
929 /* Save OE default value (0xffffffff) in the context */
930 bank->context.oe = __raw_readl(bank->base + bank->regs->direction);
ab985f0f
TKD
931 /* Initialize interface clk ungated, module enabled */
932 if (bank->regs->ctrl)
933 _gpio_rmw(base, bank->regs->ctrl, 0, 1);
2fae7fbe
VC
934}
935
f8b46b58
KH
936static __init void
937omap_mpuio_alloc_gc(struct gpio_bank *bank, unsigned int irq_start,
938 unsigned int num)
939{
940 struct irq_chip_generic *gc;
941 struct irq_chip_type *ct;
942
943 gc = irq_alloc_generic_chip("MPUIO", 1, irq_start, bank->base,
944 handle_simple_irq);
83233749
TP
945 if (!gc) {
946 dev_err(bank->dev, "Memory alloc failed for gc\n");
947 return;
948 }
949
f8b46b58
KH
950 ct = gc->chip_types;
951
952 /* NOTE: No ack required, reading IRQ status clears it. */
953 ct->chip.irq_mask = irq_gc_mask_set_bit;
954 ct->chip.irq_unmask = irq_gc_mask_clr_bit;
955 ct->chip.irq_set_type = gpio_irq_type;
6ed87c5b
TKD
956
957 if (bank->regs->wkup_en)
f8b46b58
KH
958 ct->chip.irq_set_wake = gpio_wake_enable,
959
960 ct->regs.mask = OMAP_MPUIO_GPIO_INT / bank->stride;
961 irq_setup_generic_chip(gc, IRQ_MSK(num), IRQ_GC_INIT_MASK_CACHE,
962 IRQ_NOREQUEST | IRQ_NOPROBE, 0);
963}
964
d52b31de 965static void __devinit omap_gpio_chip_init(struct gpio_bank *bank)
2fae7fbe 966{
77640aab 967 int j;
2fae7fbe
VC
968 static int gpio;
969
2fae7fbe
VC
970 /*
971 * REVISIT eventually switch from OMAP-specific gpio structs
972 * over to the generic ones
973 */
974 bank->chip.request = omap_gpio_request;
975 bank->chip.free = omap_gpio_free;
976 bank->chip.direction_input = gpio_input;
977 bank->chip.get = gpio_get;
978 bank->chip.direction_output = gpio_output;
979 bank->chip.set_debounce = gpio_debounce;
980 bank->chip.set = gpio_set;
981 bank->chip.to_irq = gpio_2irq;
d0d665a8 982 if (bank->is_mpuio) {
2fae7fbe 983 bank->chip.label = "mpuio";
6ed87c5b
TKD
984 if (bank->regs->wkup_en)
985 bank->chip.dev = &omap_mpuio_device.dev;
2fae7fbe
VC
986 bank->chip.base = OMAP_MPUIO(0);
987 } else {
988 bank->chip.label = "gpio";
989 bank->chip.base = gpio;
d5f46247 990 gpio += bank->width;
2fae7fbe 991 }
d5f46247 992 bank->chip.ngpio = bank->width;
2fae7fbe
VC
993
994 gpiochip_add(&bank->chip);
995
996 for (j = bank->virtual_irq_start;
d5f46247 997 j < bank->virtual_irq_start + bank->width; j++) {
1475b85d 998 irq_set_lockdep_class(j, &gpio_lock_class);
6845664a 999 irq_set_chip_data(j, bank);
d0d665a8 1000 if (bank->is_mpuio) {
f8b46b58
KH
1001 omap_mpuio_alloc_gc(bank, j, bank->width);
1002 } else {
6845664a 1003 irq_set_chip(j, &gpio_irq_chip);
f8b46b58
KH
1004 irq_set_handler(j, handle_simple_irq);
1005 set_irq_flags(j, IRQF_VALID);
1006 }
2fae7fbe 1007 }
6845664a
TG
1008 irq_set_chained_handler(bank->irq, gpio_irq_handler);
1009 irq_set_handler_data(bank->irq, bank);
2fae7fbe
VC
1010}
1011
77640aab 1012static int __devinit omap_gpio_probe(struct platform_device *pdev)
5e1c5ff4 1013{
77640aab
VC
1014 struct omap_gpio_platform_data *pdata;
1015 struct resource *res;
5e1c5ff4 1016 struct gpio_bank *bank;
03e128ca 1017 int ret = 0;
5e1c5ff4 1018
03e128ca
C
1019 if (!pdev->dev.platform_data) {
1020 ret = -EINVAL;
1021 goto err_exit;
5492fb1a 1022 }
5492fb1a 1023
03e128ca
C
1024 bank = kzalloc(sizeof(struct gpio_bank), GFP_KERNEL);
1025 if (!bank) {
1026 dev_err(&pdev->dev, "Memory alloc failed for gpio_bank\n");
1027 ret = -ENOMEM;
1028 goto err_exit;
1029 }
92105bb7 1030
77640aab
VC
1031 res = platform_get_resource(pdev, IORESOURCE_IRQ, 0);
1032 if (unlikely(!res)) {
03e128ca
C
1033 dev_err(&pdev->dev, "GPIO Bank %i Invalid IRQ resource\n",
1034 pdev->id);
1035 ret = -ENODEV;
1036 goto err_free;
44169075 1037 }
5e1c5ff4 1038
77640aab 1039 bank->irq = res->start;
03e128ca
C
1040 bank->id = pdev->id;
1041
1042 pdata = pdev->dev.platform_data;
77640aab 1043 bank->virtual_irq_start = pdata->virtual_irq_start;
77640aab
VC
1044 bank->dev = &pdev->dev;
1045 bank->dbck_flag = pdata->dbck_flag;
5de62b86 1046 bank->stride = pdata->bank_stride;
d5f46247 1047 bank->width = pdata->bank_width;
d0d665a8 1048 bank->is_mpuio = pdata->is_mpuio;
803a2434 1049 bank->non_wakeup_gpios = pdata->non_wakeup_gpios;
0cde8d03 1050 bank->loses_context = pdata->loses_context;
60a3437d 1051 bank->get_context_loss_count = pdata->get_context_loss_count;
fa87931a
KH
1052 bank->regs = pdata->regs;
1053
1054 if (bank->regs->set_dataout && bank->regs->clr_dataout)
1055 bank->set_dataout = _set_gpio_dataout_reg;
1056 else
1057 bank->set_dataout = _set_gpio_dataout_mask;
9f7065da 1058
77640aab 1059 spin_lock_init(&bank->lock);
9f7065da 1060
77640aab
VC
1061 /* Static mapping, never released */
1062 res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
1063 if (unlikely(!res)) {
03e128ca
C
1064 dev_err(&pdev->dev, "GPIO Bank %i Invalid mem resource\n",
1065 pdev->id);
1066 ret = -ENODEV;
1067 goto err_free;
77640aab 1068 }
89db9482 1069
77640aab
VC
1070 bank->base = ioremap(res->start, resource_size(res));
1071 if (!bank->base) {
03e128ca
C
1072 dev_err(&pdev->dev, "Could not ioremap gpio bank%i\n",
1073 pdev->id);
1074 ret = -ENOMEM;
1075 goto err_free;
5e1c5ff4
TL
1076 }
1077
065cd795
TKD
1078 platform_set_drvdata(pdev, bank);
1079
77640aab 1080 pm_runtime_enable(bank->dev);
55b93c32 1081 pm_runtime_irq_safe(bank->dev);
77640aab
VC
1082 pm_runtime_get_sync(bank->dev);
1083
d0d665a8 1084 if (bank->is_mpuio)
ab985f0f
TKD
1085 mpuio_init(bank);
1086
03e128ca 1087 omap_gpio_mod_init(bank);
77640aab 1088 omap_gpio_chip_init(bank);
9a748053 1089 omap_gpio_show_rev(bank);
9f7065da 1090
55b93c32
TKD
1091 pm_runtime_put(bank->dev);
1092
03e128ca 1093 list_add_tail(&bank->node, &omap_gpio_list);
77640aab 1094
03e128ca
C
1095 return ret;
1096
1097err_free:
1098 kfree(bank);
1099err_exit:
1100 return ret;
5e1c5ff4
TL
1101}
1102
55b93c32
TKD
1103#ifdef CONFIG_ARCH_OMAP2PLUS
1104
1105#if defined(CONFIG_PM_SLEEP)
1106static int omap_gpio_suspend(struct device *dev)
92105bb7 1107{
065cd795
TKD
1108 struct platform_device *pdev = to_platform_device(dev);
1109 struct gpio_bank *bank = platform_get_drvdata(pdev);
1110 void __iomem *base = bank->base;
1111 void __iomem *wakeup_enable;
1112 unsigned long flags;
92105bb7 1113
065cd795
TKD
1114 if (!bank->mod_usage || !bank->loses_context)
1115 return 0;
92105bb7 1116
065cd795
TKD
1117 if (!bank->regs->wkup_en || !bank->suspend_wakeup)
1118 return 0;
6ed87c5b 1119
065cd795 1120 wakeup_enable = bank->base + bank->regs->wkup_en;
92105bb7 1121
065cd795
TKD
1122 spin_lock_irqsave(&bank->lock, flags);
1123 bank->saved_wakeup = __raw_readl(wakeup_enable);
1124 _gpio_rmw(base, bank->regs->wkup_en, 0xffffffff, 0);
1125 _gpio_rmw(base, bank->regs->wkup_en, bank->suspend_wakeup, 1);
1126 spin_unlock_irqrestore(&bank->lock, flags);
92105bb7
TL
1127
1128 return 0;
1129}
1130
55b93c32 1131static int omap_gpio_resume(struct device *dev)
92105bb7 1132{
065cd795
TKD
1133 struct platform_device *pdev = to_platform_device(dev);
1134 struct gpio_bank *bank = platform_get_drvdata(pdev);
1135 void __iomem *base = bank->base;
1136 unsigned long flags;
92105bb7 1137
065cd795
TKD
1138 if (!bank->mod_usage || !bank->loses_context)
1139 return 0;
92105bb7 1140
065cd795
TKD
1141 if (!bank->regs->wkup_en || !bank->saved_wakeup)
1142 return 0;
92105bb7 1143
065cd795
TKD
1144 spin_lock_irqsave(&bank->lock, flags);
1145 _gpio_rmw(base, bank->regs->wkup_en, 0xffffffff, 0);
1146 _gpio_rmw(base, bank->regs->wkup_en, bank->saved_wakeup, 1);
1147 spin_unlock_irqrestore(&bank->lock, flags);
92105bb7 1148
55b93c32
TKD
1149 return 0;
1150}
1151#endif /* CONFIG_PM_SLEEP */
3ac4fa99 1152
2dc983c5 1153#if defined(CONFIG_PM_RUNTIME)
60a3437d
TKD
1154static void omap_gpio_save_context(struct gpio_bank *bank);
1155static void omap_gpio_restore_context(struct gpio_bank *bank);
3ac4fa99 1156
2dc983c5 1157static int omap_gpio_runtime_suspend(struct device *dev)
3ac4fa99 1158{
2dc983c5
TKD
1159 struct platform_device *pdev = to_platform_device(dev);
1160 struct gpio_bank *bank = platform_get_drvdata(pdev);
1161 u32 l1 = 0, l2 = 0;
1162 unsigned long flags;
8865b9b6 1163
2dc983c5
TKD
1164 spin_lock_irqsave(&bank->lock, flags);
1165 if (bank->power_mode != OFF_MODE) {
1166 bank->power_mode = 0;
1167 goto save_gpio_context;
1168 }
1169 /*
1170 * If going to OFF, remove triggering for all
1171 * non-wakeup GPIOs. Otherwise spurious IRQs will be
1172 * generated. See OMAP2420 Errata item 1.101.
1173 */
1174 if (!(bank->enabled_non_wakeup_gpios))
1175 goto save_gpio_context;
43ffcd9a 1176
2dc983c5
TKD
1177 bank->saved_datain = __raw_readl(bank->base +
1178 bank->regs->datain);
1179 l1 = __raw_readl(bank->base + bank->regs->fallingdetect);
1180 l2 = __raw_readl(bank->base + bank->regs->risingdetect);
3f1686a9 1181
2dc983c5
TKD
1182 bank->saved_fallingdetect = l1;
1183 bank->saved_risingdetect = l2;
1184 l1 &= ~bank->enabled_non_wakeup_gpios;
1185 l2 &= ~bank->enabled_non_wakeup_gpios;
3f1686a9 1186
2dc983c5
TKD
1187 __raw_writel(l1, bank->base + bank->regs->fallingdetect);
1188 __raw_writel(l2, bank->base + bank->regs->risingdetect);
3f1686a9 1189
2dc983c5 1190 bank->workaround_enabled = true;
3f1686a9 1191
60a3437d 1192save_gpio_context:
2dc983c5
TKD
1193 if (bank->get_context_loss_count)
1194 bank->context_loss_count =
60a3437d
TKD
1195 bank->get_context_loss_count(bank->dev);
1196
2dc983c5 1197 omap_gpio_save_context(bank);
72f83af9 1198 _gpio_dbck_disable(bank);
2dc983c5 1199 spin_unlock_irqrestore(&bank->lock, flags);
55b93c32 1200
2dc983c5 1201 return 0;
3ac4fa99
JY
1202}
1203
2dc983c5 1204static int omap_gpio_runtime_resume(struct device *dev)
3ac4fa99 1205{
2dc983c5
TKD
1206 struct platform_device *pdev = to_platform_device(dev);
1207 struct gpio_bank *bank = platform_get_drvdata(pdev);
1208 int context_lost_cnt_after;
1209 u32 l = 0, gen, gen0, gen1;
1210 unsigned long flags;
8865b9b6 1211
2dc983c5 1212 spin_lock_irqsave(&bank->lock, flags);
72f83af9 1213 _gpio_dbck_enable(bank);
2dc983c5
TKD
1214 if (!bank->enabled_non_wakeup_gpios || !bank->workaround_enabled) {
1215 spin_unlock_irqrestore(&bank->lock, flags);
1216 return 0;
1217 }
55b93c32 1218
2dc983c5
TKD
1219 if (bank->get_context_loss_count) {
1220 context_lost_cnt_after =
1221 bank->get_context_loss_count(bank->dev);
1222 if (context_lost_cnt_after != bank->context_loss_count ||
1223 !context_lost_cnt_after) {
1224 omap_gpio_restore_context(bank);
1225 } else {
1226 spin_unlock_irqrestore(&bank->lock, flags);
1227 return 0;
60a3437d 1228 }
2dc983c5 1229 }
43ffcd9a 1230
2dc983c5
TKD
1231 __raw_writel(bank->saved_fallingdetect,
1232 bank->base + bank->regs->fallingdetect);
1233 __raw_writel(bank->saved_risingdetect,
1234 bank->base + bank->regs->risingdetect);
1235 l = __raw_readl(bank->base + bank->regs->datain);
3f1686a9 1236
2dc983c5
TKD
1237 /*
1238 * Check if any of the non-wakeup interrupt GPIOs have changed
1239 * state. If so, generate an IRQ by software. This is
1240 * horribly racy, but it's the best we can do to work around
1241 * this silicon bug.
1242 */
1243 l ^= bank->saved_datain;
1244 l &= bank->enabled_non_wakeup_gpios;
3f1686a9 1245
2dc983c5
TKD
1246 /*
1247 * No need to generate IRQs for the rising edge for gpio IRQs
1248 * configured with falling edge only; and vice versa.
1249 */
1250 gen0 = l & bank->saved_fallingdetect;
1251 gen0 &= bank->saved_datain;
82dbb9d3 1252
2dc983c5
TKD
1253 gen1 = l & bank->saved_risingdetect;
1254 gen1 &= ~(bank->saved_datain);
82dbb9d3 1255
2dc983c5
TKD
1256 /* FIXME: Consider GPIO IRQs with level detections properly! */
1257 gen = l & (~(bank->saved_fallingdetect) & ~(bank->saved_risingdetect));
1258 /* Consider all GPIO IRQs needed to be updated */
1259 gen |= gen0 | gen1;
82dbb9d3 1260
2dc983c5
TKD
1261 if (gen) {
1262 u32 old0, old1;
82dbb9d3 1263
2dc983c5
TKD
1264 old0 = __raw_readl(bank->base + bank->regs->leveldetect0);
1265 old1 = __raw_readl(bank->base + bank->regs->leveldetect1);
3f1686a9 1266
2dc983c5
TKD
1267 if (cpu_is_omap24xx() || cpu_is_omap34xx()) {
1268 __raw_writel(old0 | gen, bank->base +
9ea14d8c 1269 bank->regs->leveldetect0);
2dc983c5 1270 __raw_writel(old1 | gen, bank->base +
9ea14d8c 1271 bank->regs->leveldetect1);
2dc983c5 1272 }
9ea14d8c 1273
2dc983c5
TKD
1274 if (cpu_is_omap44xx()) {
1275 __raw_writel(old0 | l, bank->base +
9ea14d8c 1276 bank->regs->leveldetect0);
2dc983c5 1277 __raw_writel(old1 | l, bank->base +
9ea14d8c 1278 bank->regs->leveldetect1);
3ac4fa99 1279 }
2dc983c5
TKD
1280 __raw_writel(old0, bank->base + bank->regs->leveldetect0);
1281 __raw_writel(old1, bank->base + bank->regs->leveldetect1);
1282 }
1283
1284 bank->workaround_enabled = false;
1285 spin_unlock_irqrestore(&bank->lock, flags);
1286
1287 return 0;
1288}
1289#endif /* CONFIG_PM_RUNTIME */
1290
1291void omap2_gpio_prepare_for_idle(int pwr_mode)
1292{
1293 struct gpio_bank *bank;
1294
1295 list_for_each_entry(bank, &omap_gpio_list, node) {
2dc983c5
TKD
1296 if (!bank->mod_usage || !bank->loses_context)
1297 continue;
1298
1299 bank->power_mode = pwr_mode;
1300
2dc983c5
TKD
1301 pm_runtime_put_sync_suspend(bank->dev);
1302 }
1303}
1304
1305void omap2_gpio_resume_after_idle(void)
1306{
1307 struct gpio_bank *bank;
1308
1309 list_for_each_entry(bank, &omap_gpio_list, node) {
2dc983c5
TKD
1310 if (!bank->mod_usage || !bank->loses_context)
1311 continue;
1312
2dc983c5 1313 pm_runtime_get_sync(bank->dev);
3ac4fa99 1314 }
3ac4fa99
JY
1315}
1316
2dc983c5 1317#if defined(CONFIG_PM_RUNTIME)
60a3437d 1318static void omap_gpio_save_context(struct gpio_bank *bank)
40c670f0 1319{
60a3437d 1320 bank->context.irqenable1 =
ae10f233 1321 __raw_readl(bank->base + bank->regs->irqenable);
60a3437d 1322 bank->context.irqenable2 =
ae10f233 1323 __raw_readl(bank->base + bank->regs->irqenable2);
60a3437d 1324 bank->context.wake_en =
ae10f233
TKD
1325 __raw_readl(bank->base + bank->regs->wkup_en);
1326 bank->context.ctrl = __raw_readl(bank->base + bank->regs->ctrl);
1327 bank->context.oe = __raw_readl(bank->base + bank->regs->direction);
60a3437d 1328 bank->context.leveldetect0 =
ae10f233 1329 __raw_readl(bank->base + bank->regs->leveldetect0);
60a3437d 1330 bank->context.leveldetect1 =
ae10f233 1331 __raw_readl(bank->base + bank->regs->leveldetect1);
60a3437d 1332 bank->context.risingdetect =
ae10f233 1333 __raw_readl(bank->base + bank->regs->risingdetect);
60a3437d 1334 bank->context.fallingdetect =
ae10f233
TKD
1335 __raw_readl(bank->base + bank->regs->fallingdetect);
1336 bank->context.dataout = __raw_readl(bank->base + bank->regs->dataout);
40c670f0
RN
1337}
1338
60a3437d 1339static void omap_gpio_restore_context(struct gpio_bank *bank)
40c670f0 1340{
60a3437d 1341 __raw_writel(bank->context.irqenable1,
ae10f233 1342 bank->base + bank->regs->irqenable);
60a3437d 1343 __raw_writel(bank->context.irqenable2,
ae10f233 1344 bank->base + bank->regs->irqenable2);
60a3437d 1345 __raw_writel(bank->context.wake_en,
ae10f233
TKD
1346 bank->base + bank->regs->wkup_en);
1347 __raw_writel(bank->context.ctrl, bank->base + bank->regs->ctrl);
1348 __raw_writel(bank->context.oe, bank->base + bank->regs->direction);
60a3437d 1349 __raw_writel(bank->context.leveldetect0,
ae10f233 1350 bank->base + bank->regs->leveldetect0);
60a3437d 1351 __raw_writel(bank->context.leveldetect1,
ae10f233 1352 bank->base + bank->regs->leveldetect1);
60a3437d 1353 __raw_writel(bank->context.risingdetect,
ae10f233 1354 bank->base + bank->regs->risingdetect);
60a3437d 1355 __raw_writel(bank->context.fallingdetect,
ae10f233
TKD
1356 bank->base + bank->regs->fallingdetect);
1357 __raw_writel(bank->context.dataout, bank->base + bank->regs->dataout);
40c670f0 1358}
2dc983c5 1359#endif /* CONFIG_PM_RUNTIME */
55b93c32
TKD
1360#else
1361#define omap_gpio_suspend NULL
1362#define omap_gpio_resume NULL
2dc983c5
TKD
1363#define omap_gpio_runtime_suspend NULL
1364#define omap_gpio_runtime_resume NULL
40c670f0
RN
1365#endif
1366
55b93c32
TKD
1367static const struct dev_pm_ops gpio_pm_ops = {
1368 SET_SYSTEM_SLEEP_PM_OPS(omap_gpio_suspend, omap_gpio_resume)
2dc983c5
TKD
1369 SET_RUNTIME_PM_OPS(omap_gpio_runtime_suspend, omap_gpio_runtime_resume,
1370 NULL)
55b93c32
TKD
1371};
1372
77640aab
VC
1373static struct platform_driver omap_gpio_driver = {
1374 .probe = omap_gpio_probe,
1375 .driver = {
1376 .name = "omap_gpio",
55b93c32 1377 .pm = &gpio_pm_ops,
77640aab
VC
1378 },
1379};
1380
5e1c5ff4 1381/*
77640aab
VC
1382 * gpio driver register needs to be done before
1383 * machine_init functions access gpio APIs.
1384 * Hence omap_gpio_drv_reg() is a postcore_initcall.
5e1c5ff4 1385 */
77640aab 1386static int __init omap_gpio_drv_reg(void)
5e1c5ff4 1387{
77640aab 1388 return platform_driver_register(&omap_gpio_driver);
5e1c5ff4 1389}
77640aab 1390postcore_initcall(omap_gpio_drv_reg);
This page took 0.994808 seconds and 5 git commands to generate.