drm/i915: panel power sequencing for VLV eDP v2
[deliverable/linux.git] / drivers / gpu / drm / i915 / i915_reg.h
CommitLineData
585fb111
JB
1/* Copyright 2003 Tungsten Graphics, Inc., Cedar Park, Texas.
2 * All Rights Reserved.
3 *
4 * Permission is hereby granted, free of charge, to any person obtaining a
5 * copy of this software and associated documentation files (the
6 * "Software"), to deal in the Software without restriction, including
7 * without limitation the rights to use, copy, modify, merge, publish,
8 * distribute, sub license, and/or sell copies of the Software, and to
9 * permit persons to whom the Software is furnished to do so, subject to
10 * the following conditions:
11 *
12 * The above copyright notice and this permission notice (including the
13 * next paragraph) shall be included in all copies or substantial portions
14 * of the Software.
15 *
16 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS
17 * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
18 * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NON-INFRINGEMENT.
19 * IN NO EVENT SHALL TUNGSTEN GRAPHICS AND/OR ITS SUPPLIERS BE LIABLE FOR
20 * ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT,
21 * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE
22 * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
23 */
24
25#ifndef _I915_REG_H_
26#define _I915_REG_H_
27
5eddb70b 28#define _PIPE(pipe, a, b) ((a) + (pipe)*((b)-(a)))
a5c961d1 29#define _TRANSCODER(tran, a, b) ((a) + (tran)*((b)-(a)))
5eddb70b 30
2b139522
ED
31#define _PORT(port, a, b) ((a) + (port)*((b)-(a)))
32
6b26c86d
DV
33#define _MASKED_BIT_ENABLE(a) (((a) << 16) | (a))
34#define _MASKED_BIT_DISABLE(a) ((a) << 16)
35
585fb111
JB
36/*
37 * The Bridge device's PCI config space has information about the
38 * fb aperture size and the amount of pre-reserved memory.
95375b7f
DV
39 * This is all handled in the intel-gtt.ko module. i915.ko only
40 * cares about the vga bit for the vga rbiter.
585fb111
JB
41 */
42#define INTEL_GMCH_CTRL 0x52
28d52043 43#define INTEL_GMCH_VGA_DISABLE (1 << 1)
e76e9aeb
BW
44#define SNB_GMCH_CTRL 0x50
45#define SNB_GMCH_GGMS_SHIFT 8 /* GTT Graphics Memory Size */
46#define SNB_GMCH_GGMS_MASK 0x3
47#define SNB_GMCH_GMS_SHIFT 3 /* Graphics Mode Select */
48#define SNB_GMCH_GMS_MASK 0x1f
03752f5b
BW
49#define IVB_GMCH_GMS_SHIFT 4
50#define IVB_GMCH_GMS_MASK 0xf
e76e9aeb 51
14bc490b 52
585fb111
JB
53/* PCI config space */
54
55#define HPLLCC 0xc0 /* 855 only */
652c393a 56#define GC_CLOCK_CONTROL_MASK (0xf << 0)
585fb111
JB
57#define GC_CLOCK_133_200 (0 << 0)
58#define GC_CLOCK_100_200 (1 << 0)
59#define GC_CLOCK_100_133 (2 << 0)
60#define GC_CLOCK_166_250 (3 << 0)
f97108d1 61#define GCFGC2 0xda
585fb111
JB
62#define GCFGC 0xf0 /* 915+ only */
63#define GC_LOW_FREQUENCY_ENABLE (1 << 7)
64#define GC_DISPLAY_CLOCK_190_200_MHZ (0 << 4)
65#define GC_DISPLAY_CLOCK_333_MHZ (4 << 4)
66#define GC_DISPLAY_CLOCK_MASK (7 << 4)
652c393a
JB
67#define GM45_GC_RENDER_CLOCK_MASK (0xf << 0)
68#define GM45_GC_RENDER_CLOCK_266_MHZ (8 << 0)
69#define GM45_GC_RENDER_CLOCK_320_MHZ (9 << 0)
70#define GM45_GC_RENDER_CLOCK_400_MHZ (0xb << 0)
71#define GM45_GC_RENDER_CLOCK_533_MHZ (0xc << 0)
72#define I965_GC_RENDER_CLOCK_MASK (0xf << 0)
73#define I965_GC_RENDER_CLOCK_267_MHZ (2 << 0)
74#define I965_GC_RENDER_CLOCK_333_MHZ (3 << 0)
75#define I965_GC_RENDER_CLOCK_444_MHZ (4 << 0)
76#define I965_GC_RENDER_CLOCK_533_MHZ (5 << 0)
77#define I945_GC_RENDER_CLOCK_MASK (7 << 0)
78#define I945_GC_RENDER_CLOCK_166_MHZ (0 << 0)
79#define I945_GC_RENDER_CLOCK_200_MHZ (1 << 0)
80#define I945_GC_RENDER_CLOCK_250_MHZ (3 << 0)
81#define I945_GC_RENDER_CLOCK_400_MHZ (5 << 0)
82#define I915_GC_RENDER_CLOCK_MASK (7 << 0)
83#define I915_GC_RENDER_CLOCK_166_MHZ (0 << 0)
84#define I915_GC_RENDER_CLOCK_200_MHZ (1 << 0)
85#define I915_GC_RENDER_CLOCK_333_MHZ (4 << 0)
585fb111 86#define LBB 0xf4
eeccdcac
KG
87
88/* Graphics reset regs */
0573ed4a
KG
89#define I965_GDRST 0xc0 /* PCI config register */
90#define ILK_GDSR 0x2ca4 /* MCHBAR offset */
eeccdcac
KG
91#define GRDOM_FULL (0<<2)
92#define GRDOM_RENDER (1<<2)
93#define GRDOM_MEDIA (3<<2)
8a5c2ae7 94#define GRDOM_MASK (3<<2)
5ccce180 95#define GRDOM_RESET_ENABLE (1<<0)
585fb111 96
07b7ddd9
JB
97#define GEN6_MBCUNIT_SNPCR 0x900c /* for LLC config */
98#define GEN6_MBC_SNPCR_SHIFT 21
99#define GEN6_MBC_SNPCR_MASK (3<<21)
100#define GEN6_MBC_SNPCR_MAX (0<<21)
101#define GEN6_MBC_SNPCR_MED (1<<21)
102#define GEN6_MBC_SNPCR_LOW (2<<21)
103#define GEN6_MBC_SNPCR_MIN (3<<21) /* only 1/16th of the cache is shared */
104
5eb719cd
DV
105#define GEN6_MBCTL 0x0907c
106#define GEN6_MBCTL_ENABLE_BOOT_FETCH (1 << 4)
107#define GEN6_MBCTL_CTX_FETCH_NEEDED (1 << 3)
108#define GEN6_MBCTL_BME_UPDATE_ENABLE (1 << 2)
109#define GEN6_MBCTL_MAE_UPDATE_ENABLE (1 << 1)
110#define GEN6_MBCTL_BOOT_FETCH_MECH (1 << 0)
111
cff458c2
EA
112#define GEN6_GDRST 0x941c
113#define GEN6_GRDOM_FULL (1 << 0)
114#define GEN6_GRDOM_RENDER (1 << 1)
115#define GEN6_GRDOM_MEDIA (1 << 2)
116#define GEN6_GRDOM_BLT (1 << 3)
117
5eb719cd
DV
118#define RING_PP_DIR_BASE(ring) ((ring)->mmio_base+0x228)
119#define RING_PP_DIR_BASE_READ(ring) ((ring)->mmio_base+0x518)
120#define RING_PP_DIR_DCLV(ring) ((ring)->mmio_base+0x220)
121#define PP_DIR_DCLV_2G 0xffffffff
122
123#define GAM_ECOCHK 0x4090
124#define ECOCHK_SNB_BIT (1<<10)
e3dff585 125#define HSW_ECOCHK_ARB_PRIO_SOL (1<<6)
5eb719cd
DV
126#define ECOCHK_PPGTT_CACHE64B (0x3<<3)
127#define ECOCHK_PPGTT_CACHE4B (0x0<<3)
128
48ecfa10
DV
129#define GAC_ECO_BITS 0x14090
130#define ECOBITS_PPGTT_CACHE64B (3<<8)
131#define ECOBITS_PPGTT_CACHE4B (0<<8)
132
be901a5a
DV
133#define GAB_CTL 0x24000
134#define GAB_CTL_CONT_AFTER_PAGEFAULT (1<<8)
135
585fb111
JB
136/* VGA stuff */
137
138#define VGA_ST01_MDA 0x3ba
139#define VGA_ST01_CGA 0x3da
140
141#define VGA_MSR_WRITE 0x3c2
142#define VGA_MSR_READ 0x3cc
143#define VGA_MSR_MEM_EN (1<<1)
144#define VGA_MSR_CGA_MODE (1<<0)
145
56a12a50
VS
146/*
147 * SR01 is the only VGA register touched on non-UMS setups.
148 * VLV doesn't do UMS, so the sequencer index/data registers
149 * are the only VGA registers which need to include
150 * display_mmio_offset.
151 */
152#define VGA_SR_INDEX (dev_priv->info->display_mmio_offset + 0x3c4)
f930ddd0 153#define SR01 1
56a12a50 154#define VGA_SR_DATA (dev_priv->info->display_mmio_offset + 0x3c5)
585fb111
JB
155
156#define VGA_AR_INDEX 0x3c0
157#define VGA_AR_VID_EN (1<<5)
158#define VGA_AR_DATA_WRITE 0x3c0
159#define VGA_AR_DATA_READ 0x3c1
160
161#define VGA_GR_INDEX 0x3ce
162#define VGA_GR_DATA 0x3cf
163/* GR05 */
164#define VGA_GR_MEM_READ_MODE_SHIFT 3
165#define VGA_GR_MEM_READ_MODE_PLANE 1
166/* GR06 */
167#define VGA_GR_MEM_MODE_MASK 0xc
168#define VGA_GR_MEM_MODE_SHIFT 2
169#define VGA_GR_MEM_A0000_AFFFF 0
170#define VGA_GR_MEM_A0000_BFFFF 1
171#define VGA_GR_MEM_B0000_B7FFF 2
172#define VGA_GR_MEM_B0000_BFFFF 3
173
174#define VGA_DACMASK 0x3c6
175#define VGA_DACRX 0x3c7
176#define VGA_DACWX 0x3c8
177#define VGA_DACDATA 0x3c9
178
179#define VGA_CR_INDEX_MDA 0x3b4
180#define VGA_CR_DATA_MDA 0x3b5
181#define VGA_CR_INDEX_CGA 0x3d4
182#define VGA_CR_DATA_CGA 0x3d5
183
184/*
185 * Memory interface instructions used by the kernel
186 */
187#define MI_INSTR(opcode, flags) (((opcode) << 23) | (flags))
188
189#define MI_NOOP MI_INSTR(0, 0)
190#define MI_USER_INTERRUPT MI_INSTR(0x02, 0)
191#define MI_WAIT_FOR_EVENT MI_INSTR(0x03, 0)
02e792fb 192#define MI_WAIT_FOR_OVERLAY_FLIP (1<<16)
585fb111
JB
193#define MI_WAIT_FOR_PLANE_B_FLIP (1<<6)
194#define MI_WAIT_FOR_PLANE_A_FLIP (1<<2)
195#define MI_WAIT_FOR_PLANE_A_SCANLINES (1<<1)
196#define MI_FLUSH MI_INSTR(0x04, 0)
197#define MI_READ_FLUSH (1 << 0)
198#define MI_EXE_FLUSH (1 << 1)
199#define MI_NO_WRITE_FLUSH (1 << 2)
200#define MI_SCENE_COUNT (1 << 3) /* just increment scene count */
201#define MI_END_SCENE (1 << 4) /* flush binner and incr scene count */
1cafd347 202#define MI_INVALIDATE_ISP (1 << 5) /* invalidate indirect state pointers */
585fb111 203#define MI_BATCH_BUFFER_END MI_INSTR(0x0a, 0)
88271da3
JB
204#define MI_SUSPEND_FLUSH MI_INSTR(0x0b, 0)
205#define MI_SUSPEND_FLUSH_EN (1<<0)
585fb111 206#define MI_REPORT_HEAD MI_INSTR(0x07, 0)
0206e353 207#define MI_OVERLAY_FLIP MI_INSTR(0x11, 0)
02e792fb
DV
208#define MI_OVERLAY_CONTINUE (0x0<<21)
209#define MI_OVERLAY_ON (0x1<<21)
210#define MI_OVERLAY_OFF (0x2<<21)
585fb111 211#define MI_LOAD_SCAN_LINES_INCL MI_INSTR(0x12, 0)
6b95a207 212#define MI_DISPLAY_FLIP MI_INSTR(0x14, 2)
1afe3e9d 213#define MI_DISPLAY_FLIP_I915 MI_INSTR(0x14, 1)
6b95a207 214#define MI_DISPLAY_FLIP_PLANE(n) ((n) << 20)
cb05d8de
DV
215/* IVB has funny definitions for which plane to flip. */
216#define MI_DISPLAY_FLIP_IVB_PLANE_A (0 << 19)
217#define MI_DISPLAY_FLIP_IVB_PLANE_B (1 << 19)
218#define MI_DISPLAY_FLIP_IVB_SPRITE_A (2 << 19)
219#define MI_DISPLAY_FLIP_IVB_SPRITE_B (3 << 19)
220#define MI_DISPLAY_FLIP_IVB_PLANE_C (4 << 19)
221#define MI_DISPLAY_FLIP_IVB_SPRITE_C (5 << 19)
e37ec39b
BW
222#define MI_ARB_ON_OFF MI_INSTR(0x08, 0)
223#define MI_ARB_ENABLE (1<<0)
224#define MI_ARB_DISABLE (0<<0)
cb05d8de 225
aa40d6bb
ZN
226#define MI_SET_CONTEXT MI_INSTR(0x18, 0)
227#define MI_MM_SPACE_GTT (1<<8)
228#define MI_MM_SPACE_PHYSICAL (0<<8)
229#define MI_SAVE_EXT_STATE_EN (1<<3)
230#define MI_RESTORE_EXT_STATE_EN (1<<2)
88271da3 231#define MI_FORCE_RESTORE (1<<1)
aa40d6bb 232#define MI_RESTORE_INHIBIT (1<<0)
585fb111
JB
233#define MI_STORE_DWORD_IMM MI_INSTR(0x20, 1)
234#define MI_MEM_VIRTUAL (1 << 22) /* 965+ only */
235#define MI_STORE_DWORD_INDEX MI_INSTR(0x21, 1)
236#define MI_STORE_DWORD_INDEX_SHIFT 2
c6642782
DV
237/* Official intel docs are somewhat sloppy concerning MI_LOAD_REGISTER_IMM:
238 * - Always issue a MI_NOOP _before_ the MI_LOAD_REGISTER_IMM - otherwise hw
239 * simply ignores the register load under certain conditions.
240 * - One can actually load arbitrary many arbitrary registers: Simply issue x
241 * address/value pairs. Don't overdue it, though, x <= 2^4 must hold!
242 */
243#define MI_LOAD_REGISTER_IMM(x) MI_INSTR(0x22, 2*x-1)
71a77e07 244#define MI_FLUSH_DW MI_INSTR(0x26, 1) /* for GEN6 */
9a289771
JB
245#define MI_FLUSH_DW_STORE_INDEX (1<<21)
246#define MI_INVALIDATE_TLB (1<<18)
247#define MI_FLUSH_DW_OP_STOREDW (1<<14)
248#define MI_INVALIDATE_BSD (1<<7)
249#define MI_FLUSH_DW_USE_GTT (1<<2)
250#define MI_FLUSH_DW_USE_PPGTT (0<<2)
585fb111 251#define MI_BATCH_BUFFER MI_INSTR(0x30, 1)
d7d4eedd
CW
252#define MI_BATCH_NON_SECURE (1)
253/* for snb/ivb/vlv this also means "batch in ppgtt" when ppgtt is enabled. */
254#define MI_BATCH_NON_SECURE_I965 (1<<8)
255#define MI_BATCH_PPGTT_HSW (1<<8)
256#define MI_BATCH_NON_SECURE_HSW (1<<13)
585fb111 257#define MI_BATCH_BUFFER_START MI_INSTR(0x31, 0)
65f56876 258#define MI_BATCH_GTT (2<<6) /* aliased with (1<<7) on gen4 */
1ec14ad3
CW
259#define MI_SEMAPHORE_MBOX MI_INSTR(0x16, 1) /* gen6+ */
260#define MI_SEMAPHORE_GLOBAL_GTT (1<<22)
261#define MI_SEMAPHORE_UPDATE (1<<21)
262#define MI_SEMAPHORE_COMPARE (1<<20)
263#define MI_SEMAPHORE_REGISTER (1<<18)
c8c99b0f
BW
264#define MI_SEMAPHORE_SYNC_RV (2<<16)
265#define MI_SEMAPHORE_SYNC_RB (0<<16)
266#define MI_SEMAPHORE_SYNC_VR (0<<16)
267#define MI_SEMAPHORE_SYNC_VB (2<<16)
268#define MI_SEMAPHORE_SYNC_BR (2<<16)
269#define MI_SEMAPHORE_SYNC_BV (0<<16)
270#define MI_SEMAPHORE_SYNC_INVALID (1<<0)
585fb111
JB
271/*
272 * 3D instructions used by the kernel
273 */
274#define GFX_INSTR(opcode, flags) ((0x3 << 29) | ((opcode) << 24) | (flags))
275
276#define GFX_OP_RASTER_RULES ((0x3<<29)|(0x7<<24))
277#define GFX_OP_SCISSOR ((0x3<<29)|(0x1c<<24)|(0x10<<19))
278#define SC_UPDATE_SCISSOR (0x1<<1)
279#define SC_ENABLE_MASK (0x1<<0)
280#define SC_ENABLE (0x1<<0)
281#define GFX_OP_LOAD_INDIRECT ((0x3<<29)|(0x1d<<24)|(0x7<<16))
282#define GFX_OP_SCISSOR_INFO ((0x3<<29)|(0x1d<<24)|(0x81<<16)|(0x1))
283#define SCI_YMIN_MASK (0xffff<<16)
284#define SCI_XMIN_MASK (0xffff<<0)
285#define SCI_YMAX_MASK (0xffff<<16)
286#define SCI_XMAX_MASK (0xffff<<0)
287#define GFX_OP_SCISSOR_ENABLE ((0x3<<29)|(0x1c<<24)|(0x10<<19))
288#define GFX_OP_SCISSOR_RECT ((0x3<<29)|(0x1d<<24)|(0x81<<16)|1)
289#define GFX_OP_COLOR_FACTOR ((0x3<<29)|(0x1d<<24)|(0x1<<16)|0x0)
290#define GFX_OP_STIPPLE ((0x3<<29)|(0x1d<<24)|(0x83<<16))
291#define GFX_OP_MAP_INFO ((0x3<<29)|(0x1d<<24)|0x4)
292#define GFX_OP_DESTBUFFER_VARS ((0x3<<29)|(0x1d<<24)|(0x85<<16)|0x0)
293#define GFX_OP_DESTBUFFER_INFO ((0x3<<29)|(0x1d<<24)|(0x8e<<16)|1)
294#define GFX_OP_DRAWRECT_INFO ((0x3<<29)|(0x1d<<24)|(0x80<<16)|(0x3))
295#define GFX_OP_DRAWRECT_INFO_I965 ((0x7900<<16)|0x2)
296#define SRC_COPY_BLT_CMD ((2<<29)|(0x43<<22)|4)
297#define XY_SRC_COPY_BLT_CMD ((2<<29)|(0x53<<22)|6)
298#define XY_MONO_SRC_COPY_IMM_BLT ((2<<29)|(0x71<<22)|5)
299#define XY_SRC_COPY_BLT_WRITE_ALPHA (1<<21)
300#define XY_SRC_COPY_BLT_WRITE_RGB (1<<20)
301#define BLT_DEPTH_8 (0<<24)
302#define BLT_DEPTH_16_565 (1<<24)
303#define BLT_DEPTH_16_1555 (2<<24)
304#define BLT_DEPTH_32 (3<<24)
305#define BLT_ROP_GXCOPY (0xcc<<16)
306#define XY_SRC_COPY_BLT_SRC_TILED (1<<15) /* 965+ only */
307#define XY_SRC_COPY_BLT_DST_TILED (1<<11) /* 965+ only */
308#define CMD_OP_DISPLAYBUFFER_INFO ((0x0<<29)|(0x14<<23)|2)
309#define ASYNC_FLIP (1<<22)
310#define DISPLAY_PLANE_A (0<<20)
311#define DISPLAY_PLANE_B (1<<20)
fcbc34e4 312#define GFX_OP_PIPE_CONTROL(len) ((0x3<<29)|(0x3<<27)|(0x2<<24)|(len-2))
b9e1faa7 313#define PIPE_CONTROL_GLOBAL_GTT_IVB (1<<24) /* gen7+ */
8d315287 314#define PIPE_CONTROL_CS_STALL (1<<20)
cc0f6398 315#define PIPE_CONTROL_TLB_INVALIDATE (1<<18)
9d971b37
KG
316#define PIPE_CONTROL_QW_WRITE (1<<14)
317#define PIPE_CONTROL_DEPTH_STALL (1<<13)
318#define PIPE_CONTROL_WRITE_FLUSH (1<<12)
8d315287 319#define PIPE_CONTROL_RENDER_TARGET_CACHE_FLUSH (1<<12) /* gen6+ */
9d971b37
KG
320#define PIPE_CONTROL_INSTRUCTION_CACHE_INVALIDATE (1<<11) /* MBZ on Ironlake */
321#define PIPE_CONTROL_TEXTURE_CACHE_INVALIDATE (1<<10) /* GM45+ only */
322#define PIPE_CONTROL_INDIRECT_STATE_DISABLE (1<<9)
323#define PIPE_CONTROL_NOTIFY (1<<8)
8d315287
JB
324#define PIPE_CONTROL_VF_CACHE_INVALIDATE (1<<4)
325#define PIPE_CONTROL_CONST_CACHE_INVALIDATE (1<<3)
326#define PIPE_CONTROL_STATE_CACHE_INVALIDATE (1<<2)
9d971b37 327#define PIPE_CONTROL_STALL_AT_SCOREBOARD (1<<1)
8d315287 328#define PIPE_CONTROL_DEPTH_CACHE_FLUSH (1<<0)
e552eb70 329#define PIPE_CONTROL_GLOBAL_GTT (1<<2) /* in addr dword */
585fb111 330
dc96e9b8
CW
331
332/*
333 * Reset registers
334 */
335#define DEBUG_RESET_I830 0x6070
336#define DEBUG_RESET_FULL (1<<7)
337#define DEBUG_RESET_RENDER (1<<8)
338#define DEBUG_RESET_DISPLAY (1<<9)
339
57f350b6
JB
340/*
341 * DPIO - a special bus for various display related registers to hide behind:
342 * 0x800c: m1, m2, n, p1, p2, k dividers
343 * 0x8014: REF and SFR select
344 * 0x8014: N divider, VCO select
345 * 0x801c/3c: core clock bits
346 * 0x8048/68: low pass filter coefficients
347 * 0x8100: fast clock controls
54d9d493
VS
348 *
349 * DPIO is VLV only.
57f350b6 350 */
54d9d493 351#define DPIO_PKT (VLV_DISPLAY_BASE + 0x2100)
57f350b6
JB
352#define DPIO_RID (0<<24)
353#define DPIO_OP_WRITE (1<<16)
354#define DPIO_OP_READ (0<<16)
355#define DPIO_PORTID (0x12<<8)
356#define DPIO_BYTE (0xf<<4)
357#define DPIO_BUSY (1<<0) /* status only */
54d9d493
VS
358#define DPIO_DATA (VLV_DISPLAY_BASE + 0x2104)
359#define DPIO_REG (VLV_DISPLAY_BASE + 0x2108)
360#define DPIO_CTL (VLV_DISPLAY_BASE + 0x2110)
57f350b6
JB
361#define DPIO_MODSEL1 (1<<3) /* if ref clk b == 27 */
362#define DPIO_MODSEL0 (1<<2) /* if ref clk a == 27 */
363#define DPIO_SFR_BYPASS (1<<1)
364#define DPIO_RESET (1<<0)
365
366#define _DPIO_DIV_A 0x800c
367#define DPIO_POST_DIV_SHIFT (28) /* 3 bits */
368#define DPIO_K_SHIFT (24) /* 4 bits */
369#define DPIO_P1_SHIFT (21) /* 3 bits */
370#define DPIO_P2_SHIFT (16) /* 5 bits */
371#define DPIO_N_SHIFT (12) /* 4 bits */
372#define DPIO_ENABLE_CALIBRATION (1<<11)
373#define DPIO_M1DIV_SHIFT (8) /* 3 bits */
374#define DPIO_M2DIV_MASK 0xff
375#define _DPIO_DIV_B 0x802c
376#define DPIO_DIV(pipe) _PIPE(pipe, _DPIO_DIV_A, _DPIO_DIV_B)
377
378#define _DPIO_REFSFR_A 0x8014
379#define DPIO_REFSEL_OVERRIDE 27
380#define DPIO_PLL_MODESEL_SHIFT 24 /* 3 bits */
381#define DPIO_BIAS_CURRENT_CTL_SHIFT 21 /* 3 bits, always 0x7 */
382#define DPIO_PLL_REFCLK_SEL_SHIFT 16 /* 2 bits */
b56747aa 383#define DPIO_PLL_REFCLK_SEL_MASK 3
57f350b6
JB
384#define DPIO_DRIVER_CTL_SHIFT 12 /* always set to 0x8 */
385#define DPIO_CLK_BIAS_CTL_SHIFT 8 /* always set to 0x5 */
386#define _DPIO_REFSFR_B 0x8034
387#define DPIO_REFSFR(pipe) _PIPE(pipe, _DPIO_REFSFR_A, _DPIO_REFSFR_B)
388
389#define _DPIO_CORE_CLK_A 0x801c
390#define _DPIO_CORE_CLK_B 0x803c
391#define DPIO_CORE_CLK(pipe) _PIPE(pipe, _DPIO_CORE_CLK_A, _DPIO_CORE_CLK_B)
392
393#define _DPIO_LFP_COEFF_A 0x8048
394#define _DPIO_LFP_COEFF_B 0x8068
395#define DPIO_LFP_COEFF(pipe) _PIPE(pipe, _DPIO_LFP_COEFF_A, _DPIO_LFP_COEFF_B)
396
397#define DPIO_FASTCLK_DISABLE 0x8100
dc96e9b8 398
2a8f64ca
VP
399#define DPIO_DATA_CHANNEL1 0x8220
400#define DPIO_DATA_CHANNEL2 0x8420
b56747aa 401
585fb111 402/*
de151cf6 403 * Fence registers
585fb111 404 */
de151cf6 405#define FENCE_REG_830_0 0x2000
dc529a4f 406#define FENCE_REG_945_8 0x3000
de151cf6
JB
407#define I830_FENCE_START_MASK 0x07f80000
408#define I830_FENCE_TILING_Y_SHIFT 12
0f973f27 409#define I830_FENCE_SIZE_BITS(size) ((ffs((size) >> 19) - 1) << 8)
de151cf6
JB
410#define I830_FENCE_PITCH_SHIFT 4
411#define I830_FENCE_REG_VALID (1<<0)
c36a2a6d 412#define I915_FENCE_MAX_PITCH_VAL 4
e76a16de 413#define I830_FENCE_MAX_PITCH_VAL 6
8d7773a3 414#define I830_FENCE_MAX_SIZE_VAL (1<<8)
de151cf6
JB
415
416#define I915_FENCE_START_MASK 0x0ff00000
0f973f27 417#define I915_FENCE_SIZE_BITS(size) ((ffs((size) >> 20) - 1) << 8)
585fb111 418
de151cf6
JB
419#define FENCE_REG_965_0 0x03000
420#define I965_FENCE_PITCH_SHIFT 2
421#define I965_FENCE_TILING_Y_SHIFT 1
422#define I965_FENCE_REG_VALID (1<<0)
8d7773a3 423#define I965_FENCE_MAX_PITCH_VAL 0x0400
de151cf6 424
4e901fdc
EA
425#define FENCE_REG_SANDYBRIDGE_0 0x100000
426#define SANDYBRIDGE_FENCE_PITCH_SHIFT 32
427
f691e2f4
DV
428/* control register for cpu gtt access */
429#define TILECTL 0x101000
430#define TILECTL_SWZCTL (1 << 0)
431#define TILECTL_TLB_PREFETCH_DIS (1 << 2)
432#define TILECTL_BACKSNOOP_DIS (1 << 3)
433
de151cf6
JB
434/*
435 * Instruction and interrupt control regs
436 */
63eeaf38 437#define PGTBL_ER 0x02024
333e9fe9
DV
438#define RENDER_RING_BASE 0x02000
439#define BSD_RING_BASE 0x04000
440#define GEN6_BSD_RING_BASE 0x12000
549f7365 441#define BLT_RING_BASE 0x22000
3d281d8c
DV
442#define RING_TAIL(base) ((base)+0x30)
443#define RING_HEAD(base) ((base)+0x34)
444#define RING_START(base) ((base)+0x38)
445#define RING_CTL(base) ((base)+0x3c)
1ec14ad3
CW
446#define RING_SYNC_0(base) ((base)+0x40)
447#define RING_SYNC_1(base) ((base)+0x44)
c8c99b0f
BW
448#define GEN6_RVSYNC (RING_SYNC_0(RENDER_RING_BASE))
449#define GEN6_RBSYNC (RING_SYNC_1(RENDER_RING_BASE))
450#define GEN6_VRSYNC (RING_SYNC_1(GEN6_BSD_RING_BASE))
451#define GEN6_VBSYNC (RING_SYNC_0(GEN6_BSD_RING_BASE))
452#define GEN6_BRSYNC (RING_SYNC_0(BLT_RING_BASE))
453#define GEN6_BVSYNC (RING_SYNC_1(BLT_RING_BASE))
8fd26859 454#define RING_MAX_IDLE(base) ((base)+0x54)
3d281d8c
DV
455#define RING_HWS_PGA(base) ((base)+0x80)
456#define RING_HWS_PGA_GEN6(base) ((base)+0x2080)
f691e2f4
DV
457#define ARB_MODE 0x04030
458#define ARB_MODE_SWIZZLE_SNB (1<<4)
459#define ARB_MODE_SWIZZLE_IVB (1<<5)
4593010b 460#define RENDER_HWS_PGA_GEN7 (0x04080)
33f3f518
DV
461#define RING_FAULT_REG(ring) (0x4094 + 0x100*(ring)->id)
462#define DONE_REG 0x40b0
4593010b
EA
463#define BSD_HWS_PGA_GEN7 (0x04180)
464#define BLT_HWS_PGA_GEN7 (0x04280)
3d281d8c 465#define RING_ACTHD(base) ((base)+0x74)
1ec14ad3 466#define RING_NOPID(base) ((base)+0x94)
0f46832f 467#define RING_IMR(base) ((base)+0xa8)
c0c7babc 468#define RING_TIMESTAMP(base) ((base)+0x358)
585fb111
JB
469#define TAIL_ADDR 0x001FFFF8
470#define HEAD_WRAP_COUNT 0xFFE00000
471#define HEAD_WRAP_ONE 0x00200000
472#define HEAD_ADDR 0x001FFFFC
473#define RING_NR_PAGES 0x001FF000
474#define RING_REPORT_MASK 0x00000006
475#define RING_REPORT_64K 0x00000002
476#define RING_REPORT_128K 0x00000004
477#define RING_NO_REPORT 0x00000000
478#define RING_VALID_MASK 0x00000001
479#define RING_VALID 0x00000001
480#define RING_INVALID 0x00000000
4b60e5cb
CW
481#define RING_WAIT_I8XX (1<<0) /* gen2, PRBx_HEAD */
482#define RING_WAIT (1<<11) /* gen3+, PRBx_CTL */
1ec14ad3 483#define RING_WAIT_SEMAPHORE (1<<10) /* gen6+ */
8168bd48
CW
484#if 0
485#define PRB0_TAIL 0x02030
486#define PRB0_HEAD 0x02034
487#define PRB0_START 0x02038
488#define PRB0_CTL 0x0203c
585fb111
JB
489#define PRB1_TAIL 0x02040 /* 915+ only */
490#define PRB1_HEAD 0x02044 /* 915+ only */
491#define PRB1_START 0x02048 /* 915+ only */
492#define PRB1_CTL 0x0204c /* 915+ only */
8168bd48 493#endif
63eeaf38
JB
494#define IPEIR_I965 0x02064
495#define IPEHR_I965 0x02068
496#define INSTDONE_I965 0x0206c
d53bd484
BW
497#define GEN7_INSTDONE_1 0x0206c
498#define GEN7_SC_INSTDONE 0x07100
499#define GEN7_SAMPLER_INSTDONE 0x0e160
500#define GEN7_ROW_INSTDONE 0x0e164
501#define I915_NUM_INSTDONE_REG 4
d27b1e0e
DV
502#define RING_IPEIR(base) ((base)+0x64)
503#define RING_IPEHR(base) ((base)+0x68)
504#define RING_INSTDONE(base) ((base)+0x6c)
c1cd90ed
DV
505#define RING_INSTPS(base) ((base)+0x70)
506#define RING_DMA_FADD(base) ((base)+0x78)
507#define RING_INSTPM(base) ((base)+0xc0)
63eeaf38
JB
508#define INSTPS 0x02070 /* 965+ only */
509#define INSTDONE1 0x0207c /* 965+ only */
585fb111
JB
510#define ACTHD_I965 0x02074
511#define HWS_PGA 0x02080
512#define HWS_ADDRESS_MASK 0xfffff000
513#define HWS_START_ADDRESS_SHIFT 4
97f5ab66
JB
514#define PWRCTXA 0x2088 /* 965GM+ only */
515#define PWRCTX_EN (1<<0)
585fb111 516#define IPEIR 0x02088
63eeaf38
JB
517#define IPEHR 0x0208c
518#define INSTDONE 0x02090
585fb111
JB
519#define NOPID 0x02094
520#define HWSTAM 0x02098
9d2f41fa 521#define DMA_FADD_I8XX 0x020d0
71cf39b1 522
f406839f 523#define ERROR_GEN6 0x040a0
71e172e8 524#define GEN7_ERR_INT 0x44040
b4c145c1 525#define ERR_INT_MMIO_UNCLAIMED (1<<13)
f406839f 526
3f1e109a
PZ
527#define FPGA_DBG 0x42300
528#define FPGA_DBG_RM_NOCLAIM (1<<31)
529
0f3b6849
CW
530#define DERRMR 0x44050
531
de6e2eaf
EA
532/* GM45+ chicken bits -- debug workaround bits that may be required
533 * for various sorts of correct behavior. The top 16 bits of each are
534 * the enables for writing to the corresponding low bit.
535 */
536#define _3D_CHICKEN 0x02084
4283908e 537#define _3D_CHICKEN_HIZ_PLANE_DISABLE_MSAA_4X_SNB (1 << 10)
de6e2eaf
EA
538#define _3D_CHICKEN2 0x0208c
539/* Disables pipelining of read flushes past the SF-WIZ interface.
540 * Required on all Ironlake steppings according to the B-Spec, but the
541 * particular danger of not doing so is not specified.
542 */
543# define _3D_CHICKEN2_WM_READ_PIPELINED (1 << 14)
544#define _3D_CHICKEN3 0x02090
87f8020e 545#define _3D_CHICKEN_SF_DISABLE_OBJEND_CULL (1 << 10)
26b6e44a 546#define _3D_CHICKEN3_SF_DISABLE_FASTCLIP_CULL (1 << 5)
de6e2eaf 547
71cf39b1
EA
548#define MI_MODE 0x0209c
549# define VS_TIMER_DISPATCH (1 << 6)
fc74d8e0 550# define MI_FLUSH_ENABLE (1 << 12)
1c8c38c5 551# define ASYNC_FLIP_PERF_DISABLE (1 << 14)
71cf39b1 552
f8f2ac9a 553#define GEN6_GT_MODE 0x20d0
6547fbdb
DV
554#define GEN6_GT_MODE_HI (1 << 9)
555#define GEN6_TD_FOUR_ROW_DISPATCH_DISABLE (1 << 5)
f8f2ac9a 556
1ec14ad3 557#define GFX_MODE 0x02520
b095cd0a 558#define GFX_MODE_GEN7 0x0229c
5eb719cd 559#define RING_MODE_GEN7(ring) ((ring)->mmio_base+0x29c)
1ec14ad3
CW
560#define GFX_RUN_LIST_ENABLE (1<<15)
561#define GFX_TLB_INVALIDATE_ALWAYS (1<<13)
562#define GFX_SURFACE_FAULT_ENABLE (1<<12)
563#define GFX_REPLAY_MODE (1<<11)
564#define GFX_PSMI_GRANULARITY (1<<10)
565#define GFX_PPGTT_ENABLE (1<<9)
566
a7e806de
DV
567#define VLV_DISPLAY_BASE 0x180000
568
585fb111
JB
569#define SCPD0 0x0209c /* 915+ only */
570#define IER 0x020a0
571#define IIR 0x020a4
572#define IMR 0x020a8
573#define ISR 0x020ac
07ec7ec5 574#define VLV_GUNIT_CLOCK_GATE (VLV_DISPLAY_BASE + 0x2060)
2d809570 575#define GCFG_DIS (1<<8)
ff763010
VS
576#define VLV_IIR_RW (VLV_DISPLAY_BASE + 0x2084)
577#define VLV_IER (VLV_DISPLAY_BASE + 0x20a0)
578#define VLV_IIR (VLV_DISPLAY_BASE + 0x20a4)
579#define VLV_IMR (VLV_DISPLAY_BASE + 0x20a8)
580#define VLV_ISR (VLV_DISPLAY_BASE + 0x20ac)
585fb111
JB
581#define I915_PIPE_CONTROL_NOTIFY_INTERRUPT (1<<18)
582#define I915_DISPLAY_PORT_INTERRUPT (1<<17)
583#define I915_RENDER_COMMAND_PARSER_ERROR_INTERRUPT (1<<15)
f97108d1 584#define I915_GMCH_THERMAL_SENSOR_EVENT_INTERRUPT (1<<14) /* p-state */
585fb111
JB
585#define I915_HWB_OOM_INTERRUPT (1<<13)
586#define I915_SYNC_STATUS_INTERRUPT (1<<12)
587#define I915_DISPLAY_PLANE_A_FLIP_PENDING_INTERRUPT (1<<11)
588#define I915_DISPLAY_PLANE_B_FLIP_PENDING_INTERRUPT (1<<10)
589#define I915_OVERLAY_PLANE_FLIP_PENDING_INTERRUPT (1<<9)
590#define I915_DISPLAY_PLANE_C_FLIP_PENDING_INTERRUPT (1<<8)
591#define I915_DISPLAY_PIPE_A_VBLANK_INTERRUPT (1<<7)
592#define I915_DISPLAY_PIPE_A_EVENT_INTERRUPT (1<<6)
593#define I915_DISPLAY_PIPE_B_VBLANK_INTERRUPT (1<<5)
594#define I915_DISPLAY_PIPE_B_EVENT_INTERRUPT (1<<4)
595#define I915_DEBUG_INTERRUPT (1<<2)
596#define I915_USER_INTERRUPT (1<<1)
597#define I915_ASLE_INTERRUPT (1<<0)
d1b851fc 598#define I915_BSD_USER_INTERRUPT (1<<25)
90a72f87 599#define DISPLAY_PLANE_FLIP_PENDING(plane) (1<<(11-(plane))) /* A and B only */
585fb111
JB
600#define EIR 0x020b0
601#define EMR 0x020b4
602#define ESR 0x020b8
63eeaf38
JB
603#define GM45_ERROR_PAGE_TABLE (1<<5)
604#define GM45_ERROR_MEM_PRIV (1<<4)
605#define I915_ERROR_PAGE_TABLE (1<<4)
606#define GM45_ERROR_CP_PRIV (1<<3)
607#define I915_ERROR_MEMORY_REFRESH (1<<1)
608#define I915_ERROR_INSTRUCTION (1<<0)
585fb111 609#define INSTPM 0x020c0
ee980b80 610#define INSTPM_SELF_EN (1<<12) /* 915GM only */
8692d00e
CW
611#define INSTPM_AGPBUSY_DIS (1<<11) /* gen3: when disabled, pending interrupts
612 will not assert AGPBUSY# and will only
613 be delivered when out of C3. */
84f9f938 614#define INSTPM_FORCE_ORDERING (1<<7) /* GEN6+ */
585fb111
JB
615#define ACTHD 0x020c8
616#define FW_BLC 0x020d8
8692d00e 617#define FW_BLC2 0x020dc
585fb111 618#define FW_BLC_SELF 0x020e0 /* 915+ only */
ee980b80
LP
619#define FW_BLC_SELF_EN_MASK (1<<31)
620#define FW_BLC_SELF_FIFO_MASK (1<<16) /* 945 only */
621#define FW_BLC_SELF_EN (1<<15) /* 945 only */
7662c8bd
SL
622#define MM_BURST_LENGTH 0x00700000
623#define MM_FIFO_WATERMARK 0x0001F000
624#define LM_BURST_LENGTH 0x00000700
625#define LM_FIFO_WATERMARK 0x0000001F
585fb111 626#define MI_ARB_STATE 0x020e4 /* 915+ only */
45503ded
KP
627
628/* Make render/texture TLB fetches lower priorty than associated data
629 * fetches. This is not turned on by default
630 */
631#define MI_ARB_RENDER_TLB_LOW_PRIORITY (1 << 15)
632
633/* Isoch request wait on GTT enable (Display A/B/C streams).
634 * Make isoch requests stall on the TLB update. May cause
635 * display underruns (test mode only)
636 */
637#define MI_ARB_ISOCH_WAIT_GTT (1 << 14)
638
639/* Block grant count for isoch requests when block count is
640 * set to a finite value.
641 */
642#define MI_ARB_BLOCK_GRANT_MASK (3 << 12)
643#define MI_ARB_BLOCK_GRANT_8 (0 << 12) /* for 3 display planes */
644#define MI_ARB_BLOCK_GRANT_4 (1 << 12) /* for 2 display planes */
645#define MI_ARB_BLOCK_GRANT_2 (2 << 12) /* for 1 display plane */
646#define MI_ARB_BLOCK_GRANT_0 (3 << 12) /* don't use */
647
648/* Enable render writes to complete in C2/C3/C4 power states.
649 * If this isn't enabled, render writes are prevented in low
650 * power states. That seems bad to me.
651 */
652#define MI_ARB_C3_LP_WRITE_ENABLE (1 << 11)
653
654/* This acknowledges an async flip immediately instead
655 * of waiting for 2TLB fetches.
656 */
657#define MI_ARB_ASYNC_FLIP_ACK_IMMEDIATE (1 << 10)
658
659/* Enables non-sequential data reads through arbiter
660 */
0206e353 661#define MI_ARB_DUAL_DATA_PHASE_DISABLE (1 << 9)
45503ded
KP
662
663/* Disable FSB snooping of cacheable write cycles from binner/render
664 * command stream
665 */
666#define MI_ARB_CACHE_SNOOP_DISABLE (1 << 8)
667
668/* Arbiter time slice for non-isoch streams */
669#define MI_ARB_TIME_SLICE_MASK (7 << 5)
670#define MI_ARB_TIME_SLICE_1 (0 << 5)
671#define MI_ARB_TIME_SLICE_2 (1 << 5)
672#define MI_ARB_TIME_SLICE_4 (2 << 5)
673#define MI_ARB_TIME_SLICE_6 (3 << 5)
674#define MI_ARB_TIME_SLICE_8 (4 << 5)
675#define MI_ARB_TIME_SLICE_10 (5 << 5)
676#define MI_ARB_TIME_SLICE_14 (6 << 5)
677#define MI_ARB_TIME_SLICE_16 (7 << 5)
678
679/* Low priority grace period page size */
680#define MI_ARB_LOW_PRIORITY_GRACE_4KB (0 << 4) /* default */
681#define MI_ARB_LOW_PRIORITY_GRACE_8KB (1 << 4)
682
683/* Disable display A/B trickle feed */
684#define MI_ARB_DISPLAY_TRICKLE_FEED_DISABLE (1 << 2)
685
686/* Set display plane priority */
687#define MI_ARB_DISPLAY_PRIORITY_A_B (0 << 0) /* display A > display B */
688#define MI_ARB_DISPLAY_PRIORITY_B_A (1 << 0) /* display B > display A */
689
585fb111 690#define CACHE_MODE_0 0x02120 /* 915+ only */
4358a374 691#define CM0_PIPELINED_RENDER_FLUSH_DISABLE (1<<8)
585fb111
JB
692#define CM0_IZ_OPT_DISABLE (1<<6)
693#define CM0_ZR_OPT_DISABLE (1<<5)
009be664 694#define CM0_STC_EVICT_DISABLE_LRA_SNB (1<<5)
585fb111
JB
695#define CM0_DEPTH_EVICT_DISABLE (1<<4)
696#define CM0_COLOR_EVICT_DISABLE (1<<3)
697#define CM0_DEPTH_WRITE_DISABLE (1<<1)
698#define CM0_RC_OP_FLUSH_DISABLE (1<<0)
9df30794 699#define BB_ADDR 0x02140 /* 8 bytes */
585fb111 700#define GFX_FLSH_CNTL 0x02170 /* 915+ only */
0f9b91c7
BW
701#define GFX_FLSH_CNTL_GEN6 0x101008
702#define GFX_FLSH_CNTL_EN (1<<0)
1afe3e9d
JB
703#define ECOSKPD 0x021d0
704#define ECO_GATING_CX_ONLY (1<<3)
705#define ECO_FLIP_DONE (1<<0)
585fb111 706
fb046853
JB
707#define CACHE_MODE_1 0x7004 /* IVB+ */
708#define PIXEL_SUBSPAN_COLLECT_OPT_DISABLE (1<<6)
709
e2a1e2f0
BW
710/* GEN6 interrupt control
711 * Note that the per-ring interrupt bits do alias with the global interrupt bits
712 * in GTIMR. */
a1786bd2
ZW
713#define GEN6_RENDER_HWSTAM 0x2098
714#define GEN6_RENDER_IMR 0x20a8
715#define GEN6_RENDER_CONTEXT_SWITCH_INTERRUPT (1 << 8)
716#define GEN6_RENDER_PPGTT_PAGE_FAULT (1 << 7)
7aa69d2e 717#define GEN6_RENDER_TIMEOUT_COUNTER_EXPIRED (1 << 6)
a1786bd2
ZW
718#define GEN6_RENDER_L3_PARITY_ERROR (1 << 5)
719#define GEN6_RENDER_PIPE_CONTROL_NOTIFY_INTERRUPT (1 << 4)
720#define GEN6_RENDER_COMMAND_PARSER_MASTER_ERROR (1 << 3)
721#define GEN6_RENDER_SYNC_STATUS (1 << 2)
722#define GEN6_RENDER_DEBUG_INTERRUPT (1 << 1)
723#define GEN6_RENDER_USER_INTERRUPT (1 << 0)
724
725#define GEN6_BLITTER_HWSTAM 0x22098
726#define GEN6_BLITTER_IMR 0x220a8
727#define GEN6_BLITTER_MI_FLUSH_DW_NOTIFY_INTERRUPT (1 << 26)
728#define GEN6_BLITTER_COMMAND_PARSER_MASTER_ERROR (1 << 25)
729#define GEN6_BLITTER_SYNC_STATUS (1 << 24)
730#define GEN6_BLITTER_USER_INTERRUPT (1 << 22)
881f47b6 731
4efe0708
JB
732#define GEN6_BLITTER_ECOSKPD 0x221d0
733#define GEN6_BLITTER_LOCK_SHIFT 16
734#define GEN6_BLITTER_FBC_NOTIFY (1<<3)
735
881f47b6 736#define GEN6_BSD_SLEEP_PSMI_CONTROL 0x12050
12f55818
CW
737#define GEN6_BSD_SLEEP_MSG_DISABLE (1 << 0)
738#define GEN6_BSD_SLEEP_FLUSH_DISABLE (1 << 2)
739#define GEN6_BSD_SLEEP_INDICATOR (1 << 3)
740#define GEN6_BSD_GO_INDICATOR (1 << 4)
881f47b6 741
ec6a890d 742#define GEN6_BSD_HWSTAM 0x12098
881f47b6 743#define GEN6_BSD_IMR 0x120a8
1ec14ad3 744#define GEN6_BSD_USER_INTERRUPT (1 << 12)
881f47b6
XH
745
746#define GEN6_BSD_RNCID 0x12198
747
a1e969e0
BW
748#define GEN7_FF_THREAD_MODE 0x20a0
749#define GEN7_FF_SCHED_MASK 0x0077070
750#define GEN7_FF_TS_SCHED_HS1 (0x5<<16)
751#define GEN7_FF_TS_SCHED_HS0 (0x3<<16)
752#define GEN7_FF_TS_SCHED_LOAD_BALANCE (0x1<<16)
753#define GEN7_FF_TS_SCHED_HW (0x0<<16) /* Default */
41c0b3a8 754#define GEN7_FF_VS_REF_CNT_FFME (1 << 15)
a1e969e0
BW
755#define GEN7_FF_VS_SCHED_HS1 (0x5<<12)
756#define GEN7_FF_VS_SCHED_HS0 (0x3<<12)
757#define GEN7_FF_VS_SCHED_LOAD_BALANCE (0x1<<12) /* Default */
758#define GEN7_FF_VS_SCHED_HW (0x0<<12)
759#define GEN7_FF_DS_SCHED_HS1 (0x5<<4)
760#define GEN7_FF_DS_SCHED_HS0 (0x3<<4)
761#define GEN7_FF_DS_SCHED_LOAD_BALANCE (0x1<<4) /* Default */
762#define GEN7_FF_DS_SCHED_HW (0x0<<4)
763
585fb111
JB
764/*
765 * Framebuffer compression (915+ only)
766 */
767
768#define FBC_CFB_BASE 0x03200 /* 4k page aligned */
769#define FBC_LL_BASE 0x03204 /* 4k page aligned */
770#define FBC_CONTROL 0x03208
771#define FBC_CTL_EN (1<<31)
772#define FBC_CTL_PERIODIC (1<<30)
773#define FBC_CTL_INTERVAL_SHIFT (16)
774#define FBC_CTL_UNCOMPRESSIBLE (1<<14)
49677901 775#define FBC_CTL_C3_IDLE (1<<13)
585fb111
JB
776#define FBC_CTL_STRIDE_SHIFT (5)
777#define FBC_CTL_FENCENO (1<<0)
778#define FBC_COMMAND 0x0320c
779#define FBC_CMD_COMPRESS (1<<0)
780#define FBC_STATUS 0x03210
781#define FBC_STAT_COMPRESSING (1<<31)
782#define FBC_STAT_COMPRESSED (1<<30)
783#define FBC_STAT_MODIFIED (1<<29)
784#define FBC_STAT_CURRENT_LINE (1<<0)
785#define FBC_CONTROL2 0x03214
786#define FBC_CTL_FENCE_DBL (0<<4)
787#define FBC_CTL_IDLE_IMM (0<<2)
788#define FBC_CTL_IDLE_FULL (1<<2)
789#define FBC_CTL_IDLE_LINE (2<<2)
790#define FBC_CTL_IDLE_DEBUG (3<<2)
791#define FBC_CTL_CPU_FENCE (1<<1)
792#define FBC_CTL_PLANEA (0<<0)
793#define FBC_CTL_PLANEB (1<<0)
794#define FBC_FENCE_OFF 0x0321b
80824003 795#define FBC_TAG 0x03300
585fb111
JB
796
797#define FBC_LL_SIZE (1536)
798
74dff282
JB
799/* Framebuffer compression for GM45+ */
800#define DPFC_CB_BASE 0x3200
801#define DPFC_CONTROL 0x3208
802#define DPFC_CTL_EN (1<<31)
803#define DPFC_CTL_PLANEA (0<<30)
804#define DPFC_CTL_PLANEB (1<<30)
805#define DPFC_CTL_FENCE_EN (1<<29)
9ce9d069 806#define DPFC_CTL_PERSISTENT_MODE (1<<25)
74dff282
JB
807#define DPFC_SR_EN (1<<10)
808#define DPFC_CTL_LIMIT_1X (0<<6)
809#define DPFC_CTL_LIMIT_2X (1<<6)
810#define DPFC_CTL_LIMIT_4X (2<<6)
811#define DPFC_RECOMP_CTL 0x320c
812#define DPFC_RECOMP_STALL_EN (1<<27)
813#define DPFC_RECOMP_STALL_WM_SHIFT (16)
814#define DPFC_RECOMP_STALL_WM_MASK (0x07ff0000)
815#define DPFC_RECOMP_TIMER_COUNT_SHIFT (0)
816#define DPFC_RECOMP_TIMER_COUNT_MASK (0x0000003f)
817#define DPFC_STATUS 0x3210
818#define DPFC_INVAL_SEG_SHIFT (16)
819#define DPFC_INVAL_SEG_MASK (0x07ff0000)
820#define DPFC_COMP_SEG_SHIFT (0)
821#define DPFC_COMP_SEG_MASK (0x000003ff)
822#define DPFC_STATUS2 0x3214
823#define DPFC_FENCE_YOFF 0x3218
824#define DPFC_CHICKEN 0x3224
825#define DPFC_HT_MODIFY (1<<31)
826
b52eb4dc
ZY
827/* Framebuffer compression for Ironlake */
828#define ILK_DPFC_CB_BASE 0x43200
829#define ILK_DPFC_CONTROL 0x43208
830/* The bit 28-8 is reserved */
831#define DPFC_RESERVED (0x1FFFFF00)
832#define ILK_DPFC_RECOMP_CTL 0x4320c
833#define ILK_DPFC_STATUS 0x43210
834#define ILK_DPFC_FENCE_YOFF 0x43218
835#define ILK_DPFC_CHICKEN 0x43224
836#define ILK_FBC_RT_BASE 0x2128
837#define ILK_FBC_RT_VALID (1<<0)
838
839#define ILK_DISPLAY_CHICKEN1 0x42000
840#define ILK_FBCQ_DIS (1<<22)
0206e353 841#define ILK_PABSTRETCH_DIS (1<<21)
1398261a 842
b52eb4dc 843
9c04f015
YL
844/*
845 * Framebuffer compression for Sandybridge
846 *
847 * The following two registers are of type GTTMMADR
848 */
849#define SNB_DPFC_CTL_SA 0x100100
850#define SNB_CPU_FENCE_ENABLE (1<<29)
851#define DPFC_CPU_FENCE_OFFSET 0x100104
852
853
585fb111
JB
854/*
855 * GPIO regs
856 */
857#define GPIOA 0x5010
858#define GPIOB 0x5014
859#define GPIOC 0x5018
860#define GPIOD 0x501c
861#define GPIOE 0x5020
862#define GPIOF 0x5024
863#define GPIOG 0x5028
864#define GPIOH 0x502c
865# define GPIO_CLOCK_DIR_MASK (1 << 0)
866# define GPIO_CLOCK_DIR_IN (0 << 1)
867# define GPIO_CLOCK_DIR_OUT (1 << 1)
868# define GPIO_CLOCK_VAL_MASK (1 << 2)
869# define GPIO_CLOCK_VAL_OUT (1 << 3)
870# define GPIO_CLOCK_VAL_IN (1 << 4)
871# define GPIO_CLOCK_PULLUP_DISABLE (1 << 5)
872# define GPIO_DATA_DIR_MASK (1 << 8)
873# define GPIO_DATA_DIR_IN (0 << 9)
874# define GPIO_DATA_DIR_OUT (1 << 9)
875# define GPIO_DATA_VAL_MASK (1 << 10)
876# define GPIO_DATA_VAL_OUT (1 << 11)
877# define GPIO_DATA_VAL_IN (1 << 12)
878# define GPIO_DATA_PULLUP_DISABLE (1 << 13)
879
f899fc64
CW
880#define GMBUS0 0x5100 /* clock/port select */
881#define GMBUS_RATE_100KHZ (0<<8)
882#define GMBUS_RATE_50KHZ (1<<8)
883#define GMBUS_RATE_400KHZ (2<<8) /* reserved on Pineview */
884#define GMBUS_RATE_1MHZ (3<<8) /* reserved on Pineview */
885#define GMBUS_HOLD_EXT (1<<7) /* 300ns hold time, rsvd on Pineview */
886#define GMBUS_PORT_DISABLED 0
887#define GMBUS_PORT_SSC 1
888#define GMBUS_PORT_VGADDC 2
889#define GMBUS_PORT_PANEL 3
890#define GMBUS_PORT_DPC 4 /* HDMIC */
891#define GMBUS_PORT_DPB 5 /* SDVO, HDMIB */
e4fd17af
DK
892#define GMBUS_PORT_DPD 6 /* HDMID */
893#define GMBUS_PORT_RESERVED 7 /* 7 reserved */
2ed06c93 894#define GMBUS_NUM_PORTS (GMBUS_PORT_DPD - GMBUS_PORT_SSC + 1)
f899fc64
CW
895#define GMBUS1 0x5104 /* command/status */
896#define GMBUS_SW_CLR_INT (1<<31)
897#define GMBUS_SW_RDY (1<<30)
898#define GMBUS_ENT (1<<29) /* enable timeout */
899#define GMBUS_CYCLE_NONE (0<<25)
900#define GMBUS_CYCLE_WAIT (1<<25)
901#define GMBUS_CYCLE_INDEX (2<<25)
902#define GMBUS_CYCLE_STOP (4<<25)
903#define GMBUS_BYTE_COUNT_SHIFT 16
904#define GMBUS_SLAVE_INDEX_SHIFT 8
905#define GMBUS_SLAVE_ADDR_SHIFT 1
906#define GMBUS_SLAVE_READ (1<<0)
907#define GMBUS_SLAVE_WRITE (0<<0)
908#define GMBUS2 0x5108 /* status */
909#define GMBUS_INUSE (1<<15)
910#define GMBUS_HW_WAIT_PHASE (1<<14)
911#define GMBUS_STALL_TIMEOUT (1<<13)
912#define GMBUS_INT (1<<12)
913#define GMBUS_HW_RDY (1<<11)
914#define GMBUS_SATOER (1<<10)
915#define GMBUS_ACTIVE (1<<9)
916#define GMBUS3 0x510c /* data buffer bytes 3-0 */
917#define GMBUS4 0x5110 /* interrupt mask (Pineview+) */
918#define GMBUS_SLAVE_TIMEOUT_EN (1<<4)
919#define GMBUS_NAK_EN (1<<3)
920#define GMBUS_IDLE_EN (1<<2)
921#define GMBUS_HW_WAIT_EN (1<<1)
922#define GMBUS_HW_RDY_EN (1<<0)
923#define GMBUS5 0x5120 /* byte index */
924#define GMBUS_2BYTE_INDEX_EN (1<<31)
f0217c42 925
585fb111
JB
926/*
927 * Clock control & power management
928 */
929
930#define VGA0 0x6000
931#define VGA1 0x6004
932#define VGA_PD 0x6010
933#define VGA0_PD_P2_DIV_4 (1 << 7)
934#define VGA0_PD_P1_DIV_2 (1 << 5)
935#define VGA0_PD_P1_SHIFT 0
936#define VGA0_PD_P1_MASK (0x1f << 0)
937#define VGA1_PD_P2_DIV_4 (1 << 15)
938#define VGA1_PD_P1_DIV_2 (1 << 13)
939#define VGA1_PD_P1_SHIFT 8
940#define VGA1_PD_P1_MASK (0x1f << 8)
fc2de409
VS
941#define _DPLL_A (dev_priv->info->display_mmio_offset + 0x6014)
942#define _DPLL_B (dev_priv->info->display_mmio_offset + 0x6018)
9db4a9c7 943#define DPLL(pipe) _PIPE(pipe, _DPLL_A, _DPLL_B)
585fb111
JB
944#define DPLL_VCO_ENABLE (1 << 31)
945#define DPLL_DVO_HIGH_SPEED (1 << 30)
25eb05fc 946#define DPLL_EXT_BUFFER_ENABLE_VLV (1 << 30)
585fb111 947#define DPLL_SYNCLOCK_ENABLE (1 << 29)
25eb05fc 948#define DPLL_REFA_CLK_ENABLE_VLV (1 << 29)
585fb111
JB
949#define DPLL_VGA_MODE_DIS (1 << 28)
950#define DPLLB_MODE_DAC_SERIAL (1 << 26) /* i915 */
951#define DPLLB_MODE_LVDS (2 << 26) /* i915 */
952#define DPLL_MODE_MASK (3 << 26)
953#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_10 (0 << 24) /* i915 */
954#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_5 (1 << 24) /* i915 */
955#define DPLLB_LVDS_P2_CLOCK_DIV_14 (0 << 24) /* i915 */
956#define DPLLB_LVDS_P2_CLOCK_DIV_7 (1 << 24) /* i915 */
957#define DPLL_P2_CLOCK_DIV_MASK 0x03000000 /* i915 */
958#define DPLL_FPA01_P1_POST_DIV_MASK 0x00ff0000 /* i915 */
f2b115e6 959#define DPLL_FPA01_P1_POST_DIV_MASK_PINEVIEW 0x00ff8000 /* Pineview */
a0c4da24 960#define DPLL_LOCK_VLV (1<<15)
25eb05fc 961#define DPLL_INTEGRATED_CLOCK_VLV (1<<13)
585fb111 962
585fb111
JB
963#define DPLL_FPA01_P1_POST_DIV_MASK_I830 0x001f0000
964/*
965 * The i830 generation, in LVDS mode, defines P1 as the bit number set within
966 * this field (only one bit may be set).
967 */
968#define DPLL_FPA01_P1_POST_DIV_MASK_I830_LVDS 0x003f0000
969#define DPLL_FPA01_P1_POST_DIV_SHIFT 16
f2b115e6 970#define DPLL_FPA01_P1_POST_DIV_SHIFT_PINEVIEW 15
585fb111
JB
971/* i830, required in DVO non-gang */
972#define PLL_P2_DIVIDE_BY_4 (1 << 23)
973#define PLL_P1_DIVIDE_BY_TWO (1 << 21) /* i830 */
974#define PLL_REF_INPUT_DREFCLK (0 << 13)
975#define PLL_REF_INPUT_TVCLKINA (1 << 13) /* i830 */
976#define PLL_REF_INPUT_TVCLKINBC (2 << 13) /* SDVO TVCLKIN */
977#define PLLB_REF_INPUT_SPREADSPECTRUMIN (3 << 13)
978#define PLL_REF_INPUT_MASK (3 << 13)
979#define PLL_LOAD_PULSE_PHASE_SHIFT 9
f2b115e6 980/* Ironlake */
b9055052
ZW
981# define PLL_REF_SDVO_HDMI_MULTIPLIER_SHIFT 9
982# define PLL_REF_SDVO_HDMI_MULTIPLIER_MASK (7 << 9)
983# define PLL_REF_SDVO_HDMI_MULTIPLIER(x) (((x)-1) << 9)
984# define DPLL_FPA1_P1_POST_DIV_SHIFT 0
985# define DPLL_FPA1_P1_POST_DIV_MASK 0xff
986
585fb111
JB
987/*
988 * Parallel to Serial Load Pulse phase selection.
989 * Selects the phase for the 10X DPLL clock for the PCIe
990 * digital display port. The range is 4 to 13; 10 or more
991 * is just a flip delay. The default is 6
992 */
993#define PLL_LOAD_PULSE_PHASE_MASK (0xf << PLL_LOAD_PULSE_PHASE_SHIFT)
994#define DISPLAY_RATE_SELECT_FPA1 (1 << 8)
995/*
996 * SDVO multiplier for 945G/GM. Not used on 965.
997 */
998#define SDVO_MULTIPLIER_MASK 0x000000ff
999#define SDVO_MULTIPLIER_SHIFT_HIRES 4
1000#define SDVO_MULTIPLIER_SHIFT_VGA 0
fc2de409 1001#define _DPLL_A_MD (dev_priv->info->display_mmio_offset + 0x601c) /* 965+ only */
585fb111
JB
1002/*
1003 * UDI pixel divider, controlling how many pixels are stuffed into a packet.
1004 *
1005 * Value is pixels minus 1. Must be set to 1 pixel for SDVO.
1006 */
1007#define DPLL_MD_UDI_DIVIDER_MASK 0x3f000000
1008#define DPLL_MD_UDI_DIVIDER_SHIFT 24
1009/* UDI pixel divider for VGA, same as DPLL_MD_UDI_DIVIDER_MASK. */
1010#define DPLL_MD_VGA_UDI_DIVIDER_MASK 0x003f0000
1011#define DPLL_MD_VGA_UDI_DIVIDER_SHIFT 16
1012/*
1013 * SDVO/UDI pixel multiplier.
1014 *
1015 * SDVO requires that the bus clock rate be between 1 and 2 Ghz, and the bus
1016 * clock rate is 10 times the DPLL clock. At low resolution/refresh rate
1017 * modes, the bus rate would be below the limits, so SDVO allows for stuffing
1018 * dummy bytes in the datastream at an increased clock rate, with both sides of
1019 * the link knowing how many bytes are fill.
1020 *
1021 * So, for a mode with a dotclock of 65Mhz, we would want to double the clock
1022 * rate to 130Mhz to get a bus rate of 1.30Ghz. The DPLL clock rate would be
1023 * set to 130Mhz, and the SDVO multiplier set to 2x in this register and
1024 * through an SDVO command.
1025 *
1026 * This register field has values of multiplication factor minus 1, with
1027 * a maximum multiplier of 5 for SDVO.
1028 */
1029#define DPLL_MD_UDI_MULTIPLIER_MASK 0x00003f00
1030#define DPLL_MD_UDI_MULTIPLIER_SHIFT 8
1031/*
1032 * SDVO/UDI pixel multiplier for VGA, same as DPLL_MD_UDI_MULTIPLIER_MASK.
1033 * This best be set to the default value (3) or the CRT won't work. No,
1034 * I don't entirely understand what this does...
1035 */
1036#define DPLL_MD_VGA_UDI_MULTIPLIER_MASK 0x0000003f
1037#define DPLL_MD_VGA_UDI_MULTIPLIER_SHIFT 0
fc2de409 1038#define _DPLL_B_MD (dev_priv->info->display_mmio_offset + 0x6020) /* 965+ only */
9db4a9c7 1039#define DPLL_MD(pipe) _PIPE(pipe, _DPLL_A_MD, _DPLL_B_MD)
25eb05fc 1040
9db4a9c7
JB
1041#define _FPA0 0x06040
1042#define _FPA1 0x06044
1043#define _FPB0 0x06048
1044#define _FPB1 0x0604c
1045#define FP0(pipe) _PIPE(pipe, _FPA0, _FPB0)
1046#define FP1(pipe) _PIPE(pipe, _FPA1, _FPB1)
585fb111 1047#define FP_N_DIV_MASK 0x003f0000
f2b115e6 1048#define FP_N_PINEVIEW_DIV_MASK 0x00ff0000
585fb111
JB
1049#define FP_N_DIV_SHIFT 16
1050#define FP_M1_DIV_MASK 0x00003f00
1051#define FP_M1_DIV_SHIFT 8
1052#define FP_M2_DIV_MASK 0x0000003f
f2b115e6 1053#define FP_M2_PINEVIEW_DIV_MASK 0x000000ff
585fb111
JB
1054#define FP_M2_DIV_SHIFT 0
1055#define DPLL_TEST 0x606c
1056#define DPLLB_TEST_SDVO_DIV_1 (0 << 22)
1057#define DPLLB_TEST_SDVO_DIV_2 (1 << 22)
1058#define DPLLB_TEST_SDVO_DIV_4 (2 << 22)
1059#define DPLLB_TEST_SDVO_DIV_MASK (3 << 22)
1060#define DPLLB_TEST_N_BYPASS (1 << 19)
1061#define DPLLB_TEST_M_BYPASS (1 << 18)
1062#define DPLLB_INPUT_BUFFER_ENABLE (1 << 16)
1063#define DPLLA_TEST_N_BYPASS (1 << 3)
1064#define DPLLA_TEST_M_BYPASS (1 << 2)
1065#define DPLLA_INPUT_BUFFER_ENABLE (1 << 0)
1066#define D_STATE 0x6104
dc96e9b8 1067#define DSTATE_GFX_RESET_I830 (1<<6)
652c393a
JB
1068#define DSTATE_PLL_D3_OFF (1<<3)
1069#define DSTATE_GFX_CLOCK_GATING (1<<1)
1070#define DSTATE_DOT_CLOCK_GATING (1<<0)
1071#define DSPCLK_GATE_D 0x6200
1072# define DPUNIT_B_CLOCK_GATE_DISABLE (1 << 30) /* 965 */
1073# define VSUNIT_CLOCK_GATE_DISABLE (1 << 29) /* 965 */
1074# define VRHUNIT_CLOCK_GATE_DISABLE (1 << 28) /* 965 */
1075# define VRDUNIT_CLOCK_GATE_DISABLE (1 << 27) /* 965 */
1076# define AUDUNIT_CLOCK_GATE_DISABLE (1 << 26) /* 965 */
1077# define DPUNIT_A_CLOCK_GATE_DISABLE (1 << 25) /* 965 */
1078# define DPCUNIT_CLOCK_GATE_DISABLE (1 << 24) /* 965 */
1079# define TVRUNIT_CLOCK_GATE_DISABLE (1 << 23) /* 915-945 */
1080# define TVCUNIT_CLOCK_GATE_DISABLE (1 << 22) /* 915-945 */
1081# define TVFUNIT_CLOCK_GATE_DISABLE (1 << 21) /* 915-945 */
1082# define TVEUNIT_CLOCK_GATE_DISABLE (1 << 20) /* 915-945 */
1083# define DVSUNIT_CLOCK_GATE_DISABLE (1 << 19) /* 915-945 */
1084# define DSSUNIT_CLOCK_GATE_DISABLE (1 << 18) /* 915-945 */
1085# define DDBUNIT_CLOCK_GATE_DISABLE (1 << 17) /* 915-945 */
1086# define DPRUNIT_CLOCK_GATE_DISABLE (1 << 16) /* 915-945 */
1087# define DPFUNIT_CLOCK_GATE_DISABLE (1 << 15) /* 915-945 */
1088# define DPBMUNIT_CLOCK_GATE_DISABLE (1 << 14) /* 915-945 */
1089# define DPLSUNIT_CLOCK_GATE_DISABLE (1 << 13) /* 915-945 */
1090# define DPLUNIT_CLOCK_GATE_DISABLE (1 << 12) /* 915-945 */
1091# define DPOUNIT_CLOCK_GATE_DISABLE (1 << 11)
1092# define DPBUNIT_CLOCK_GATE_DISABLE (1 << 10)
1093# define DCUNIT_CLOCK_GATE_DISABLE (1 << 9)
1094# define DPUNIT_CLOCK_GATE_DISABLE (1 << 8)
1095# define VRUNIT_CLOCK_GATE_DISABLE (1 << 7) /* 915+: reserved */
1096# define OVHUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 830-865 */
1097# define DPIOUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 915-945 */
1098# define OVFUNIT_CLOCK_GATE_DISABLE (1 << 5)
1099# define OVBUNIT_CLOCK_GATE_DISABLE (1 << 4)
1100/**
1101 * This bit must be set on the 830 to prevent hangs when turning off the
1102 * overlay scaler.
1103 */
1104# define OVRUNIT_CLOCK_GATE_DISABLE (1 << 3)
1105# define OVCUNIT_CLOCK_GATE_DISABLE (1 << 2)
1106# define OVUUNIT_CLOCK_GATE_DISABLE (1 << 1)
1107# define ZVUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 830 */
1108# define OVLUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 845,865 */
1109
1110#define RENCLK_GATE_D1 0x6204
1111# define BLITTER_CLOCK_GATE_DISABLE (1 << 13) /* 945GM only */
1112# define MPEG_CLOCK_GATE_DISABLE (1 << 12) /* 945GM only */
1113# define PC_FE_CLOCK_GATE_DISABLE (1 << 11)
1114# define PC_BE_CLOCK_GATE_DISABLE (1 << 10)
1115# define WINDOWER_CLOCK_GATE_DISABLE (1 << 9)
1116# define INTERPOLATOR_CLOCK_GATE_DISABLE (1 << 8)
1117# define COLOR_CALCULATOR_CLOCK_GATE_DISABLE (1 << 7)
1118# define MOTION_COMP_CLOCK_GATE_DISABLE (1 << 6)
1119# define MAG_CLOCK_GATE_DISABLE (1 << 5)
1120/** This bit must be unset on 855,865 */
1121# define MECI_CLOCK_GATE_DISABLE (1 << 4)
1122# define DCMP_CLOCK_GATE_DISABLE (1 << 3)
1123# define MEC_CLOCK_GATE_DISABLE (1 << 2)
1124# define MECO_CLOCK_GATE_DISABLE (1 << 1)
1125/** This bit must be set on 855,865. */
1126# define SV_CLOCK_GATE_DISABLE (1 << 0)
1127# define I915_MPEG_CLOCK_GATE_DISABLE (1 << 16)
1128# define I915_VLD_IP_PR_CLOCK_GATE_DISABLE (1 << 15)
1129# define I915_MOTION_COMP_CLOCK_GATE_DISABLE (1 << 14)
1130# define I915_BD_BF_CLOCK_GATE_DISABLE (1 << 13)
1131# define I915_SF_SE_CLOCK_GATE_DISABLE (1 << 12)
1132# define I915_WM_CLOCK_GATE_DISABLE (1 << 11)
1133# define I915_IZ_CLOCK_GATE_DISABLE (1 << 10)
1134# define I915_PI_CLOCK_GATE_DISABLE (1 << 9)
1135# define I915_DI_CLOCK_GATE_DISABLE (1 << 8)
1136# define I915_SH_SV_CLOCK_GATE_DISABLE (1 << 7)
1137# define I915_PL_DG_QC_FT_CLOCK_GATE_DISABLE (1 << 6)
1138# define I915_SC_CLOCK_GATE_DISABLE (1 << 5)
1139# define I915_FL_CLOCK_GATE_DISABLE (1 << 4)
1140# define I915_DM_CLOCK_GATE_DISABLE (1 << 3)
1141# define I915_PS_CLOCK_GATE_DISABLE (1 << 2)
1142# define I915_CC_CLOCK_GATE_DISABLE (1 << 1)
1143# define I915_BY_CLOCK_GATE_DISABLE (1 << 0)
1144
1145# define I965_RCZ_CLOCK_GATE_DISABLE (1 << 30)
1146/** This bit must always be set on 965G/965GM */
1147# define I965_RCC_CLOCK_GATE_DISABLE (1 << 29)
1148# define I965_RCPB_CLOCK_GATE_DISABLE (1 << 28)
1149# define I965_DAP_CLOCK_GATE_DISABLE (1 << 27)
1150# define I965_ROC_CLOCK_GATE_DISABLE (1 << 26)
1151# define I965_GW_CLOCK_GATE_DISABLE (1 << 25)
1152# define I965_TD_CLOCK_GATE_DISABLE (1 << 24)
1153/** This bit must always be set on 965G */
1154# define I965_ISC_CLOCK_GATE_DISABLE (1 << 23)
1155# define I965_IC_CLOCK_GATE_DISABLE (1 << 22)
1156# define I965_EU_CLOCK_GATE_DISABLE (1 << 21)
1157# define I965_IF_CLOCK_GATE_DISABLE (1 << 20)
1158# define I965_TC_CLOCK_GATE_DISABLE (1 << 19)
1159# define I965_SO_CLOCK_GATE_DISABLE (1 << 17)
1160# define I965_FBC_CLOCK_GATE_DISABLE (1 << 16)
1161# define I965_MARI_CLOCK_GATE_DISABLE (1 << 15)
1162# define I965_MASF_CLOCK_GATE_DISABLE (1 << 14)
1163# define I965_MAWB_CLOCK_GATE_DISABLE (1 << 13)
1164# define I965_EM_CLOCK_GATE_DISABLE (1 << 12)
1165# define I965_UC_CLOCK_GATE_DISABLE (1 << 11)
1166# define I965_SI_CLOCK_GATE_DISABLE (1 << 6)
1167# define I965_MT_CLOCK_GATE_DISABLE (1 << 5)
1168# define I965_PL_CLOCK_GATE_DISABLE (1 << 4)
1169# define I965_DG_CLOCK_GATE_DISABLE (1 << 3)
1170# define I965_QC_CLOCK_GATE_DISABLE (1 << 2)
1171# define I965_FT_CLOCK_GATE_DISABLE (1 << 1)
1172# define I965_DM_CLOCK_GATE_DISABLE (1 << 0)
1173
1174#define RENCLK_GATE_D2 0x6208
1175#define VF_UNIT_CLOCK_GATE_DISABLE (1 << 9)
1176#define GS_UNIT_CLOCK_GATE_DISABLE (1 << 7)
1177#define CL_UNIT_CLOCK_GATE_DISABLE (1 << 6)
1178#define RAMCLK_GATE_D 0x6210 /* CRL only */
1179#define DEUC 0x6214 /* CRL only */
585fb111 1180
d88b2270 1181#define FW_BLC_SELF_VLV (VLV_DISPLAY_BASE + 0x6500)
ceb04246
JB
1182#define FW_CSPWRDWNEN (1<<15)
1183
585fb111
JB
1184/*
1185 * Palette regs
1186 */
1187
4b059985
VS
1188#define _PALETTE_A (dev_priv->info->display_mmio_offset + 0xa000)
1189#define _PALETTE_B (dev_priv->info->display_mmio_offset + 0xa800)
9db4a9c7 1190#define PALETTE(pipe) _PIPE(pipe, _PALETTE_A, _PALETTE_B)
585fb111 1191
673a394b
EA
1192/* MCH MMIO space */
1193
1194/*
1195 * MCHBAR mirror.
1196 *
1197 * This mirrors the MCHBAR MMIO space whose location is determined by
1198 * device 0 function 0's pci config register 0x44 or 0x48 and matches it in
1199 * every way. It is not accessible from the CP register read instructions.
1200 *
1201 */
1202#define MCHBAR_MIRROR_BASE 0x10000
1203
1398261a
YL
1204#define MCHBAR_MIRROR_BASE_SNB 0x140000
1205
673a394b
EA
1206/** 915-945 and GM965 MCH register controlling DRAM channel access */
1207#define DCC 0x10200
1208#define DCC_ADDRESSING_MODE_SINGLE_CHANNEL (0 << 0)
1209#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_ASYMMETRIC (1 << 0)
1210#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_INTERLEAVED (2 << 0)
1211#define DCC_ADDRESSING_MODE_MASK (3 << 0)
1212#define DCC_CHANNEL_XOR_DISABLE (1 << 10)
a7f014f2 1213#define DCC_CHANNEL_XOR_BIT_17 (1 << 9)
673a394b 1214
95534263
LP
1215/** Pineview MCH register contains DDR3 setting */
1216#define CSHRDDR3CTL 0x101a8
1217#define CSHRDDR3CTL_DDR3 (1 << 2)
1218
673a394b
EA
1219/** 965 MCH register controlling DRAM channel configuration */
1220#define C0DRB3 0x10206
1221#define C1DRB3 0x10606
1222
f691e2f4
DV
1223/** snb MCH registers for reading the DRAM channel configuration */
1224#define MAD_DIMM_C0 (MCHBAR_MIRROR_BASE_SNB + 0x5004)
1225#define MAD_DIMM_C1 (MCHBAR_MIRROR_BASE_SNB + 0x5008)
1226#define MAD_DIMM_C2 (MCHBAR_MIRROR_BASE_SNB + 0x500C)
1227#define MAD_DIMM_ECC_MASK (0x3 << 24)
1228#define MAD_DIMM_ECC_OFF (0x0 << 24)
1229#define MAD_DIMM_ECC_IO_ON_LOGIC_OFF (0x1 << 24)
1230#define MAD_DIMM_ECC_IO_OFF_LOGIC_ON (0x2 << 24)
1231#define MAD_DIMM_ECC_ON (0x3 << 24)
1232#define MAD_DIMM_ENH_INTERLEAVE (0x1 << 22)
1233#define MAD_DIMM_RANK_INTERLEAVE (0x1 << 21)
1234#define MAD_DIMM_B_WIDTH_X16 (0x1 << 20) /* X8 chips if unset */
1235#define MAD_DIMM_A_WIDTH_X16 (0x1 << 19) /* X8 chips if unset */
1236#define MAD_DIMM_B_DUAL_RANK (0x1 << 18)
1237#define MAD_DIMM_A_DUAL_RANK (0x1 << 17)
1238#define MAD_DIMM_A_SELECT (0x1 << 16)
1239/* DIMM sizes are in multiples of 256mb. */
1240#define MAD_DIMM_B_SIZE_SHIFT 8
1241#define MAD_DIMM_B_SIZE_MASK (0xff << MAD_DIMM_B_SIZE_SHIFT)
1242#define MAD_DIMM_A_SIZE_SHIFT 0
1243#define MAD_DIMM_A_SIZE_MASK (0xff << MAD_DIMM_A_SIZE_SHIFT)
1244
1d7aaa0c
DV
1245/** snb MCH registers for priority tuning */
1246#define MCH_SSKPD (MCHBAR_MIRROR_BASE_SNB + 0x5d10)
1247#define MCH_SSKPD_WM0_MASK 0x3f
1248#define MCH_SSKPD_WM0_VAL 0xc
f691e2f4 1249
b11248df
KP
1250/* Clocking configuration register */
1251#define CLKCFG 0x10c00
7662c8bd 1252#define CLKCFG_FSB_400 (5 << 0) /* hrawclk 100 */
b11248df
KP
1253#define CLKCFG_FSB_533 (1 << 0) /* hrawclk 133 */
1254#define CLKCFG_FSB_667 (3 << 0) /* hrawclk 166 */
1255#define CLKCFG_FSB_800 (2 << 0) /* hrawclk 200 */
1256#define CLKCFG_FSB_1067 (6 << 0) /* hrawclk 266 */
1257#define CLKCFG_FSB_1333 (7 << 0) /* hrawclk 333 */
7662c8bd 1258/* Note, below two are guess */
b11248df 1259#define CLKCFG_FSB_1600 (4 << 0) /* hrawclk 400 */
7662c8bd 1260#define CLKCFG_FSB_1600_ALT (0 << 0) /* hrawclk 400 */
b11248df 1261#define CLKCFG_FSB_MASK (7 << 0)
7662c8bd
SL
1262#define CLKCFG_MEM_533 (1 << 4)
1263#define CLKCFG_MEM_667 (2 << 4)
1264#define CLKCFG_MEM_800 (3 << 4)
1265#define CLKCFG_MEM_MASK (7 << 4)
1266
ea056c14
JB
1267#define TSC1 0x11001
1268#define TSE (1<<0)
7648fa99
JB
1269#define TR1 0x11006
1270#define TSFS 0x11020
1271#define TSFS_SLOPE_MASK 0x0000ff00
1272#define TSFS_SLOPE_SHIFT 8
1273#define TSFS_INTR_MASK 0x000000ff
1274
f97108d1
JB
1275#define CRSTANDVID 0x11100
1276#define PXVFREQ_BASE 0x11110 /* P[0-15]VIDFREQ (0x1114c) (Ironlake) */
1277#define PXVFREQ_PX_MASK 0x7f000000
1278#define PXVFREQ_PX_SHIFT 24
1279#define VIDFREQ_BASE 0x11110
1280#define VIDFREQ1 0x11110 /* VIDFREQ1-4 (0x1111c) (Cantiga) */
1281#define VIDFREQ2 0x11114
1282#define VIDFREQ3 0x11118
1283#define VIDFREQ4 0x1111c
1284#define VIDFREQ_P0_MASK 0x1f000000
1285#define VIDFREQ_P0_SHIFT 24
1286#define VIDFREQ_P0_CSCLK_MASK 0x00f00000
1287#define VIDFREQ_P0_CSCLK_SHIFT 20
1288#define VIDFREQ_P0_CRCLK_MASK 0x000f0000
1289#define VIDFREQ_P0_CRCLK_SHIFT 16
1290#define VIDFREQ_P1_MASK 0x00001f00
1291#define VIDFREQ_P1_SHIFT 8
1292#define VIDFREQ_P1_CSCLK_MASK 0x000000f0
1293#define VIDFREQ_P1_CSCLK_SHIFT 4
1294#define VIDFREQ_P1_CRCLK_MASK 0x0000000f
1295#define INTTOEXT_BASE_ILK 0x11300
1296#define INTTOEXT_BASE 0x11120 /* INTTOEXT1-8 (0x1113c) */
1297#define INTTOEXT_MAP3_SHIFT 24
1298#define INTTOEXT_MAP3_MASK (0x1f << INTTOEXT_MAP3_SHIFT)
1299#define INTTOEXT_MAP2_SHIFT 16
1300#define INTTOEXT_MAP2_MASK (0x1f << INTTOEXT_MAP2_SHIFT)
1301#define INTTOEXT_MAP1_SHIFT 8
1302#define INTTOEXT_MAP1_MASK (0x1f << INTTOEXT_MAP1_SHIFT)
1303#define INTTOEXT_MAP0_SHIFT 0
1304#define INTTOEXT_MAP0_MASK (0x1f << INTTOEXT_MAP0_SHIFT)
1305#define MEMSWCTL 0x11170 /* Ironlake only */
1306#define MEMCTL_CMD_MASK 0xe000
1307#define MEMCTL_CMD_SHIFT 13
1308#define MEMCTL_CMD_RCLK_OFF 0
1309#define MEMCTL_CMD_RCLK_ON 1
1310#define MEMCTL_CMD_CHFREQ 2
1311#define MEMCTL_CMD_CHVID 3
1312#define MEMCTL_CMD_VMMOFF 4
1313#define MEMCTL_CMD_VMMON 5
1314#define MEMCTL_CMD_STS (1<<12) /* write 1 triggers command, clears
1315 when command complete */
1316#define MEMCTL_FREQ_MASK 0x0f00 /* jitter, from 0-15 */
1317#define MEMCTL_FREQ_SHIFT 8
1318#define MEMCTL_SFCAVM (1<<7)
1319#define MEMCTL_TGT_VID_MASK 0x007f
1320#define MEMIHYST 0x1117c
1321#define MEMINTREN 0x11180 /* 16 bits */
1322#define MEMINT_RSEXIT_EN (1<<8)
1323#define MEMINT_CX_SUPR_EN (1<<7)
1324#define MEMINT_CONT_BUSY_EN (1<<6)
1325#define MEMINT_AVG_BUSY_EN (1<<5)
1326#define MEMINT_EVAL_CHG_EN (1<<4)
1327#define MEMINT_MON_IDLE_EN (1<<3)
1328#define MEMINT_UP_EVAL_EN (1<<2)
1329#define MEMINT_DOWN_EVAL_EN (1<<1)
1330#define MEMINT_SW_CMD_EN (1<<0)
1331#define MEMINTRSTR 0x11182 /* 16 bits */
1332#define MEM_RSEXIT_MASK 0xc000
1333#define MEM_RSEXIT_SHIFT 14
1334#define MEM_CONT_BUSY_MASK 0x3000
1335#define MEM_CONT_BUSY_SHIFT 12
1336#define MEM_AVG_BUSY_MASK 0x0c00
1337#define MEM_AVG_BUSY_SHIFT 10
1338#define MEM_EVAL_CHG_MASK 0x0300
1339#define MEM_EVAL_BUSY_SHIFT 8
1340#define MEM_MON_IDLE_MASK 0x00c0
1341#define MEM_MON_IDLE_SHIFT 6
1342#define MEM_UP_EVAL_MASK 0x0030
1343#define MEM_UP_EVAL_SHIFT 4
1344#define MEM_DOWN_EVAL_MASK 0x000c
1345#define MEM_DOWN_EVAL_SHIFT 2
1346#define MEM_SW_CMD_MASK 0x0003
1347#define MEM_INT_STEER_GFX 0
1348#define MEM_INT_STEER_CMR 1
1349#define MEM_INT_STEER_SMI 2
1350#define MEM_INT_STEER_SCI 3
1351#define MEMINTRSTS 0x11184
1352#define MEMINT_RSEXIT (1<<7)
1353#define MEMINT_CONT_BUSY (1<<6)
1354#define MEMINT_AVG_BUSY (1<<5)
1355#define MEMINT_EVAL_CHG (1<<4)
1356#define MEMINT_MON_IDLE (1<<3)
1357#define MEMINT_UP_EVAL (1<<2)
1358#define MEMINT_DOWN_EVAL (1<<1)
1359#define MEMINT_SW_CMD (1<<0)
1360#define MEMMODECTL 0x11190
1361#define MEMMODE_BOOST_EN (1<<31)
1362#define MEMMODE_BOOST_FREQ_MASK 0x0f000000 /* jitter for boost, 0-15 */
1363#define MEMMODE_BOOST_FREQ_SHIFT 24
1364#define MEMMODE_IDLE_MODE_MASK 0x00030000
1365#define MEMMODE_IDLE_MODE_SHIFT 16
1366#define MEMMODE_IDLE_MODE_EVAL 0
1367#define MEMMODE_IDLE_MODE_CONT 1
1368#define MEMMODE_HWIDLE_EN (1<<15)
1369#define MEMMODE_SWMODE_EN (1<<14)
1370#define MEMMODE_RCLK_GATE (1<<13)
1371#define MEMMODE_HW_UPDATE (1<<12)
1372#define MEMMODE_FSTART_MASK 0x00000f00 /* starting jitter, 0-15 */
1373#define MEMMODE_FSTART_SHIFT 8
1374#define MEMMODE_FMAX_MASK 0x000000f0 /* max jitter, 0-15 */
1375#define MEMMODE_FMAX_SHIFT 4
1376#define MEMMODE_FMIN_MASK 0x0000000f /* min jitter, 0-15 */
1377#define RCBMAXAVG 0x1119c
1378#define MEMSWCTL2 0x1119e /* Cantiga only */
1379#define SWMEMCMD_RENDER_OFF (0 << 13)
1380#define SWMEMCMD_RENDER_ON (1 << 13)
1381#define SWMEMCMD_SWFREQ (2 << 13)
1382#define SWMEMCMD_TARVID (3 << 13)
1383#define SWMEMCMD_VRM_OFF (4 << 13)
1384#define SWMEMCMD_VRM_ON (5 << 13)
1385#define CMDSTS (1<<12)
1386#define SFCAVM (1<<11)
1387#define SWFREQ_MASK 0x0380 /* P0-7 */
1388#define SWFREQ_SHIFT 7
1389#define TARVID_MASK 0x001f
1390#define MEMSTAT_CTG 0x111a0
1391#define RCBMINAVG 0x111a0
1392#define RCUPEI 0x111b0
1393#define RCDNEI 0x111b4
88271da3
JB
1394#define RSTDBYCTL 0x111b8
1395#define RS1EN (1<<31)
1396#define RS2EN (1<<30)
1397#define RS3EN (1<<29)
1398#define D3RS3EN (1<<28) /* Display D3 imlies RS3 */
1399#define SWPROMORSX (1<<27) /* RSx promotion timers ignored */
1400#define RCWAKERW (1<<26) /* Resetwarn from PCH causes wakeup */
1401#define DPRSLPVREN (1<<25) /* Fast voltage ramp enable */
1402#define GFXTGHYST (1<<24) /* Hysteresis to allow trunk gating */
1403#define RCX_SW_EXIT (1<<23) /* Leave RSx and prevent re-entry */
1404#define RSX_STATUS_MASK (7<<20)
1405#define RSX_STATUS_ON (0<<20)
1406#define RSX_STATUS_RC1 (1<<20)
1407#define RSX_STATUS_RC1E (2<<20)
1408#define RSX_STATUS_RS1 (3<<20)
1409#define RSX_STATUS_RS2 (4<<20) /* aka rc6 */
1410#define RSX_STATUS_RSVD (5<<20) /* deep rc6 unsupported on ilk */
1411#define RSX_STATUS_RS3 (6<<20) /* rs3 unsupported on ilk */
1412#define RSX_STATUS_RSVD2 (7<<20)
1413#define UWRCRSXE (1<<19) /* wake counter limit prevents rsx */
1414#define RSCRP (1<<18) /* rs requests control on rs1/2 reqs */
1415#define JRSC (1<<17) /* rsx coupled to cpu c-state */
1416#define RS2INC0 (1<<16) /* allow rs2 in cpu c0 */
1417#define RS1CONTSAV_MASK (3<<14)
1418#define RS1CONTSAV_NO_RS1 (0<<14) /* rs1 doesn't save/restore context */
1419#define RS1CONTSAV_RSVD (1<<14)
1420#define RS1CONTSAV_SAVE_RS1 (2<<14) /* rs1 saves context */
1421#define RS1CONTSAV_FULL_RS1 (3<<14) /* rs1 saves and restores context */
1422#define NORMSLEXLAT_MASK (3<<12)
1423#define SLOW_RS123 (0<<12)
1424#define SLOW_RS23 (1<<12)
1425#define SLOW_RS3 (2<<12)
1426#define NORMAL_RS123 (3<<12)
1427#define RCMODE_TIMEOUT (1<<11) /* 0 is eval interval method */
1428#define IMPROMOEN (1<<10) /* promo is immediate or delayed until next idle interval (only for timeout method above) */
1429#define RCENTSYNC (1<<9) /* rs coupled to cpu c-state (3/6/7) */
1430#define STATELOCK (1<<7) /* locked to rs_cstate if 0 */
1431#define RS_CSTATE_MASK (3<<4)
1432#define RS_CSTATE_C367_RS1 (0<<4)
1433#define RS_CSTATE_C36_RS1_C7_RS2 (1<<4)
1434#define RS_CSTATE_RSVD (2<<4)
1435#define RS_CSTATE_C367_RS2 (3<<4)
1436#define REDSAVES (1<<3) /* no context save if was idle during rs0 */
1437#define REDRESTORES (1<<2) /* no restore if was idle during rs0 */
f97108d1
JB
1438#define VIDCTL 0x111c0
1439#define VIDSTS 0x111c8
1440#define VIDSTART 0x111cc /* 8 bits */
1441#define MEMSTAT_ILK 0x111f8
1442#define MEMSTAT_VID_MASK 0x7f00
1443#define MEMSTAT_VID_SHIFT 8
1444#define MEMSTAT_PSTATE_MASK 0x00f8
1445#define MEMSTAT_PSTATE_SHIFT 3
1446#define MEMSTAT_MON_ACTV (1<<2)
1447#define MEMSTAT_SRC_CTL_MASK 0x0003
1448#define MEMSTAT_SRC_CTL_CORE 0
1449#define MEMSTAT_SRC_CTL_TRB 1
1450#define MEMSTAT_SRC_CTL_THM 2
1451#define MEMSTAT_SRC_CTL_STDBY 3
1452#define RCPREVBSYTUPAVG 0x113b8
1453#define RCPREVBSYTDNAVG 0x113bc
ea056c14
JB
1454#define PMMISC 0x11214
1455#define MCPPCE_EN (1<<0) /* enable PM_MSG from PCH->MPC */
7648fa99
JB
1456#define SDEW 0x1124c
1457#define CSIEW0 0x11250
1458#define CSIEW1 0x11254
1459#define CSIEW2 0x11258
1460#define PEW 0x1125c
1461#define DEW 0x11270
1462#define MCHAFE 0x112c0
1463#define CSIEC 0x112e0
1464#define DMIEC 0x112e4
1465#define DDREC 0x112e8
1466#define PEG0EC 0x112ec
1467#define PEG1EC 0x112f0
1468#define GFXEC 0x112f4
1469#define RPPREVBSYTUPAVG 0x113b8
1470#define RPPREVBSYTDNAVG 0x113bc
1471#define ECR 0x11600
1472#define ECR_GPFE (1<<31)
1473#define ECR_IMONE (1<<30)
1474#define ECR_CAP_MASK 0x0000001f /* Event range, 0-31 */
1475#define OGW0 0x11608
1476#define OGW1 0x1160c
1477#define EG0 0x11610
1478#define EG1 0x11614
1479#define EG2 0x11618
1480#define EG3 0x1161c
1481#define EG4 0x11620
1482#define EG5 0x11624
1483#define EG6 0x11628
1484#define EG7 0x1162c
1485#define PXW 0x11664
1486#define PXWL 0x11680
1487#define LCFUSE02 0x116c0
1488#define LCFUSE_HIV_MASK 0x000000ff
1489#define CSIPLL0 0x12c10
1490#define DDRMPLL1 0X12c20
7d57382e
EA
1491#define PEG_BAND_GAP_DATA 0x14d68
1492
c4de7b0f
CW
1493#define GEN6_GT_THREAD_STATUS_REG 0x13805c
1494#define GEN6_GT_THREAD_STATUS_CORE_MASK 0x7
1495#define GEN6_GT_THREAD_STATUS_CORE_MASK_HSW (0x7 | (0x07 << 16))
1496
3b8d8d91
JB
1497#define GEN6_GT_PERF_STATUS 0x145948
1498#define GEN6_RP_STATE_LIMITS 0x145994
1499#define GEN6_RP_STATE_CAP 0x145998
1500
aa40d6bb
ZN
1501/*
1502 * Logical Context regs
1503 */
1504#define CCID 0x2180
1505#define CCID_EN (1<<0)
fe1cc68f
BW
1506#define CXT_SIZE 0x21a0
1507#define GEN6_CXT_POWER_SIZE(cxt_reg) ((cxt_reg >> 24) & 0x3f)
1508#define GEN6_CXT_RING_SIZE(cxt_reg) ((cxt_reg >> 18) & 0x3f)
1509#define GEN6_CXT_RENDER_SIZE(cxt_reg) ((cxt_reg >> 12) & 0x3f)
1510#define GEN6_CXT_EXTENDED_SIZE(cxt_reg) ((cxt_reg >> 6) & 0x3f)
1511#define GEN6_CXT_PIPELINE_SIZE(cxt_reg) ((cxt_reg >> 0) & 0x3f)
1512#define GEN6_CXT_TOTAL_SIZE(cxt_reg) (GEN6_CXT_POWER_SIZE(cxt_reg) + \
1513 GEN6_CXT_RING_SIZE(cxt_reg) + \
1514 GEN6_CXT_RENDER_SIZE(cxt_reg) + \
1515 GEN6_CXT_EXTENDED_SIZE(cxt_reg) + \
1516 GEN6_CXT_PIPELINE_SIZE(cxt_reg))
4f91dd6f 1517#define GEN7_CXT_SIZE 0x21a8
6a4ea124
BW
1518#define GEN7_CXT_POWER_SIZE(ctx_reg) ((ctx_reg >> 25) & 0x7f)
1519#define GEN7_CXT_RING_SIZE(ctx_reg) ((ctx_reg >> 22) & 0x7)
4f91dd6f
BW
1520#define GEN7_CXT_RENDER_SIZE(ctx_reg) ((ctx_reg >> 16) & 0x3f)
1521#define GEN7_CXT_EXTENDED_SIZE(ctx_reg) ((ctx_reg >> 9) & 0x7f)
1522#define GEN7_CXT_GT1_SIZE(ctx_reg) ((ctx_reg >> 6) & 0x7)
1523#define GEN7_CXT_VFSTATE_SIZE(ctx_reg) ((ctx_reg >> 0) & 0x3f)
6a4ea124
BW
1524#define GEN7_CXT_TOTAL_SIZE(ctx_reg) (GEN7_CXT_POWER_SIZE(ctx_reg) + \
1525 GEN7_CXT_RING_SIZE(ctx_reg) + \
1526 GEN7_CXT_RENDER_SIZE(ctx_reg) + \
4f91dd6f
BW
1527 GEN7_CXT_EXTENDED_SIZE(ctx_reg) + \
1528 GEN7_CXT_GT1_SIZE(ctx_reg) + \
1529 GEN7_CXT_VFSTATE_SIZE(ctx_reg))
2e4291e0
BW
1530#define HSW_CXT_POWER_SIZE(ctx_reg) ((ctx_reg >> 26) & 0x3f)
1531#define HSW_CXT_RING_SIZE(ctx_reg) ((ctx_reg >> 23) & 0x7)
1532#define HSW_CXT_RENDER_SIZE(ctx_reg) ((ctx_reg >> 15) & 0xff)
1533#define HSW_CXT_TOTAL_SIZE(ctx_reg) (HSW_CXT_POWER_SIZE(ctx_reg) + \
1534 HSW_CXT_RING_SIZE(ctx_reg) + \
1535 HSW_CXT_RENDER_SIZE(ctx_reg) + \
1536 GEN7_CXT_VFSTATE_SIZE(ctx_reg))
1537
fe1cc68f 1538
585fb111
JB
1539/*
1540 * Overlay regs
1541 */
1542
1543#define OVADD 0x30000
1544#define DOVSTA 0x30008
1545#define OC_BUF (0x3<<20)
1546#define OGAMC5 0x30010
1547#define OGAMC4 0x30014
1548#define OGAMC3 0x30018
1549#define OGAMC2 0x3001c
1550#define OGAMC1 0x30020
1551#define OGAMC0 0x30024
1552
1553/*
1554 * Display engine regs
1555 */
1556
1557/* Pipe A timing regs */
4e8e7eb7
VS
1558#define _HTOTAL_A (dev_priv->info->display_mmio_offset + 0x60000)
1559#define _HBLANK_A (dev_priv->info->display_mmio_offset + 0x60004)
1560#define _HSYNC_A (dev_priv->info->display_mmio_offset + 0x60008)
1561#define _VTOTAL_A (dev_priv->info->display_mmio_offset + 0x6000c)
1562#define _VBLANK_A (dev_priv->info->display_mmio_offset + 0x60010)
1563#define _VSYNC_A (dev_priv->info->display_mmio_offset + 0x60014)
1564#define _PIPEASRC (dev_priv->info->display_mmio_offset + 0x6001c)
1565#define _BCLRPAT_A (dev_priv->info->display_mmio_offset + 0x60020)
1566#define _VSYNCSHIFT_A (dev_priv->info->display_mmio_offset + 0x60028)
585fb111
JB
1567
1568/* Pipe B timing regs */
4e8e7eb7
VS
1569#define _HTOTAL_B (dev_priv->info->display_mmio_offset + 0x61000)
1570#define _HBLANK_B (dev_priv->info->display_mmio_offset + 0x61004)
1571#define _HSYNC_B (dev_priv->info->display_mmio_offset + 0x61008)
1572#define _VTOTAL_B (dev_priv->info->display_mmio_offset + 0x6100c)
1573#define _VBLANK_B (dev_priv->info->display_mmio_offset + 0x61010)
1574#define _VSYNC_B (dev_priv->info->display_mmio_offset + 0x61014)
1575#define _PIPEBSRC (dev_priv->info->display_mmio_offset + 0x6101c)
1576#define _BCLRPAT_B (dev_priv->info->display_mmio_offset + 0x61020)
1577#define _VSYNCSHIFT_B (dev_priv->info->display_mmio_offset + 0x61028)
0529a0d9 1578
9db4a9c7 1579
fe2b8f9d
PZ
1580#define HTOTAL(trans) _TRANSCODER(trans, _HTOTAL_A, _HTOTAL_B)
1581#define HBLANK(trans) _TRANSCODER(trans, _HBLANK_A, _HBLANK_B)
1582#define HSYNC(trans) _TRANSCODER(trans, _HSYNC_A, _HSYNC_B)
1583#define VTOTAL(trans) _TRANSCODER(trans, _VTOTAL_A, _VTOTAL_B)
1584#define VBLANK(trans) _TRANSCODER(trans, _VBLANK_A, _VBLANK_B)
1585#define VSYNC(trans) _TRANSCODER(trans, _VSYNC_A, _VSYNC_B)
9db4a9c7 1586#define BCLRPAT(pipe) _PIPE(pipe, _BCLRPAT_A, _BCLRPAT_B)
fe2b8f9d 1587#define VSYNCSHIFT(trans) _TRANSCODER(trans, _VSYNCSHIFT_A, _VSYNCSHIFT_B)
5eddb70b 1588
585fb111
JB
1589/* VGA port control */
1590#define ADPA 0x61100
ebc0fd88 1591#define PCH_ADPA 0xe1100
540a8950 1592#define VLV_ADPA (VLV_DISPLAY_BASE + ADPA)
ebc0fd88 1593
585fb111
JB
1594#define ADPA_DAC_ENABLE (1<<31)
1595#define ADPA_DAC_DISABLE 0
1596#define ADPA_PIPE_SELECT_MASK (1<<30)
1597#define ADPA_PIPE_A_SELECT 0
1598#define ADPA_PIPE_B_SELECT (1<<30)
1519b995 1599#define ADPA_PIPE_SELECT(pipe) ((pipe) << 30)
ebc0fd88
DV
1600/* CPT uses bits 29:30 for pch transcoder select */
1601#define ADPA_CRT_HOTPLUG_MASK 0x03ff0000 /* bit 25-16 */
1602#define ADPA_CRT_HOTPLUG_MONITOR_NONE (0<<24)
1603#define ADPA_CRT_HOTPLUG_MONITOR_MASK (3<<24)
1604#define ADPA_CRT_HOTPLUG_MONITOR_COLOR (3<<24)
1605#define ADPA_CRT_HOTPLUG_MONITOR_MONO (2<<24)
1606#define ADPA_CRT_HOTPLUG_ENABLE (1<<23)
1607#define ADPA_CRT_HOTPLUG_PERIOD_64 (0<<22)
1608#define ADPA_CRT_HOTPLUG_PERIOD_128 (1<<22)
1609#define ADPA_CRT_HOTPLUG_WARMUP_5MS (0<<21)
1610#define ADPA_CRT_HOTPLUG_WARMUP_10MS (1<<21)
1611#define ADPA_CRT_HOTPLUG_SAMPLE_2S (0<<20)
1612#define ADPA_CRT_HOTPLUG_SAMPLE_4S (1<<20)
1613#define ADPA_CRT_HOTPLUG_VOLTAGE_40 (0<<18)
1614#define ADPA_CRT_HOTPLUG_VOLTAGE_50 (1<<18)
1615#define ADPA_CRT_HOTPLUG_VOLTAGE_60 (2<<18)
1616#define ADPA_CRT_HOTPLUG_VOLTAGE_70 (3<<18)
1617#define ADPA_CRT_HOTPLUG_VOLREF_325MV (0<<17)
1618#define ADPA_CRT_HOTPLUG_VOLREF_475MV (1<<17)
1619#define ADPA_CRT_HOTPLUG_FORCE_TRIGGER (1<<16)
585fb111
JB
1620#define ADPA_USE_VGA_HVPOLARITY (1<<15)
1621#define ADPA_SETS_HVPOLARITY 0
60222c0c 1622#define ADPA_VSYNC_CNTL_DISABLE (1<<10)
585fb111 1623#define ADPA_VSYNC_CNTL_ENABLE 0
60222c0c 1624#define ADPA_HSYNC_CNTL_DISABLE (1<<11)
585fb111
JB
1625#define ADPA_HSYNC_CNTL_ENABLE 0
1626#define ADPA_VSYNC_ACTIVE_HIGH (1<<4)
1627#define ADPA_VSYNC_ACTIVE_LOW 0
1628#define ADPA_HSYNC_ACTIVE_HIGH (1<<3)
1629#define ADPA_HSYNC_ACTIVE_LOW 0
1630#define ADPA_DPMS_MASK (~(3<<10))
1631#define ADPA_DPMS_ON (0<<10)
1632#define ADPA_DPMS_SUSPEND (1<<10)
1633#define ADPA_DPMS_STANDBY (2<<10)
1634#define ADPA_DPMS_OFF (3<<10)
1635
939fe4d7 1636
585fb111 1637/* Hotplug control (945+ only) */
67d62c57 1638#define PORT_HOTPLUG_EN (dev_priv->info->display_mmio_offset + 0x61110)
26739f12
DV
1639#define PORTB_HOTPLUG_INT_EN (1 << 29)
1640#define PORTC_HOTPLUG_INT_EN (1 << 28)
1641#define PORTD_HOTPLUG_INT_EN (1 << 27)
585fb111
JB
1642#define SDVOB_HOTPLUG_INT_EN (1 << 26)
1643#define SDVOC_HOTPLUG_INT_EN (1 << 25)
1644#define TV_HOTPLUG_INT_EN (1 << 18)
1645#define CRT_HOTPLUG_INT_EN (1 << 9)
e5868a31
EE
1646#define HOTPLUG_INT_EN_MASK (PORTB_HOTPLUG_INT_EN | \
1647 PORTC_HOTPLUG_INT_EN | \
1648 PORTD_HOTPLUG_INT_EN | \
1649 SDVOC_HOTPLUG_INT_EN | \
1650 SDVOB_HOTPLUG_INT_EN | \
1651 CRT_HOTPLUG_INT_EN)
585fb111 1652#define CRT_HOTPLUG_FORCE_DETECT (1 << 3)
771cb081
ZY
1653#define CRT_HOTPLUG_ACTIVATION_PERIOD_32 (0 << 8)
1654/* must use period 64 on GM45 according to docs */
1655#define CRT_HOTPLUG_ACTIVATION_PERIOD_64 (1 << 8)
1656#define CRT_HOTPLUG_DAC_ON_TIME_2M (0 << 7)
1657#define CRT_HOTPLUG_DAC_ON_TIME_4M (1 << 7)
1658#define CRT_HOTPLUG_VOLTAGE_COMPARE_40 (0 << 5)
1659#define CRT_HOTPLUG_VOLTAGE_COMPARE_50 (1 << 5)
1660#define CRT_HOTPLUG_VOLTAGE_COMPARE_60 (2 << 5)
1661#define CRT_HOTPLUG_VOLTAGE_COMPARE_70 (3 << 5)
1662#define CRT_HOTPLUG_VOLTAGE_COMPARE_MASK (3 << 5)
1663#define CRT_HOTPLUG_DETECT_DELAY_1G (0 << 4)
1664#define CRT_HOTPLUG_DETECT_DELAY_2G (1 << 4)
1665#define CRT_HOTPLUG_DETECT_VOLTAGE_325MV (0 << 2)
1666#define CRT_HOTPLUG_DETECT_VOLTAGE_475MV (1 << 2)
585fb111 1667
67d62c57 1668#define PORT_HOTPLUG_STAT (dev_priv->info->display_mmio_offset + 0x61114)
10f76a38 1669/* HDMI/DP bits are gen4+ */
26739f12
DV
1670#define PORTB_HOTPLUG_LIVE_STATUS (1 << 29)
1671#define PORTC_HOTPLUG_LIVE_STATUS (1 << 28)
1672#define PORTD_HOTPLUG_LIVE_STATUS (1 << 27)
1673#define PORTD_HOTPLUG_INT_STATUS (3 << 21)
1674#define PORTC_HOTPLUG_INT_STATUS (3 << 19)
1675#define PORTB_HOTPLUG_INT_STATUS (3 << 17)
084b612e 1676/* CRT/TV common between gen3+ */
585fb111
JB
1677#define CRT_HOTPLUG_INT_STATUS (1 << 11)
1678#define TV_HOTPLUG_INT_STATUS (1 << 10)
1679#define CRT_HOTPLUG_MONITOR_MASK (3 << 8)
1680#define CRT_HOTPLUG_MONITOR_COLOR (3 << 8)
1681#define CRT_HOTPLUG_MONITOR_MONO (2 << 8)
1682#define CRT_HOTPLUG_MONITOR_NONE (0 << 8)
084b612e
CW
1683/* SDVO is different across gen3/4 */
1684#define SDVOC_HOTPLUG_INT_STATUS_G4X (1 << 3)
1685#define SDVOB_HOTPLUG_INT_STATUS_G4X (1 << 2)
1686#define SDVOC_HOTPLUG_INT_STATUS_I965 (3 << 4)
1687#define SDVOB_HOTPLUG_INT_STATUS_I965 (3 << 2)
1688#define SDVOC_HOTPLUG_INT_STATUS_I915 (1 << 7)
1689#define SDVOB_HOTPLUG_INT_STATUS_I915 (1 << 6)
e5868a31
EE
1690#define HOTPLUG_INT_STATUS_G4X (CRT_HOTPLUG_INT_STATUS | \
1691 SDVOB_HOTPLUG_INT_STATUS_G4X | \
1692 SDVOC_HOTPLUG_INT_STATUS_G4X | \
1693 PORTB_HOTPLUG_INT_STATUS | \
1694 PORTC_HOTPLUG_INT_STATUS | \
1695 PORTD_HOTPLUG_INT_STATUS)
1696
1697#define HOTPLUG_INT_STATUS_I965 (CRT_HOTPLUG_INT_STATUS | \
1698 SDVOB_HOTPLUG_INT_STATUS_I965 | \
1699 SDVOC_HOTPLUG_INT_STATUS_I965 | \
1700 PORTB_HOTPLUG_INT_STATUS | \
1701 PORTC_HOTPLUG_INT_STATUS | \
1702 PORTD_HOTPLUG_INT_STATUS)
1703
1704#define HOTPLUG_INT_STATUS_I915 (CRT_HOTPLUG_INT_STATUS | \
1705 SDVOB_HOTPLUG_INT_STATUS_I915 | \
1706 SDVOC_HOTPLUG_INT_STATUS_I915 | \
1707 PORTB_HOTPLUG_INT_STATUS | \
1708 PORTC_HOTPLUG_INT_STATUS | \
1709 PORTD_HOTPLUG_INT_STATUS)
585fb111 1710
c20cd312
PZ
1711/* SDVO and HDMI port control.
1712 * The same register may be used for SDVO or HDMI */
1713#define GEN3_SDVOB 0x61140
1714#define GEN3_SDVOC 0x61160
1715#define GEN4_HDMIB GEN3_SDVOB
1716#define GEN4_HDMIC GEN3_SDVOC
1717#define PCH_SDVOB 0xe1140
1718#define PCH_HDMIB PCH_SDVOB
1719#define PCH_HDMIC 0xe1150
1720#define PCH_HDMID 0xe1160
1721
1722/* Gen 3 SDVO bits: */
1723#define SDVO_ENABLE (1 << 31)
dc0fa718
PZ
1724#define SDVO_PIPE_SEL(pipe) ((pipe) << 30)
1725#define SDVO_PIPE_SEL_MASK (1 << 30)
c20cd312
PZ
1726#define SDVO_PIPE_B_SELECT (1 << 30)
1727#define SDVO_STALL_SELECT (1 << 29)
1728#define SDVO_INTERRUPT_ENABLE (1 << 26)
585fb111
JB
1729/**
1730 * 915G/GM SDVO pixel multiplier.
585fb111 1731 * Programmed value is multiplier - 1, up to 5x.
585fb111
JB
1732 * \sa DPLL_MD_UDI_MULTIPLIER_MASK
1733 */
c20cd312 1734#define SDVO_PORT_MULTIPLY_MASK (7 << 23)
585fb111 1735#define SDVO_PORT_MULTIPLY_SHIFT 23
c20cd312
PZ
1736#define SDVO_PHASE_SELECT_MASK (15 << 19)
1737#define SDVO_PHASE_SELECT_DEFAULT (6 << 19)
1738#define SDVO_CLOCK_OUTPUT_INVERT (1 << 18)
1739#define SDVOC_GANG_MODE (1 << 16) /* Port C only */
1740#define SDVO_BORDER_ENABLE (1 << 7) /* SDVO only */
1741#define SDVOB_PCIE_CONCURRENCY (1 << 3) /* Port B only */
1742#define SDVO_DETECTED (1 << 2)
585fb111 1743/* Bits to be preserved when writing */
c20cd312
PZ
1744#define SDVOB_PRESERVE_MASK ((1 << 17) | (1 << 16) | (1 << 14) | \
1745 SDVO_INTERRUPT_ENABLE)
1746#define SDVOC_PRESERVE_MASK ((1 << 17) | SDVO_INTERRUPT_ENABLE)
1747
1748/* Gen 4 SDVO/HDMI bits: */
4f3a8bc7 1749#define SDVO_COLOR_FORMAT_8bpc (0 << 26)
c20cd312
PZ
1750#define SDVO_ENCODING_SDVO (0 << 10)
1751#define SDVO_ENCODING_HDMI (2 << 10)
dc0fa718
PZ
1752#define HDMI_MODE_SELECT_HDMI (1 << 9) /* HDMI only */
1753#define HDMI_MODE_SELECT_DVI (0 << 9) /* HDMI only */
4f3a8bc7 1754#define HDMI_COLOR_RANGE_16_235 (1 << 8) /* HDMI only */
c20cd312
PZ
1755#define SDVO_AUDIO_ENABLE (1 << 6)
1756/* VSYNC/HSYNC bits new with 965, default is to be set */
1757#define SDVO_VSYNC_ACTIVE_HIGH (1 << 4)
1758#define SDVO_HSYNC_ACTIVE_HIGH (1 << 3)
1759
1760/* Gen 5 (IBX) SDVO/HDMI bits: */
4f3a8bc7 1761#define HDMI_COLOR_FORMAT_12bpc (3 << 26) /* HDMI only */
c20cd312
PZ
1762#define SDVOB_HOTPLUG_ENABLE (1 << 23) /* SDVO only */
1763
1764/* Gen 6 (CPT) SDVO/HDMI bits: */
dc0fa718
PZ
1765#define SDVO_PIPE_SEL_CPT(pipe) ((pipe) << 29)
1766#define SDVO_PIPE_SEL_MASK_CPT (3 << 29)
c20cd312 1767
585fb111
JB
1768
1769/* DVO port control */
1770#define DVOA 0x61120
1771#define DVOB 0x61140
1772#define DVOC 0x61160
1773#define DVO_ENABLE (1 << 31)
1774#define DVO_PIPE_B_SELECT (1 << 30)
1775#define DVO_PIPE_STALL_UNUSED (0 << 28)
1776#define DVO_PIPE_STALL (1 << 28)
1777#define DVO_PIPE_STALL_TV (2 << 28)
1778#define DVO_PIPE_STALL_MASK (3 << 28)
1779#define DVO_USE_VGA_SYNC (1 << 15)
1780#define DVO_DATA_ORDER_I740 (0 << 14)
1781#define DVO_DATA_ORDER_FP (1 << 14)
1782#define DVO_VSYNC_DISABLE (1 << 11)
1783#define DVO_HSYNC_DISABLE (1 << 10)
1784#define DVO_VSYNC_TRISTATE (1 << 9)
1785#define DVO_HSYNC_TRISTATE (1 << 8)
1786#define DVO_BORDER_ENABLE (1 << 7)
1787#define DVO_DATA_ORDER_GBRG (1 << 6)
1788#define DVO_DATA_ORDER_RGGB (0 << 6)
1789#define DVO_DATA_ORDER_GBRG_ERRATA (0 << 6)
1790#define DVO_DATA_ORDER_RGGB_ERRATA (1 << 6)
1791#define DVO_VSYNC_ACTIVE_HIGH (1 << 4)
1792#define DVO_HSYNC_ACTIVE_HIGH (1 << 3)
1793#define DVO_BLANK_ACTIVE_HIGH (1 << 2)
1794#define DVO_OUTPUT_CSTATE_PIXELS (1 << 1) /* SDG only */
1795#define DVO_OUTPUT_SOURCE_SIZE_PIXELS (1 << 0) /* SDG only */
1796#define DVO_PRESERVE_MASK (0x7<<24)
1797#define DVOA_SRCDIM 0x61124
1798#define DVOB_SRCDIM 0x61144
1799#define DVOC_SRCDIM 0x61164
1800#define DVO_SRCDIM_HORIZONTAL_SHIFT 12
1801#define DVO_SRCDIM_VERTICAL_SHIFT 0
1802
1803/* LVDS port control */
1804#define LVDS 0x61180
1805/*
1806 * Enables the LVDS port. This bit must be set before DPLLs are enabled, as
1807 * the DPLL semantics change when the LVDS is assigned to that pipe.
1808 */
1809#define LVDS_PORT_EN (1 << 31)
1810/* Selects pipe B for LVDS data. Must be set on pre-965. */
1811#define LVDS_PIPEB_SELECT (1 << 30)
47a05eca 1812#define LVDS_PIPE_MASK (1 << 30)
1519b995 1813#define LVDS_PIPE(pipe) ((pipe) << 30)
898822ce
ZY
1814/* LVDS dithering flag on 965/g4x platform */
1815#define LVDS_ENABLE_DITHER (1 << 25)
aa9b500d
BF
1816/* LVDS sync polarity flags. Set to invert (i.e. negative) */
1817#define LVDS_VSYNC_POLARITY (1 << 21)
1818#define LVDS_HSYNC_POLARITY (1 << 20)
1819
a3e17eb8
ZY
1820/* Enable border for unscaled (or aspect-scaled) display */
1821#define LVDS_BORDER_ENABLE (1 << 15)
585fb111
JB
1822/*
1823 * Enables the A0-A2 data pairs and CLKA, containing 18 bits of color data per
1824 * pixel.
1825 */
1826#define LVDS_A0A2_CLKA_POWER_MASK (3 << 8)
1827#define LVDS_A0A2_CLKA_POWER_DOWN (0 << 8)
1828#define LVDS_A0A2_CLKA_POWER_UP (3 << 8)
1829/*
1830 * Controls the A3 data pair, which contains the additional LSBs for 24 bit
1831 * mode. Only enabled if LVDS_A0A2_CLKA_POWER_UP also indicates it should be
1832 * on.
1833 */
1834#define LVDS_A3_POWER_MASK (3 << 6)
1835#define LVDS_A3_POWER_DOWN (0 << 6)
1836#define LVDS_A3_POWER_UP (3 << 6)
1837/*
1838 * Controls the CLKB pair. This should only be set when LVDS_B0B3_POWER_UP
1839 * is set.
1840 */
1841#define LVDS_CLKB_POWER_MASK (3 << 4)
1842#define LVDS_CLKB_POWER_DOWN (0 << 4)
1843#define LVDS_CLKB_POWER_UP (3 << 4)
1844/*
1845 * Controls the B0-B3 data pairs. This must be set to match the DPLL p2
1846 * setting for whether we are in dual-channel mode. The B3 pair will
1847 * additionally only be powered up when LVDS_A3_POWER_UP is set.
1848 */
1849#define LVDS_B0B3_POWER_MASK (3 << 2)
1850#define LVDS_B0B3_POWER_DOWN (0 << 2)
1851#define LVDS_B0B3_POWER_UP (3 << 2)
1852
3c17fe4b
DH
1853/* Video Data Island Packet control */
1854#define VIDEO_DIP_DATA 0x61178
adf00b26
PZ
1855/* Read the description of VIDEO_DIP_DATA (before Haswel) or VIDEO_DIP_ECC
1856 * (Haswell and newer) to see which VIDEO_DIP_DATA byte corresponds to each byte
1857 * of the infoframe structure specified by CEA-861. */
1858#define VIDEO_DIP_DATA_SIZE 32
3c17fe4b 1859#define VIDEO_DIP_CTL 0x61170
2da8af54 1860/* Pre HSW: */
3c17fe4b
DH
1861#define VIDEO_DIP_ENABLE (1 << 31)
1862#define VIDEO_DIP_PORT_B (1 << 29)
1863#define VIDEO_DIP_PORT_C (2 << 29)
4e89ee17 1864#define VIDEO_DIP_PORT_D (3 << 29)
3e6e6395 1865#define VIDEO_DIP_PORT_MASK (3 << 29)
0dd87d20 1866#define VIDEO_DIP_ENABLE_GCP (1 << 25)
3c17fe4b
DH
1867#define VIDEO_DIP_ENABLE_AVI (1 << 21)
1868#define VIDEO_DIP_ENABLE_VENDOR (2 << 21)
0dd87d20 1869#define VIDEO_DIP_ENABLE_GAMUT (4 << 21)
3c17fe4b
DH
1870#define VIDEO_DIP_ENABLE_SPD (8 << 21)
1871#define VIDEO_DIP_SELECT_AVI (0 << 19)
1872#define VIDEO_DIP_SELECT_VENDOR (1 << 19)
1873#define VIDEO_DIP_SELECT_SPD (3 << 19)
45187ace 1874#define VIDEO_DIP_SELECT_MASK (3 << 19)
3c17fe4b
DH
1875#define VIDEO_DIP_FREQ_ONCE (0 << 16)
1876#define VIDEO_DIP_FREQ_VSYNC (1 << 16)
1877#define VIDEO_DIP_FREQ_2VSYNC (2 << 16)
60c5ea2d 1878#define VIDEO_DIP_FREQ_MASK (3 << 16)
2da8af54 1879/* HSW and later: */
0dd87d20
PZ
1880#define VIDEO_DIP_ENABLE_VSC_HSW (1 << 20)
1881#define VIDEO_DIP_ENABLE_GCP_HSW (1 << 16)
2da8af54 1882#define VIDEO_DIP_ENABLE_AVI_HSW (1 << 12)
0dd87d20
PZ
1883#define VIDEO_DIP_ENABLE_VS_HSW (1 << 8)
1884#define VIDEO_DIP_ENABLE_GMP_HSW (1 << 4)
2da8af54 1885#define VIDEO_DIP_ENABLE_SPD_HSW (1 << 0)
3c17fe4b 1886
585fb111
JB
1887/* Panel power sequencing */
1888#define PP_STATUS 0x61200
1889#define PP_ON (1 << 31)
1890/*
1891 * Indicates that all dependencies of the panel are on:
1892 *
1893 * - PLL enabled
1894 * - pipe enabled
1895 * - LVDS/DVOB/DVOC on
1896 */
1897#define PP_READY (1 << 30)
1898#define PP_SEQUENCE_NONE (0 << 28)
99ea7127
KP
1899#define PP_SEQUENCE_POWER_UP (1 << 28)
1900#define PP_SEQUENCE_POWER_DOWN (2 << 28)
1901#define PP_SEQUENCE_MASK (3 << 28)
1902#define PP_SEQUENCE_SHIFT 28
01cb9ea6 1903#define PP_CYCLE_DELAY_ACTIVE (1 << 27)
01cb9ea6 1904#define PP_SEQUENCE_STATE_MASK 0x0000000f
99ea7127
KP
1905#define PP_SEQUENCE_STATE_OFF_IDLE (0x0 << 0)
1906#define PP_SEQUENCE_STATE_OFF_S0_1 (0x1 << 0)
1907#define PP_SEQUENCE_STATE_OFF_S0_2 (0x2 << 0)
1908#define PP_SEQUENCE_STATE_OFF_S0_3 (0x3 << 0)
1909#define PP_SEQUENCE_STATE_ON_IDLE (0x8 << 0)
1910#define PP_SEQUENCE_STATE_ON_S1_0 (0x9 << 0)
1911#define PP_SEQUENCE_STATE_ON_S1_2 (0xa << 0)
1912#define PP_SEQUENCE_STATE_ON_S1_3 (0xb << 0)
1913#define PP_SEQUENCE_STATE_RESET (0xf << 0)
585fb111
JB
1914#define PP_CONTROL 0x61204
1915#define POWER_TARGET_ON (1 << 0)
1916#define PP_ON_DELAYS 0x61208
1917#define PP_OFF_DELAYS 0x6120c
1918#define PP_DIVISOR 0x61210
1919
1920/* Panel fitting */
7e470abf 1921#define PFIT_CONTROL (dev_priv->info->display_mmio_offset + 0x61230)
585fb111
JB
1922#define PFIT_ENABLE (1 << 31)
1923#define PFIT_PIPE_MASK (3 << 29)
1924#define PFIT_PIPE_SHIFT 29
1925#define VERT_INTERP_DISABLE (0 << 10)
1926#define VERT_INTERP_BILINEAR (1 << 10)
1927#define VERT_INTERP_MASK (3 << 10)
1928#define VERT_AUTO_SCALE (1 << 9)
1929#define HORIZ_INTERP_DISABLE (0 << 6)
1930#define HORIZ_INTERP_BILINEAR (1 << 6)
1931#define HORIZ_INTERP_MASK (3 << 6)
1932#define HORIZ_AUTO_SCALE (1 << 5)
1933#define PANEL_8TO6_DITHER_ENABLE (1 << 3)
3fbe18d6
ZY
1934#define PFIT_FILTER_FUZZY (0 << 24)
1935#define PFIT_SCALING_AUTO (0 << 26)
1936#define PFIT_SCALING_PROGRAMMED (1 << 26)
1937#define PFIT_SCALING_PILLAR (2 << 26)
1938#define PFIT_SCALING_LETTER (3 << 26)
7e470abf 1939#define PFIT_PGM_RATIOS (dev_priv->info->display_mmio_offset + 0x61234)
3fbe18d6
ZY
1940/* Pre-965 */
1941#define PFIT_VERT_SCALE_SHIFT 20
1942#define PFIT_VERT_SCALE_MASK 0xfff00000
1943#define PFIT_HORIZ_SCALE_SHIFT 4
1944#define PFIT_HORIZ_SCALE_MASK 0x0000fff0
1945/* 965+ */
1946#define PFIT_VERT_SCALE_SHIFT_965 16
1947#define PFIT_VERT_SCALE_MASK_965 0x1fff0000
1948#define PFIT_HORIZ_SCALE_SHIFT_965 0
1949#define PFIT_HORIZ_SCALE_MASK_965 0x00001fff
1950
7e470abf 1951#define PFIT_AUTO_RATIOS (dev_priv->info->display_mmio_offset + 0x61238)
585fb111
JB
1952
1953/* Backlight control */
12569ad6 1954#define BLC_PWM_CTL2 (dev_priv->info->display_mmio_offset + 0x61250) /* 965+ only */
7cf41601
DV
1955#define BLM_PWM_ENABLE (1 << 31)
1956#define BLM_COMBINATION_MODE (1 << 30) /* gen4 only */
1957#define BLM_PIPE_SELECT (1 << 29)
1958#define BLM_PIPE_SELECT_IVB (3 << 29)
1959#define BLM_PIPE_A (0 << 29)
1960#define BLM_PIPE_B (1 << 29)
1961#define BLM_PIPE_C (2 << 29) /* ivb + */
1962#define BLM_PIPE(pipe) ((pipe) << 29)
1963#define BLM_POLARITY_I965 (1 << 28) /* gen4 only */
1964#define BLM_PHASE_IN_INTERUPT_STATUS (1 << 26)
1965#define BLM_PHASE_IN_ENABLE (1 << 25)
1966#define BLM_PHASE_IN_INTERUPT_ENABL (1 << 24)
1967#define BLM_PHASE_IN_TIME_BASE_SHIFT (16)
1968#define BLM_PHASE_IN_TIME_BASE_MASK (0xff << 16)
1969#define BLM_PHASE_IN_COUNT_SHIFT (8)
1970#define BLM_PHASE_IN_COUNT_MASK (0xff << 8)
1971#define BLM_PHASE_IN_INCR_SHIFT (0)
1972#define BLM_PHASE_IN_INCR_MASK (0xff << 0)
12569ad6 1973#define BLC_PWM_CTL (dev_priv->info->display_mmio_offset + 0x61254)
ba3820ad
TI
1974/*
1975 * This is the most significant 15 bits of the number of backlight cycles in a
1976 * complete cycle of the modulated backlight control.
1977 *
1978 * The actual value is this field multiplied by two.
1979 */
7cf41601
DV
1980#define BACKLIGHT_MODULATION_FREQ_SHIFT (17)
1981#define BACKLIGHT_MODULATION_FREQ_MASK (0x7fff << 17)
1982#define BLM_LEGACY_MODE (1 << 16) /* gen2 only */
585fb111
JB
1983/*
1984 * This is the number of cycles out of the backlight modulation cycle for which
1985 * the backlight is on.
1986 *
1987 * This field must be no greater than the number of cycles in the complete
1988 * backlight modulation cycle.
1989 */
1990#define BACKLIGHT_DUTY_CYCLE_SHIFT (0)
1991#define BACKLIGHT_DUTY_CYCLE_MASK (0xffff)
534b5a53
DV
1992#define BACKLIGHT_DUTY_CYCLE_MASK_PNV (0xfffe)
1993#define BLM_POLARITY_PNV (1 << 0) /* pnv only */
585fb111 1994
12569ad6 1995#define BLC_HIST_CTL (dev_priv->info->display_mmio_offset + 0x61260)
0eb96d6e 1996
7cf41601
DV
1997/* New registers for PCH-split platforms. Safe where new bits show up, the
1998 * register layout machtes with gen4 BLC_PWM_CTL[12]. */
1999#define BLC_PWM_CPU_CTL2 0x48250
2000#define BLC_PWM_CPU_CTL 0x48254
2001
2002/* PCH CTL1 is totally different, all but the below bits are reserved. CTL2 is
2003 * like the normal CTL from gen4 and earlier. Hooray for confusing naming. */
2004#define BLC_PWM_PCH_CTL1 0xc8250
4b4147c3 2005#define BLM_PCH_PWM_ENABLE (1 << 31)
7cf41601
DV
2006#define BLM_PCH_OVERRIDE_ENABLE (1 << 30)
2007#define BLM_PCH_POLARITY (1 << 29)
2008#define BLC_PWM_PCH_CTL2 0xc8254
2009
585fb111
JB
2010/* TV port control */
2011#define TV_CTL 0x68000
2012/** Enables the TV encoder */
2013# define TV_ENC_ENABLE (1 << 31)
2014/** Sources the TV encoder input from pipe B instead of A. */
2015# define TV_ENC_PIPEB_SELECT (1 << 30)
2016/** Outputs composite video (DAC A only) */
2017# define TV_ENC_OUTPUT_COMPOSITE (0 << 28)
2018/** Outputs SVideo video (DAC B/C) */
2019# define TV_ENC_OUTPUT_SVIDEO (1 << 28)
2020/** Outputs Component video (DAC A/B/C) */
2021# define TV_ENC_OUTPUT_COMPONENT (2 << 28)
2022/** Outputs Composite and SVideo (DAC A/B/C) */
2023# define TV_ENC_OUTPUT_SVIDEO_COMPOSITE (3 << 28)
2024# define TV_TRILEVEL_SYNC (1 << 21)
2025/** Enables slow sync generation (945GM only) */
2026# define TV_SLOW_SYNC (1 << 20)
2027/** Selects 4x oversampling for 480i and 576p */
2028# define TV_OVERSAMPLE_4X (0 << 18)
2029/** Selects 2x oversampling for 720p and 1080i */
2030# define TV_OVERSAMPLE_2X (1 << 18)
2031/** Selects no oversampling for 1080p */
2032# define TV_OVERSAMPLE_NONE (2 << 18)
2033/** Selects 8x oversampling */
2034# define TV_OVERSAMPLE_8X (3 << 18)
2035/** Selects progressive mode rather than interlaced */
2036# define TV_PROGRESSIVE (1 << 17)
2037/** Sets the colorburst to PAL mode. Required for non-M PAL modes. */
2038# define TV_PAL_BURST (1 << 16)
2039/** Field for setting delay of Y compared to C */
2040# define TV_YC_SKEW_MASK (7 << 12)
2041/** Enables a fix for 480p/576p standard definition modes on the 915GM only */
2042# define TV_ENC_SDP_FIX (1 << 11)
2043/**
2044 * Enables a fix for the 915GM only.
2045 *
2046 * Not sure what it does.
2047 */
2048# define TV_ENC_C0_FIX (1 << 10)
2049/** Bits that must be preserved by software */
d2d9f232 2050# define TV_CTL_SAVE ((1 << 11) | (3 << 9) | (7 << 6) | 0xf)
585fb111
JB
2051# define TV_FUSE_STATE_MASK (3 << 4)
2052/** Read-only state that reports all features enabled */
2053# define TV_FUSE_STATE_ENABLED (0 << 4)
2054/** Read-only state that reports that Macrovision is disabled in hardware*/
2055# define TV_FUSE_STATE_NO_MACROVISION (1 << 4)
2056/** Read-only state that reports that TV-out is disabled in hardware. */
2057# define TV_FUSE_STATE_DISABLED (2 << 4)
2058/** Normal operation */
2059# define TV_TEST_MODE_NORMAL (0 << 0)
2060/** Encoder test pattern 1 - combo pattern */
2061# define TV_TEST_MODE_PATTERN_1 (1 << 0)
2062/** Encoder test pattern 2 - full screen vertical 75% color bars */
2063# define TV_TEST_MODE_PATTERN_2 (2 << 0)
2064/** Encoder test pattern 3 - full screen horizontal 75% color bars */
2065# define TV_TEST_MODE_PATTERN_3 (3 << 0)
2066/** Encoder test pattern 4 - random noise */
2067# define TV_TEST_MODE_PATTERN_4 (4 << 0)
2068/** Encoder test pattern 5 - linear color ramps */
2069# define TV_TEST_MODE_PATTERN_5 (5 << 0)
2070/**
2071 * This test mode forces the DACs to 50% of full output.
2072 *
2073 * This is used for load detection in combination with TVDAC_SENSE_MASK
2074 */
2075# define TV_TEST_MODE_MONITOR_DETECT (7 << 0)
2076# define TV_TEST_MODE_MASK (7 << 0)
2077
2078#define TV_DAC 0x68004
b8ed2a4f 2079# define TV_DAC_SAVE 0x00ffff00
585fb111
JB
2080/**
2081 * Reports that DAC state change logic has reported change (RO).
2082 *
2083 * This gets cleared when TV_DAC_STATE_EN is cleared
2084*/
2085# define TVDAC_STATE_CHG (1 << 31)
2086# define TVDAC_SENSE_MASK (7 << 28)
2087/** Reports that DAC A voltage is above the detect threshold */
2088# define TVDAC_A_SENSE (1 << 30)
2089/** Reports that DAC B voltage is above the detect threshold */
2090# define TVDAC_B_SENSE (1 << 29)
2091/** Reports that DAC C voltage is above the detect threshold */
2092# define TVDAC_C_SENSE (1 << 28)
2093/**
2094 * Enables DAC state detection logic, for load-based TV detection.
2095 *
2096 * The PLL of the chosen pipe (in TV_CTL) must be running, and the encoder set
2097 * to off, for load detection to work.
2098 */
2099# define TVDAC_STATE_CHG_EN (1 << 27)
2100/** Sets the DAC A sense value to high */
2101# define TVDAC_A_SENSE_CTL (1 << 26)
2102/** Sets the DAC B sense value to high */
2103# define TVDAC_B_SENSE_CTL (1 << 25)
2104/** Sets the DAC C sense value to high */
2105# define TVDAC_C_SENSE_CTL (1 << 24)
2106/** Overrides the ENC_ENABLE and DAC voltage levels */
2107# define DAC_CTL_OVERRIDE (1 << 7)
2108/** Sets the slew rate. Must be preserved in software */
2109# define ENC_TVDAC_SLEW_FAST (1 << 6)
2110# define DAC_A_1_3_V (0 << 4)
2111# define DAC_A_1_1_V (1 << 4)
2112# define DAC_A_0_7_V (2 << 4)
cb66c692 2113# define DAC_A_MASK (3 << 4)
585fb111
JB
2114# define DAC_B_1_3_V (0 << 2)
2115# define DAC_B_1_1_V (1 << 2)
2116# define DAC_B_0_7_V (2 << 2)
cb66c692 2117# define DAC_B_MASK (3 << 2)
585fb111
JB
2118# define DAC_C_1_3_V (0 << 0)
2119# define DAC_C_1_1_V (1 << 0)
2120# define DAC_C_0_7_V (2 << 0)
cb66c692 2121# define DAC_C_MASK (3 << 0)
585fb111
JB
2122
2123/**
2124 * CSC coefficients are stored in a floating point format with 9 bits of
2125 * mantissa and 2 or 3 bits of exponent. The exponent is represented as 2**-n,
2126 * where 2-bit exponents are unsigned n, and 3-bit exponents are signed n with
2127 * -1 (0x3) being the only legal negative value.
2128 */
2129#define TV_CSC_Y 0x68010
2130# define TV_RY_MASK 0x07ff0000
2131# define TV_RY_SHIFT 16
2132# define TV_GY_MASK 0x00000fff
2133# define TV_GY_SHIFT 0
2134
2135#define TV_CSC_Y2 0x68014
2136# define TV_BY_MASK 0x07ff0000
2137# define TV_BY_SHIFT 16
2138/**
2139 * Y attenuation for component video.
2140 *
2141 * Stored in 1.9 fixed point.
2142 */
2143# define TV_AY_MASK 0x000003ff
2144# define TV_AY_SHIFT 0
2145
2146#define TV_CSC_U 0x68018
2147# define TV_RU_MASK 0x07ff0000
2148# define TV_RU_SHIFT 16
2149# define TV_GU_MASK 0x000007ff
2150# define TV_GU_SHIFT 0
2151
2152#define TV_CSC_U2 0x6801c
2153# define TV_BU_MASK 0x07ff0000
2154# define TV_BU_SHIFT 16
2155/**
2156 * U attenuation for component video.
2157 *
2158 * Stored in 1.9 fixed point.
2159 */
2160# define TV_AU_MASK 0x000003ff
2161# define TV_AU_SHIFT 0
2162
2163#define TV_CSC_V 0x68020
2164# define TV_RV_MASK 0x0fff0000
2165# define TV_RV_SHIFT 16
2166# define TV_GV_MASK 0x000007ff
2167# define TV_GV_SHIFT 0
2168
2169#define TV_CSC_V2 0x68024
2170# define TV_BV_MASK 0x07ff0000
2171# define TV_BV_SHIFT 16
2172/**
2173 * V attenuation for component video.
2174 *
2175 * Stored in 1.9 fixed point.
2176 */
2177# define TV_AV_MASK 0x000007ff
2178# define TV_AV_SHIFT 0
2179
2180#define TV_CLR_KNOBS 0x68028
2181/** 2s-complement brightness adjustment */
2182# define TV_BRIGHTNESS_MASK 0xff000000
2183# define TV_BRIGHTNESS_SHIFT 24
2184/** Contrast adjustment, as a 2.6 unsigned floating point number */
2185# define TV_CONTRAST_MASK 0x00ff0000
2186# define TV_CONTRAST_SHIFT 16
2187/** Saturation adjustment, as a 2.6 unsigned floating point number */
2188# define TV_SATURATION_MASK 0x0000ff00
2189# define TV_SATURATION_SHIFT 8
2190/** Hue adjustment, as an integer phase angle in degrees */
2191# define TV_HUE_MASK 0x000000ff
2192# define TV_HUE_SHIFT 0
2193
2194#define TV_CLR_LEVEL 0x6802c
2195/** Controls the DAC level for black */
2196# define TV_BLACK_LEVEL_MASK 0x01ff0000
2197# define TV_BLACK_LEVEL_SHIFT 16
2198/** Controls the DAC level for blanking */
2199# define TV_BLANK_LEVEL_MASK 0x000001ff
2200# define TV_BLANK_LEVEL_SHIFT 0
2201
2202#define TV_H_CTL_1 0x68030
2203/** Number of pixels in the hsync. */
2204# define TV_HSYNC_END_MASK 0x1fff0000
2205# define TV_HSYNC_END_SHIFT 16
2206/** Total number of pixels minus one in the line (display and blanking). */
2207# define TV_HTOTAL_MASK 0x00001fff
2208# define TV_HTOTAL_SHIFT 0
2209
2210#define TV_H_CTL_2 0x68034
2211/** Enables the colorburst (needed for non-component color) */
2212# define TV_BURST_ENA (1 << 31)
2213/** Offset of the colorburst from the start of hsync, in pixels minus one. */
2214# define TV_HBURST_START_SHIFT 16
2215# define TV_HBURST_START_MASK 0x1fff0000
2216/** Length of the colorburst */
2217# define TV_HBURST_LEN_SHIFT 0
2218# define TV_HBURST_LEN_MASK 0x0001fff
2219
2220#define TV_H_CTL_3 0x68038
2221/** End of hblank, measured in pixels minus one from start of hsync */
2222# define TV_HBLANK_END_SHIFT 16
2223# define TV_HBLANK_END_MASK 0x1fff0000
2224/** Start of hblank, measured in pixels minus one from start of hsync */
2225# define TV_HBLANK_START_SHIFT 0
2226# define TV_HBLANK_START_MASK 0x0001fff
2227
2228#define TV_V_CTL_1 0x6803c
2229/** XXX */
2230# define TV_NBR_END_SHIFT 16
2231# define TV_NBR_END_MASK 0x07ff0000
2232/** XXX */
2233# define TV_VI_END_F1_SHIFT 8
2234# define TV_VI_END_F1_MASK 0x00003f00
2235/** XXX */
2236# define TV_VI_END_F2_SHIFT 0
2237# define TV_VI_END_F2_MASK 0x0000003f
2238
2239#define TV_V_CTL_2 0x68040
2240/** Length of vsync, in half lines */
2241# define TV_VSYNC_LEN_MASK 0x07ff0000
2242# define TV_VSYNC_LEN_SHIFT 16
2243/** Offset of the start of vsync in field 1, measured in one less than the
2244 * number of half lines.
2245 */
2246# define TV_VSYNC_START_F1_MASK 0x00007f00
2247# define TV_VSYNC_START_F1_SHIFT 8
2248/**
2249 * Offset of the start of vsync in field 2, measured in one less than the
2250 * number of half lines.
2251 */
2252# define TV_VSYNC_START_F2_MASK 0x0000007f
2253# define TV_VSYNC_START_F2_SHIFT 0
2254
2255#define TV_V_CTL_3 0x68044
2256/** Enables generation of the equalization signal */
2257# define TV_EQUAL_ENA (1 << 31)
2258/** Length of vsync, in half lines */
2259# define TV_VEQ_LEN_MASK 0x007f0000
2260# define TV_VEQ_LEN_SHIFT 16
2261/** Offset of the start of equalization in field 1, measured in one less than
2262 * the number of half lines.
2263 */
2264# define TV_VEQ_START_F1_MASK 0x0007f00
2265# define TV_VEQ_START_F1_SHIFT 8
2266/**
2267 * Offset of the start of equalization in field 2, measured in one less than
2268 * the number of half lines.
2269 */
2270# define TV_VEQ_START_F2_MASK 0x000007f
2271# define TV_VEQ_START_F2_SHIFT 0
2272
2273#define TV_V_CTL_4 0x68048
2274/**
2275 * Offset to start of vertical colorburst, measured in one less than the
2276 * number of lines from vertical start.
2277 */
2278# define TV_VBURST_START_F1_MASK 0x003f0000
2279# define TV_VBURST_START_F1_SHIFT 16
2280/**
2281 * Offset to the end of vertical colorburst, measured in one less than the
2282 * number of lines from the start of NBR.
2283 */
2284# define TV_VBURST_END_F1_MASK 0x000000ff
2285# define TV_VBURST_END_F1_SHIFT 0
2286
2287#define TV_V_CTL_5 0x6804c
2288/**
2289 * Offset to start of vertical colorburst, measured in one less than the
2290 * number of lines from vertical start.
2291 */
2292# define TV_VBURST_START_F2_MASK 0x003f0000
2293# define TV_VBURST_START_F2_SHIFT 16
2294/**
2295 * Offset to the end of vertical colorburst, measured in one less than the
2296 * number of lines from the start of NBR.
2297 */
2298# define TV_VBURST_END_F2_MASK 0x000000ff
2299# define TV_VBURST_END_F2_SHIFT 0
2300
2301#define TV_V_CTL_6 0x68050
2302/**
2303 * Offset to start of vertical colorburst, measured in one less than the
2304 * number of lines from vertical start.
2305 */
2306# define TV_VBURST_START_F3_MASK 0x003f0000
2307# define TV_VBURST_START_F3_SHIFT 16
2308/**
2309 * Offset to the end of vertical colorburst, measured in one less than the
2310 * number of lines from the start of NBR.
2311 */
2312# define TV_VBURST_END_F3_MASK 0x000000ff
2313# define TV_VBURST_END_F3_SHIFT 0
2314
2315#define TV_V_CTL_7 0x68054
2316/**
2317 * Offset to start of vertical colorburst, measured in one less than the
2318 * number of lines from vertical start.
2319 */
2320# define TV_VBURST_START_F4_MASK 0x003f0000
2321# define TV_VBURST_START_F4_SHIFT 16
2322/**
2323 * Offset to the end of vertical colorburst, measured in one less than the
2324 * number of lines from the start of NBR.
2325 */
2326# define TV_VBURST_END_F4_MASK 0x000000ff
2327# define TV_VBURST_END_F4_SHIFT 0
2328
2329#define TV_SC_CTL_1 0x68060
2330/** Turns on the first subcarrier phase generation DDA */
2331# define TV_SC_DDA1_EN (1 << 31)
2332/** Turns on the first subcarrier phase generation DDA */
2333# define TV_SC_DDA2_EN (1 << 30)
2334/** Turns on the first subcarrier phase generation DDA */
2335# define TV_SC_DDA3_EN (1 << 29)
2336/** Sets the subcarrier DDA to reset frequency every other field */
2337# define TV_SC_RESET_EVERY_2 (0 << 24)
2338/** Sets the subcarrier DDA to reset frequency every fourth field */
2339# define TV_SC_RESET_EVERY_4 (1 << 24)
2340/** Sets the subcarrier DDA to reset frequency every eighth field */
2341# define TV_SC_RESET_EVERY_8 (2 << 24)
2342/** Sets the subcarrier DDA to never reset the frequency */
2343# define TV_SC_RESET_NEVER (3 << 24)
2344/** Sets the peak amplitude of the colorburst.*/
2345# define TV_BURST_LEVEL_MASK 0x00ff0000
2346# define TV_BURST_LEVEL_SHIFT 16
2347/** Sets the increment of the first subcarrier phase generation DDA */
2348# define TV_SCDDA1_INC_MASK 0x00000fff
2349# define TV_SCDDA1_INC_SHIFT 0
2350
2351#define TV_SC_CTL_2 0x68064
2352/** Sets the rollover for the second subcarrier phase generation DDA */
2353# define TV_SCDDA2_SIZE_MASK 0x7fff0000
2354# define TV_SCDDA2_SIZE_SHIFT 16
2355/** Sets the increent of the second subcarrier phase generation DDA */
2356# define TV_SCDDA2_INC_MASK 0x00007fff
2357# define TV_SCDDA2_INC_SHIFT 0
2358
2359#define TV_SC_CTL_3 0x68068
2360/** Sets the rollover for the third subcarrier phase generation DDA */
2361# define TV_SCDDA3_SIZE_MASK 0x7fff0000
2362# define TV_SCDDA3_SIZE_SHIFT 16
2363/** Sets the increent of the third subcarrier phase generation DDA */
2364# define TV_SCDDA3_INC_MASK 0x00007fff
2365# define TV_SCDDA3_INC_SHIFT 0
2366
2367#define TV_WIN_POS 0x68070
2368/** X coordinate of the display from the start of horizontal active */
2369# define TV_XPOS_MASK 0x1fff0000
2370# define TV_XPOS_SHIFT 16
2371/** Y coordinate of the display from the start of vertical active (NBR) */
2372# define TV_YPOS_MASK 0x00000fff
2373# define TV_YPOS_SHIFT 0
2374
2375#define TV_WIN_SIZE 0x68074
2376/** Horizontal size of the display window, measured in pixels*/
2377# define TV_XSIZE_MASK 0x1fff0000
2378# define TV_XSIZE_SHIFT 16
2379/**
2380 * Vertical size of the display window, measured in pixels.
2381 *
2382 * Must be even for interlaced modes.
2383 */
2384# define TV_YSIZE_MASK 0x00000fff
2385# define TV_YSIZE_SHIFT 0
2386
2387#define TV_FILTER_CTL_1 0x68080
2388/**
2389 * Enables automatic scaling calculation.
2390 *
2391 * If set, the rest of the registers are ignored, and the calculated values can
2392 * be read back from the register.
2393 */
2394# define TV_AUTO_SCALE (1 << 31)
2395/**
2396 * Disables the vertical filter.
2397 *
2398 * This is required on modes more than 1024 pixels wide */
2399# define TV_V_FILTER_BYPASS (1 << 29)
2400/** Enables adaptive vertical filtering */
2401# define TV_VADAPT (1 << 28)
2402# define TV_VADAPT_MODE_MASK (3 << 26)
2403/** Selects the least adaptive vertical filtering mode */
2404# define TV_VADAPT_MODE_LEAST (0 << 26)
2405/** Selects the moderately adaptive vertical filtering mode */
2406# define TV_VADAPT_MODE_MODERATE (1 << 26)
2407/** Selects the most adaptive vertical filtering mode */
2408# define TV_VADAPT_MODE_MOST (3 << 26)
2409/**
2410 * Sets the horizontal scaling factor.
2411 *
2412 * This should be the fractional part of the horizontal scaling factor divided
2413 * by the oversampling rate. TV_HSCALE should be less than 1, and set to:
2414 *
2415 * (src width - 1) / ((oversample * dest width) - 1)
2416 */
2417# define TV_HSCALE_FRAC_MASK 0x00003fff
2418# define TV_HSCALE_FRAC_SHIFT 0
2419
2420#define TV_FILTER_CTL_2 0x68084
2421/**
2422 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
2423 *
2424 * TV_VSCALE should be (src height - 1) / ((interlace * dest height) - 1)
2425 */
2426# define TV_VSCALE_INT_MASK 0x00038000
2427# define TV_VSCALE_INT_SHIFT 15
2428/**
2429 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
2430 *
2431 * \sa TV_VSCALE_INT_MASK
2432 */
2433# define TV_VSCALE_FRAC_MASK 0x00007fff
2434# define TV_VSCALE_FRAC_SHIFT 0
2435
2436#define TV_FILTER_CTL_3 0x68088
2437/**
2438 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
2439 *
2440 * TV_VSCALE should be (src height - 1) / (1/4 * (dest height - 1))
2441 *
2442 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
2443 */
2444# define TV_VSCALE_IP_INT_MASK 0x00038000
2445# define TV_VSCALE_IP_INT_SHIFT 15
2446/**
2447 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
2448 *
2449 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
2450 *
2451 * \sa TV_VSCALE_IP_INT_MASK
2452 */
2453# define TV_VSCALE_IP_FRAC_MASK 0x00007fff
2454# define TV_VSCALE_IP_FRAC_SHIFT 0
2455
2456#define TV_CC_CONTROL 0x68090
2457# define TV_CC_ENABLE (1 << 31)
2458/**
2459 * Specifies which field to send the CC data in.
2460 *
2461 * CC data is usually sent in field 0.
2462 */
2463# define TV_CC_FID_MASK (1 << 27)
2464# define TV_CC_FID_SHIFT 27
2465/** Sets the horizontal position of the CC data. Usually 135. */
2466# define TV_CC_HOFF_MASK 0x03ff0000
2467# define TV_CC_HOFF_SHIFT 16
2468/** Sets the vertical position of the CC data. Usually 21 */
2469# define TV_CC_LINE_MASK 0x0000003f
2470# define TV_CC_LINE_SHIFT 0
2471
2472#define TV_CC_DATA 0x68094
2473# define TV_CC_RDY (1 << 31)
2474/** Second word of CC data to be transmitted. */
2475# define TV_CC_DATA_2_MASK 0x007f0000
2476# define TV_CC_DATA_2_SHIFT 16
2477/** First word of CC data to be transmitted. */
2478# define TV_CC_DATA_1_MASK 0x0000007f
2479# define TV_CC_DATA_1_SHIFT 0
2480
2481#define TV_H_LUMA_0 0x68100
2482#define TV_H_LUMA_59 0x681ec
2483#define TV_H_CHROMA_0 0x68200
2484#define TV_H_CHROMA_59 0x682ec
2485#define TV_V_LUMA_0 0x68300
2486#define TV_V_LUMA_42 0x683a8
2487#define TV_V_CHROMA_0 0x68400
2488#define TV_V_CHROMA_42 0x684a8
2489
040d87f1 2490/* Display Port */
32f9d658 2491#define DP_A 0x64000 /* eDP */
040d87f1
KP
2492#define DP_B 0x64100
2493#define DP_C 0x64200
2494#define DP_D 0x64300
2495
2496#define DP_PORT_EN (1 << 31)
2497#define DP_PIPEB_SELECT (1 << 30)
47a05eca
JB
2498#define DP_PIPE_MASK (1 << 30)
2499
040d87f1
KP
2500/* Link training mode - select a suitable mode for each stage */
2501#define DP_LINK_TRAIN_PAT_1 (0 << 28)
2502#define DP_LINK_TRAIN_PAT_2 (1 << 28)
2503#define DP_LINK_TRAIN_PAT_IDLE (2 << 28)
2504#define DP_LINK_TRAIN_OFF (3 << 28)
2505#define DP_LINK_TRAIN_MASK (3 << 28)
2506#define DP_LINK_TRAIN_SHIFT 28
2507
8db9d77b
ZW
2508/* CPT Link training mode */
2509#define DP_LINK_TRAIN_PAT_1_CPT (0 << 8)
2510#define DP_LINK_TRAIN_PAT_2_CPT (1 << 8)
2511#define DP_LINK_TRAIN_PAT_IDLE_CPT (2 << 8)
2512#define DP_LINK_TRAIN_OFF_CPT (3 << 8)
2513#define DP_LINK_TRAIN_MASK_CPT (7 << 8)
2514#define DP_LINK_TRAIN_SHIFT_CPT 8
2515
040d87f1
KP
2516/* Signal voltages. These are mostly controlled by the other end */
2517#define DP_VOLTAGE_0_4 (0 << 25)
2518#define DP_VOLTAGE_0_6 (1 << 25)
2519#define DP_VOLTAGE_0_8 (2 << 25)
2520#define DP_VOLTAGE_1_2 (3 << 25)
2521#define DP_VOLTAGE_MASK (7 << 25)
2522#define DP_VOLTAGE_SHIFT 25
2523
2524/* Signal pre-emphasis levels, like voltages, the other end tells us what
2525 * they want
2526 */
2527#define DP_PRE_EMPHASIS_0 (0 << 22)
2528#define DP_PRE_EMPHASIS_3_5 (1 << 22)
2529#define DP_PRE_EMPHASIS_6 (2 << 22)
2530#define DP_PRE_EMPHASIS_9_5 (3 << 22)
2531#define DP_PRE_EMPHASIS_MASK (7 << 22)
2532#define DP_PRE_EMPHASIS_SHIFT 22
2533
2534/* How many wires to use. I guess 3 was too hard */
2535#define DP_PORT_WIDTH_1 (0 << 19)
2536#define DP_PORT_WIDTH_2 (1 << 19)
2537#define DP_PORT_WIDTH_4 (3 << 19)
2538#define DP_PORT_WIDTH_MASK (7 << 19)
2539
2540/* Mystic DPCD version 1.1 special mode */
2541#define DP_ENHANCED_FRAMING (1 << 18)
2542
32f9d658
ZW
2543/* eDP */
2544#define DP_PLL_FREQ_270MHZ (0 << 16)
2545#define DP_PLL_FREQ_160MHZ (1 << 16)
2546#define DP_PLL_FREQ_MASK (3 << 16)
2547
040d87f1
KP
2548/** locked once port is enabled */
2549#define DP_PORT_REVERSAL (1 << 15)
2550
32f9d658
ZW
2551/* eDP */
2552#define DP_PLL_ENABLE (1 << 14)
2553
040d87f1
KP
2554/** sends the clock on lane 15 of the PEG for debug */
2555#define DP_CLOCK_OUTPUT_ENABLE (1 << 13)
2556
2557#define DP_SCRAMBLING_DISABLE (1 << 12)
f2b115e6 2558#define DP_SCRAMBLING_DISABLE_IRONLAKE (1 << 7)
040d87f1
KP
2559
2560/** limit RGB values to avoid confusing TVs */
2561#define DP_COLOR_RANGE_16_235 (1 << 8)
2562
2563/** Turn on the audio link */
2564#define DP_AUDIO_OUTPUT_ENABLE (1 << 6)
2565
2566/** vs and hs sync polarity */
2567#define DP_SYNC_VS_HIGH (1 << 4)
2568#define DP_SYNC_HS_HIGH (1 << 3)
2569
2570/** A fantasy */
2571#define DP_DETECTED (1 << 2)
2572
2573/** The aux channel provides a way to talk to the
2574 * signal sink for DDC etc. Max packet size supported
2575 * is 20 bytes in each direction, hence the 5 fixed
2576 * data registers
2577 */
32f9d658
ZW
2578#define DPA_AUX_CH_CTL 0x64010
2579#define DPA_AUX_CH_DATA1 0x64014
2580#define DPA_AUX_CH_DATA2 0x64018
2581#define DPA_AUX_CH_DATA3 0x6401c
2582#define DPA_AUX_CH_DATA4 0x64020
2583#define DPA_AUX_CH_DATA5 0x64024
2584
040d87f1
KP
2585#define DPB_AUX_CH_CTL 0x64110
2586#define DPB_AUX_CH_DATA1 0x64114
2587#define DPB_AUX_CH_DATA2 0x64118
2588#define DPB_AUX_CH_DATA3 0x6411c
2589#define DPB_AUX_CH_DATA4 0x64120
2590#define DPB_AUX_CH_DATA5 0x64124
2591
2592#define DPC_AUX_CH_CTL 0x64210
2593#define DPC_AUX_CH_DATA1 0x64214
2594#define DPC_AUX_CH_DATA2 0x64218
2595#define DPC_AUX_CH_DATA3 0x6421c
2596#define DPC_AUX_CH_DATA4 0x64220
2597#define DPC_AUX_CH_DATA5 0x64224
2598
2599#define DPD_AUX_CH_CTL 0x64310
2600#define DPD_AUX_CH_DATA1 0x64314
2601#define DPD_AUX_CH_DATA2 0x64318
2602#define DPD_AUX_CH_DATA3 0x6431c
2603#define DPD_AUX_CH_DATA4 0x64320
2604#define DPD_AUX_CH_DATA5 0x64324
2605
2606#define DP_AUX_CH_CTL_SEND_BUSY (1 << 31)
2607#define DP_AUX_CH_CTL_DONE (1 << 30)
2608#define DP_AUX_CH_CTL_INTERRUPT (1 << 29)
2609#define DP_AUX_CH_CTL_TIME_OUT_ERROR (1 << 28)
2610#define DP_AUX_CH_CTL_TIME_OUT_400us (0 << 26)
2611#define DP_AUX_CH_CTL_TIME_OUT_600us (1 << 26)
2612#define DP_AUX_CH_CTL_TIME_OUT_800us (2 << 26)
2613#define DP_AUX_CH_CTL_TIME_OUT_1600us (3 << 26)
2614#define DP_AUX_CH_CTL_TIME_OUT_MASK (3 << 26)
2615#define DP_AUX_CH_CTL_RECEIVE_ERROR (1 << 25)
2616#define DP_AUX_CH_CTL_MESSAGE_SIZE_MASK (0x1f << 20)
2617#define DP_AUX_CH_CTL_MESSAGE_SIZE_SHIFT 20
2618#define DP_AUX_CH_CTL_PRECHARGE_2US_MASK (0xf << 16)
2619#define DP_AUX_CH_CTL_PRECHARGE_2US_SHIFT 16
2620#define DP_AUX_CH_CTL_AUX_AKSV_SELECT (1 << 15)
2621#define DP_AUX_CH_CTL_MANCHESTER_TEST (1 << 14)
2622#define DP_AUX_CH_CTL_SYNC_TEST (1 << 13)
2623#define DP_AUX_CH_CTL_DEGLITCH_TEST (1 << 12)
2624#define DP_AUX_CH_CTL_PRECHARGE_TEST (1 << 11)
2625#define DP_AUX_CH_CTL_BIT_CLOCK_2X_MASK (0x7ff)
2626#define DP_AUX_CH_CTL_BIT_CLOCK_2X_SHIFT 0
2627
2628/*
2629 * Computing GMCH M and N values for the Display Port link
2630 *
2631 * GMCH M/N = dot clock * bytes per pixel / ls_clk * # of lanes
2632 *
2633 * ls_clk (we assume) is the DP link clock (1.62 or 2.7 GHz)
2634 *
2635 * The GMCH value is used internally
2636 *
2637 * bytes_per_pixel is the number of bytes coming out of the plane,
2638 * which is after the LUTs, so we want the bytes for our color format.
2639 * For our current usage, this is always 3, one byte for R, G and B.
2640 */
9db4a9c7
JB
2641#define _PIPEA_GMCH_DATA_M 0x70050
2642#define _PIPEB_GMCH_DATA_M 0x71050
040d87f1
KP
2643
2644/* Transfer unit size for display port - 1, default is 0x3f (for TU size 64) */
2645#define PIPE_GMCH_DATA_M_TU_SIZE_MASK (0x3f << 25)
2646#define PIPE_GMCH_DATA_M_TU_SIZE_SHIFT 25
2647
2648#define PIPE_GMCH_DATA_M_MASK (0xffffff)
2649
9db4a9c7
JB
2650#define _PIPEA_GMCH_DATA_N 0x70054
2651#define _PIPEB_GMCH_DATA_N 0x71054
040d87f1
KP
2652#define PIPE_GMCH_DATA_N_MASK (0xffffff)
2653
2654/*
2655 * Computing Link M and N values for the Display Port link
2656 *
2657 * Link M / N = pixel_clock / ls_clk
2658 *
2659 * (the DP spec calls pixel_clock the 'strm_clk')
2660 *
2661 * The Link value is transmitted in the Main Stream
2662 * Attributes and VB-ID.
2663 */
2664
9db4a9c7
JB
2665#define _PIPEA_DP_LINK_M 0x70060
2666#define _PIPEB_DP_LINK_M 0x71060
040d87f1
KP
2667#define PIPEA_DP_LINK_M_MASK (0xffffff)
2668
9db4a9c7
JB
2669#define _PIPEA_DP_LINK_N 0x70064
2670#define _PIPEB_DP_LINK_N 0x71064
040d87f1
KP
2671#define PIPEA_DP_LINK_N_MASK (0xffffff)
2672
9db4a9c7
JB
2673#define PIPE_GMCH_DATA_M(pipe) _PIPE(pipe, _PIPEA_GMCH_DATA_M, _PIPEB_GMCH_DATA_M)
2674#define PIPE_GMCH_DATA_N(pipe) _PIPE(pipe, _PIPEA_GMCH_DATA_N, _PIPEB_GMCH_DATA_N)
2675#define PIPE_DP_LINK_M(pipe) _PIPE(pipe, _PIPEA_DP_LINK_M, _PIPEB_DP_LINK_M)
2676#define PIPE_DP_LINK_N(pipe) _PIPE(pipe, _PIPEA_DP_LINK_N, _PIPEB_DP_LINK_N)
2677
585fb111
JB
2678/* Display & cursor control */
2679
2680/* Pipe A */
0c3870ee 2681#define _PIPEADSL (dev_priv->info->display_mmio_offset + 0x70000)
837ba00f
PZ
2682#define DSL_LINEMASK_GEN2 0x00000fff
2683#define DSL_LINEMASK_GEN3 0x00001fff
0c3870ee 2684#define _PIPEACONF (dev_priv->info->display_mmio_offset + 0x70008)
5eddb70b
CW
2685#define PIPECONF_ENABLE (1<<31)
2686#define PIPECONF_DISABLE 0
2687#define PIPECONF_DOUBLE_WIDE (1<<30)
585fb111 2688#define I965_PIPECONF_ACTIVE (1<<30)
f47166d2 2689#define PIPECONF_FRAME_START_DELAY_MASK (3<<27)
5eddb70b
CW
2690#define PIPECONF_SINGLE_WIDE 0
2691#define PIPECONF_PIPE_UNLOCKED 0
2692#define PIPECONF_PIPE_LOCKED (1<<25)
2693#define PIPECONF_PALETTE 0
2694#define PIPECONF_GAMMA (1<<24)
585fb111 2695#define PIPECONF_FORCE_BORDER (1<<25)
59df7b17 2696#define PIPECONF_INTERLACE_MASK (7 << 21)
ee2b0b38 2697#define PIPECONF_INTERLACE_MASK_HSW (3 << 21)
d442ae18
DV
2698/* Note that pre-gen3 does not support interlaced display directly. Panel
2699 * fitting must be disabled on pre-ilk for interlaced. */
2700#define PIPECONF_PROGRESSIVE (0 << 21)
2701#define PIPECONF_INTERLACE_W_SYNC_SHIFT_PANEL (4 << 21) /* gen4 only */
2702#define PIPECONF_INTERLACE_W_SYNC_SHIFT (5 << 21) /* gen4 only */
2703#define PIPECONF_INTERLACE_W_FIELD_INDICATION (6 << 21)
2704#define PIPECONF_INTERLACE_FIELD_0_ONLY (7 << 21) /* gen3 only */
2705/* Ironlake and later have a complete new set of values for interlaced. PFIT
2706 * means panel fitter required, PF means progressive fetch, DBL means power
2707 * saving pixel doubling. */
2708#define PIPECONF_PFIT_PF_INTERLACED_ILK (1 << 21)
2709#define PIPECONF_INTERLACED_ILK (3 << 21)
2710#define PIPECONF_INTERLACED_DBL_ILK (4 << 21) /* ilk/snb only */
2711#define PIPECONF_PFIT_PF_INTERLACED_DBL_ILK (5 << 21) /* ilk/snb only */
652c393a 2712#define PIPECONF_CXSR_DOWNCLOCK (1<<16)
3685a8f3 2713#define PIPECONF_COLOR_RANGE_SELECT (1 << 13)
dfd07d72
DV
2714#define PIPECONF_BPC_MASK (0x7 << 5)
2715#define PIPECONF_8BPC (0<<5)
2716#define PIPECONF_10BPC (1<<5)
2717#define PIPECONF_6BPC (2<<5)
2718#define PIPECONF_12BPC (3<<5)
4f0d1aff
JB
2719#define PIPECONF_DITHER_EN (1<<4)
2720#define PIPECONF_DITHER_TYPE_MASK (0x0000000c)
2721#define PIPECONF_DITHER_TYPE_SP (0<<2)
2722#define PIPECONF_DITHER_TYPE_ST1 (1<<2)
2723#define PIPECONF_DITHER_TYPE_ST2 (2<<2)
2724#define PIPECONF_DITHER_TYPE_TEMP (3<<2)
0c3870ee 2725#define _PIPEASTAT (dev_priv->info->display_mmio_offset + 0x70024)
585fb111 2726#define PIPE_FIFO_UNDERRUN_STATUS (1UL<<31)
c46ce4d7 2727#define SPRITE1_FLIPDONE_INT_EN_VLV (1UL<<30)
585fb111
JB
2728#define PIPE_CRC_ERROR_ENABLE (1UL<<29)
2729#define PIPE_CRC_DONE_ENABLE (1UL<<28)
2730#define PIPE_GMBUS_EVENT_ENABLE (1UL<<27)
c46ce4d7 2731#define PLANE_FLIP_DONE_INT_EN_VLV (1UL<<26)
585fb111
JB
2732#define PIPE_HOTPLUG_INTERRUPT_ENABLE (1UL<<26)
2733#define PIPE_VSYNC_INTERRUPT_ENABLE (1UL<<25)
2734#define PIPE_DISPLAY_LINE_COMPARE_ENABLE (1UL<<24)
2735#define PIPE_DPST_EVENT_ENABLE (1UL<<23)
c70af1e4 2736#define SPRITE0_FLIP_DONE_INT_EN_VLV (1UL<<22)
585fb111
JB
2737#define PIPE_LEGACY_BLC_EVENT_ENABLE (1UL<<22)
2738#define PIPE_ODD_FIELD_INTERRUPT_ENABLE (1UL<<21)
2739#define PIPE_EVEN_FIELD_INTERRUPT_ENABLE (1UL<<20)
2740#define PIPE_HOTPLUG_TV_INTERRUPT_ENABLE (1UL<<18) /* pre-965 */
2741#define PIPE_START_VBLANK_INTERRUPT_ENABLE (1UL<<18) /* 965 or later */
2742#define PIPE_VBLANK_INTERRUPT_ENABLE (1UL<<17)
c46ce4d7 2743#define PIPEA_HBLANK_INT_EN_VLV (1UL<<16)
585fb111 2744#define PIPE_OVERLAY_UPDATED_ENABLE (1UL<<16)
c46ce4d7 2745#define SPRITE1_FLIPDONE_INT_STATUS_VLV (1UL<<15)
c70af1e4 2746#define SPRITE0_FLIPDONE_INT_STATUS_VLV (1UL<<14)
585fb111
JB
2747#define PIPE_CRC_ERROR_INTERRUPT_STATUS (1UL<<13)
2748#define PIPE_CRC_DONE_INTERRUPT_STATUS (1UL<<12)
2749#define PIPE_GMBUS_INTERRUPT_STATUS (1UL<<11)
c46ce4d7 2750#define PLANE_FLIPDONE_INT_STATUS_VLV (1UL<<10)
585fb111
JB
2751#define PIPE_HOTPLUG_INTERRUPT_STATUS (1UL<<10)
2752#define PIPE_VSYNC_INTERRUPT_STATUS (1UL<<9)
2753#define PIPE_DISPLAY_LINE_COMPARE_STATUS (1UL<<8)
2754#define PIPE_DPST_EVENT_STATUS (1UL<<7)
2755#define PIPE_LEGACY_BLC_EVENT_STATUS (1UL<<6)
2756#define PIPE_ODD_FIELD_INTERRUPT_STATUS (1UL<<5)
2757#define PIPE_EVEN_FIELD_INTERRUPT_STATUS (1UL<<4)
2758#define PIPE_HOTPLUG_TV_INTERRUPT_STATUS (1UL<<2) /* pre-965 */
2759#define PIPE_START_VBLANK_INTERRUPT_STATUS (1UL<<2) /* 965 or later */
2760#define PIPE_VBLANK_INTERRUPT_STATUS (1UL<<1)
2761#define PIPE_OVERLAY_UPDATED_STATUS (1UL<<0)
2762
9db4a9c7 2763#define PIPESRC(pipe) _PIPE(pipe, _PIPEASRC, _PIPEBSRC)
702e7a56 2764#define PIPECONF(tran) _TRANSCODER(tran, _PIPEACONF, _PIPEBCONF)
9db4a9c7
JB
2765#define PIPEDSL(pipe) _PIPE(pipe, _PIPEADSL, _PIPEBDSL)
2766#define PIPEFRAME(pipe) _PIPE(pipe, _PIPEAFRAMEHIGH, _PIPEBFRAMEHIGH)
2767#define PIPEFRAMEPIXEL(pipe) _PIPE(pipe, _PIPEAFRAMEPIXEL, _PIPEBFRAMEPIXEL)
2768#define PIPESTAT(pipe) _PIPE(pipe, _PIPEASTAT, _PIPEBSTAT)
5eddb70b 2769
b41fbda1 2770#define VLV_DPFLIPSTAT (VLV_DISPLAY_BASE + 0x70028)
7983117f 2771#define PIPEB_LINE_COMPARE_INT_EN (1<<29)
c46ce4d7
JB
2772#define PIPEB_HLINE_INT_EN (1<<28)
2773#define PIPEB_VBLANK_INT_EN (1<<27)
2774#define SPRITED_FLIPDONE_INT_EN (1<<26)
2775#define SPRITEC_FLIPDONE_INT_EN (1<<25)
2776#define PLANEB_FLIPDONE_INT_EN (1<<24)
7983117f 2777#define PIPEA_LINE_COMPARE_INT_EN (1<<21)
c46ce4d7
JB
2778#define PIPEA_HLINE_INT_EN (1<<20)
2779#define PIPEA_VBLANK_INT_EN (1<<19)
2780#define SPRITEB_FLIPDONE_INT_EN (1<<18)
2781#define SPRITEA_FLIPDONE_INT_EN (1<<17)
2782#define PLANEA_FLIPDONE_INT_EN (1<<16)
2783
b41fbda1 2784#define DPINVGTT (VLV_DISPLAY_BASE + 0x7002c) /* VLV only */
c46ce4d7
JB
2785#define CURSORB_INVALID_GTT_INT_EN (1<<23)
2786#define CURSORA_INVALID_GTT_INT_EN (1<<22)
2787#define SPRITED_INVALID_GTT_INT_EN (1<<21)
2788#define SPRITEC_INVALID_GTT_INT_EN (1<<20)
2789#define PLANEB_INVALID_GTT_INT_EN (1<<19)
2790#define SPRITEB_INVALID_GTT_INT_EN (1<<18)
2791#define SPRITEA_INVALID_GTT_INT_EN (1<<17)
2792#define PLANEA_INVALID_GTT_INT_EN (1<<16)
2793#define DPINVGTT_EN_MASK 0xff0000
2794#define CURSORB_INVALID_GTT_STATUS (1<<7)
2795#define CURSORA_INVALID_GTT_STATUS (1<<6)
2796#define SPRITED_INVALID_GTT_STATUS (1<<5)
2797#define SPRITEC_INVALID_GTT_STATUS (1<<4)
2798#define PLANEB_INVALID_GTT_STATUS (1<<3)
2799#define SPRITEB_INVALID_GTT_STATUS (1<<2)
2800#define SPRITEA_INVALID_GTT_STATUS (1<<1)
2801#define PLANEA_INVALID_GTT_STATUS (1<<0)
2802#define DPINVGTT_STATUS_MASK 0xff
2803
585fb111
JB
2804#define DSPARB 0x70030
2805#define DSPARB_CSTART_MASK (0x7f << 7)
2806#define DSPARB_CSTART_SHIFT 7
2807#define DSPARB_BSTART_MASK (0x7f)
2808#define DSPARB_BSTART_SHIFT 0
7662c8bd
SL
2809#define DSPARB_BEND_SHIFT 9 /* on 855 */
2810#define DSPARB_AEND_SHIFT 0
2811
90f7da3f 2812#define DSPFW1 (dev_priv->info->display_mmio_offset + 0x70034)
0e442c60 2813#define DSPFW_SR_SHIFT 23
0206e353 2814#define DSPFW_SR_MASK (0x1ff<<23)
0e442c60 2815#define DSPFW_CURSORB_SHIFT 16
d4294342 2816#define DSPFW_CURSORB_MASK (0x3f<<16)
0e442c60 2817#define DSPFW_PLANEB_SHIFT 8
d4294342
ZY
2818#define DSPFW_PLANEB_MASK (0x7f<<8)
2819#define DSPFW_PLANEA_MASK (0x7f)
90f7da3f 2820#define DSPFW2 (dev_priv->info->display_mmio_offset + 0x70038)
0e442c60 2821#define DSPFW_CURSORA_MASK 0x00003f00
21bd770b 2822#define DSPFW_CURSORA_SHIFT 8
d4294342 2823#define DSPFW_PLANEC_MASK (0x7f)
90f7da3f 2824#define DSPFW3 (dev_priv->info->display_mmio_offset + 0x7003c)
0e442c60
JB
2825#define DSPFW_HPLL_SR_EN (1<<31)
2826#define DSPFW_CURSOR_SR_SHIFT 24
f2b115e6 2827#define PINEVIEW_SELF_REFRESH_EN (1<<30)
d4294342
ZY
2828#define DSPFW_CURSOR_SR_MASK (0x3f<<24)
2829#define DSPFW_HPLL_CURSOR_SHIFT 16
2830#define DSPFW_HPLL_CURSOR_MASK (0x3f<<16)
2831#define DSPFW_HPLL_SR_MASK (0x1ff)
12569ad6
JB
2832#define DSPFW4 (dev_priv->info->display_mmio_offset + 0x70070)
2833#define DSPFW7 (dev_priv->info->display_mmio_offset + 0x7007c)
7662c8bd 2834
12a3c055
GB
2835/* drain latency register values*/
2836#define DRAIN_LATENCY_PRECISION_32 32
2837#define DRAIN_LATENCY_PRECISION_16 16
8f6d8ee9 2838#define VLV_DDL1 (VLV_DISPLAY_BASE + 0x70050)
12a3c055
GB
2839#define DDL_CURSORA_PRECISION_32 (1<<31)
2840#define DDL_CURSORA_PRECISION_16 (0<<31)
2841#define DDL_CURSORA_SHIFT 24
2842#define DDL_PLANEA_PRECISION_32 (1<<7)
2843#define DDL_PLANEA_PRECISION_16 (0<<7)
8f6d8ee9 2844#define VLV_DDL2 (VLV_DISPLAY_BASE + 0x70054)
12a3c055
GB
2845#define DDL_CURSORB_PRECISION_32 (1<<31)
2846#define DDL_CURSORB_PRECISION_16 (0<<31)
2847#define DDL_CURSORB_SHIFT 24
2848#define DDL_PLANEB_PRECISION_32 (1<<7)
2849#define DDL_PLANEB_PRECISION_16 (0<<7)
2850
7662c8bd 2851/* FIFO watermark sizes etc */
0e442c60 2852#define G4X_FIFO_LINE_SIZE 64
7662c8bd
SL
2853#define I915_FIFO_LINE_SIZE 64
2854#define I830_FIFO_LINE_SIZE 32
0e442c60 2855
ceb04246 2856#define VALLEYVIEW_FIFO_SIZE 255
0e442c60 2857#define G4X_FIFO_SIZE 127
1b07e04e
ZY
2858#define I965_FIFO_SIZE 512
2859#define I945_FIFO_SIZE 127
7662c8bd 2860#define I915_FIFO_SIZE 95
dff33cfc 2861#define I855GM_FIFO_SIZE 127 /* In cachelines */
7662c8bd 2862#define I830_FIFO_SIZE 95
0e442c60 2863
ceb04246 2864#define VALLEYVIEW_MAX_WM 0xff
0e442c60 2865#define G4X_MAX_WM 0x3f
7662c8bd
SL
2866#define I915_MAX_WM 0x3f
2867
f2b115e6
AJ
2868#define PINEVIEW_DISPLAY_FIFO 512 /* in 64byte unit */
2869#define PINEVIEW_FIFO_LINE_SIZE 64
2870#define PINEVIEW_MAX_WM 0x1ff
2871#define PINEVIEW_DFT_WM 0x3f
2872#define PINEVIEW_DFT_HPLLOFF_WM 0
2873#define PINEVIEW_GUARD_WM 10
2874#define PINEVIEW_CURSOR_FIFO 64
2875#define PINEVIEW_CURSOR_MAX_WM 0x3f
2876#define PINEVIEW_CURSOR_DFT_WM 0
2877#define PINEVIEW_CURSOR_GUARD_WM 5
7662c8bd 2878
ceb04246 2879#define VALLEYVIEW_CURSOR_MAX_WM 64
4fe5e611
ZY
2880#define I965_CURSOR_FIFO 64
2881#define I965_CURSOR_MAX_WM 32
2882#define I965_CURSOR_DFT_WM 8
7f8a8569
ZW
2883
2884/* define the Watermark register on Ironlake */
2885#define WM0_PIPEA_ILK 0x45100
2886#define WM0_PIPE_PLANE_MASK (0x7f<<16)
2887#define WM0_PIPE_PLANE_SHIFT 16
2888#define WM0_PIPE_SPRITE_MASK (0x3f<<8)
2889#define WM0_PIPE_SPRITE_SHIFT 8
2890#define WM0_PIPE_CURSOR_MASK (0x1f)
2891
2892#define WM0_PIPEB_ILK 0x45104
d6c892df 2893#define WM0_PIPEC_IVB 0x45200
7f8a8569
ZW
2894#define WM1_LP_ILK 0x45108
2895#define WM1_LP_SR_EN (1<<31)
2896#define WM1_LP_LATENCY_SHIFT 24
2897#define WM1_LP_LATENCY_MASK (0x7f<<24)
4ed765f9
CW
2898#define WM1_LP_FBC_MASK (0xf<<20)
2899#define WM1_LP_FBC_SHIFT 20
7f8a8569
ZW
2900#define WM1_LP_SR_MASK (0x1ff<<8)
2901#define WM1_LP_SR_SHIFT 8
2902#define WM1_LP_CURSOR_MASK (0x3f)
dd8849c8
JB
2903#define WM2_LP_ILK 0x4510c
2904#define WM2_LP_EN (1<<31)
2905#define WM3_LP_ILK 0x45110
2906#define WM3_LP_EN (1<<31)
2907#define WM1S_LP_ILK 0x45120
b840d907
JB
2908#define WM2S_LP_IVB 0x45124
2909#define WM3S_LP_IVB 0x45128
dd8849c8 2910#define WM1S_LP_EN (1<<31)
7f8a8569
ZW
2911
2912/* Memory latency timer register */
2913#define MLTR_ILK 0x11222
b79d4990
JB
2914#define MLTR_WM1_SHIFT 0
2915#define MLTR_WM2_SHIFT 8
7f8a8569
ZW
2916/* the unit of memory self-refresh latency time is 0.5us */
2917#define ILK_SRLT_MASK 0x3f
b79d4990
JB
2918#define ILK_LATENCY(shift) (I915_READ(MLTR_ILK) >> (shift) & ILK_SRLT_MASK)
2919#define ILK_READ_WM1_LATENCY() ILK_LATENCY(MLTR_WM1_SHIFT)
2920#define ILK_READ_WM2_LATENCY() ILK_LATENCY(MLTR_WM2_SHIFT)
7f8a8569
ZW
2921
2922/* define the fifo size on Ironlake */
2923#define ILK_DISPLAY_FIFO 128
2924#define ILK_DISPLAY_MAXWM 64
2925#define ILK_DISPLAY_DFTWM 8
c936f44d
ZY
2926#define ILK_CURSOR_FIFO 32
2927#define ILK_CURSOR_MAXWM 16
2928#define ILK_CURSOR_DFTWM 8
7f8a8569
ZW
2929
2930#define ILK_DISPLAY_SR_FIFO 512
2931#define ILK_DISPLAY_MAX_SRWM 0x1ff
2932#define ILK_DISPLAY_DFT_SRWM 0x3f
2933#define ILK_CURSOR_SR_FIFO 64
2934#define ILK_CURSOR_MAX_SRWM 0x3f
2935#define ILK_CURSOR_DFT_SRWM 8
2936
2937#define ILK_FIFO_LINE_SIZE 64
2938
1398261a
YL
2939/* define the WM info on Sandybridge */
2940#define SNB_DISPLAY_FIFO 128
2941#define SNB_DISPLAY_MAXWM 0x7f /* bit 16:22 */
2942#define SNB_DISPLAY_DFTWM 8
2943#define SNB_CURSOR_FIFO 32
2944#define SNB_CURSOR_MAXWM 0x1f /* bit 4:0 */
2945#define SNB_CURSOR_DFTWM 8
2946
2947#define SNB_DISPLAY_SR_FIFO 512
2948#define SNB_DISPLAY_MAX_SRWM 0x1ff /* bit 16:8 */
2949#define SNB_DISPLAY_DFT_SRWM 0x3f
2950#define SNB_CURSOR_SR_FIFO 64
2951#define SNB_CURSOR_MAX_SRWM 0x3f /* bit 5:0 */
2952#define SNB_CURSOR_DFT_SRWM 8
2953
2954#define SNB_FBC_MAX_SRWM 0xf /* bit 23:20 */
2955
2956#define SNB_FIFO_LINE_SIZE 64
2957
2958
2959/* the address where we get all kinds of latency value */
2960#define SSKPD 0x5d10
2961#define SSKPD_WM_MASK 0x3f
2962#define SSKPD_WM0_SHIFT 0
2963#define SSKPD_WM1_SHIFT 8
2964#define SSKPD_WM2_SHIFT 16
2965#define SSKPD_WM3_SHIFT 24
2966
2967#define SNB_LATENCY(shift) (I915_READ(MCHBAR_MIRROR_BASE_SNB + SSKPD) >> (shift) & SSKPD_WM_MASK)
2968#define SNB_READ_WM0_LATENCY() SNB_LATENCY(SSKPD_WM0_SHIFT)
2969#define SNB_READ_WM1_LATENCY() SNB_LATENCY(SSKPD_WM1_SHIFT)
2970#define SNB_READ_WM2_LATENCY() SNB_LATENCY(SSKPD_WM2_SHIFT)
2971#define SNB_READ_WM3_LATENCY() SNB_LATENCY(SSKPD_WM3_SHIFT)
2972
585fb111
JB
2973/*
2974 * The two pipe frame counter registers are not synchronized, so
2975 * reading a stable value is somewhat tricky. The following code
2976 * should work:
2977 *
2978 * do {
2979 * high1 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
2980 * PIPE_FRAME_HIGH_SHIFT;
2981 * low1 = ((INREG(PIPEAFRAMEPIXEL) & PIPE_FRAME_LOW_MASK) >>
2982 * PIPE_FRAME_LOW_SHIFT);
2983 * high2 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
2984 * PIPE_FRAME_HIGH_SHIFT);
2985 * } while (high1 != high2);
2986 * frame = (high1 << 8) | low1;
2987 */
0c3870ee 2988#define _PIPEAFRAMEHIGH (dev_priv->info->display_mmio_offset + 0x70040)
585fb111
JB
2989#define PIPE_FRAME_HIGH_MASK 0x0000ffff
2990#define PIPE_FRAME_HIGH_SHIFT 0
0c3870ee 2991#define _PIPEAFRAMEPIXEL (dev_priv->info->display_mmio_offset + 0x70044)
585fb111
JB
2992#define PIPE_FRAME_LOW_MASK 0xff000000
2993#define PIPE_FRAME_LOW_SHIFT 24
2994#define PIPE_PIXEL_MASK 0x00ffffff
2995#define PIPE_PIXEL_SHIFT 0
9880b7a5 2996/* GM45+ just has to be different */
9db4a9c7
JB
2997#define _PIPEA_FRMCOUNT_GM45 0x70040
2998#define _PIPEA_FLIPCOUNT_GM45 0x70044
2999#define PIPE_FRMCOUNT_GM45(pipe) _PIPE(pipe, _PIPEA_FRMCOUNT_GM45, _PIPEB_FRMCOUNT_GM45)
585fb111
JB
3000
3001/* Cursor A & B regs */
9dc33f31 3002#define _CURACNTR (dev_priv->info->display_mmio_offset + 0x70080)
14b60391
JB
3003/* Old style CUR*CNTR flags (desktop 8xx) */
3004#define CURSOR_ENABLE 0x80000000
3005#define CURSOR_GAMMA_ENABLE 0x40000000
3006#define CURSOR_STRIDE_MASK 0x30000000
86d3efce 3007#define CURSOR_PIPE_CSC_ENABLE (1<<24)
14b60391
JB
3008#define CURSOR_FORMAT_SHIFT 24
3009#define CURSOR_FORMAT_MASK (0x07 << CURSOR_FORMAT_SHIFT)
3010#define CURSOR_FORMAT_2C (0x00 << CURSOR_FORMAT_SHIFT)
3011#define CURSOR_FORMAT_3C (0x01 << CURSOR_FORMAT_SHIFT)
3012#define CURSOR_FORMAT_4C (0x02 << CURSOR_FORMAT_SHIFT)
3013#define CURSOR_FORMAT_ARGB (0x04 << CURSOR_FORMAT_SHIFT)
3014#define CURSOR_FORMAT_XRGB (0x05 << CURSOR_FORMAT_SHIFT)
3015/* New style CUR*CNTR flags */
3016#define CURSOR_MODE 0x27
585fb111
JB
3017#define CURSOR_MODE_DISABLE 0x00
3018#define CURSOR_MODE_64_32B_AX 0x07
3019#define CURSOR_MODE_64_ARGB_AX ((1 << 5) | CURSOR_MODE_64_32B_AX)
14b60391
JB
3020#define MCURSOR_PIPE_SELECT (1 << 28)
3021#define MCURSOR_PIPE_A 0x00
3022#define MCURSOR_PIPE_B (1 << 28)
585fb111 3023#define MCURSOR_GAMMA_ENABLE (1 << 26)
9dc33f31
VS
3024#define _CURABASE (dev_priv->info->display_mmio_offset + 0x70084)
3025#define _CURAPOS (dev_priv->info->display_mmio_offset + 0x70088)
585fb111
JB
3026#define CURSOR_POS_MASK 0x007FF
3027#define CURSOR_POS_SIGN 0x8000
3028#define CURSOR_X_SHIFT 0
3029#define CURSOR_Y_SHIFT 16
14b60391 3030#define CURSIZE 0x700a0
9dc33f31
VS
3031#define _CURBCNTR (dev_priv->info->display_mmio_offset + 0x700c0)
3032#define _CURBBASE (dev_priv->info->display_mmio_offset + 0x700c4)
3033#define _CURBPOS (dev_priv->info->display_mmio_offset + 0x700c8)
585fb111 3034
65a21cd6
JB
3035#define _CURBCNTR_IVB 0x71080
3036#define _CURBBASE_IVB 0x71084
3037#define _CURBPOS_IVB 0x71088
3038
9db4a9c7
JB
3039#define CURCNTR(pipe) _PIPE(pipe, _CURACNTR, _CURBCNTR)
3040#define CURBASE(pipe) _PIPE(pipe, _CURABASE, _CURBBASE)
3041#define CURPOS(pipe) _PIPE(pipe, _CURAPOS, _CURBPOS)
c4a1d9e4 3042
65a21cd6
JB
3043#define CURCNTR_IVB(pipe) _PIPE(pipe, _CURACNTR, _CURBCNTR_IVB)
3044#define CURBASE_IVB(pipe) _PIPE(pipe, _CURABASE, _CURBBASE_IVB)
3045#define CURPOS_IVB(pipe) _PIPE(pipe, _CURAPOS, _CURBPOS_IVB)
3046
585fb111 3047/* Display A control */
895abf0c 3048#define _DSPACNTR (dev_priv->info->display_mmio_offset + 0x70180)
585fb111
JB
3049#define DISPLAY_PLANE_ENABLE (1<<31)
3050#define DISPLAY_PLANE_DISABLE 0
3051#define DISPPLANE_GAMMA_ENABLE (1<<30)
3052#define DISPPLANE_GAMMA_DISABLE 0
3053#define DISPPLANE_PIXFORMAT_MASK (0xf<<26)
57779d06 3054#define DISPPLANE_YUV422 (0x0<<26)
585fb111 3055#define DISPPLANE_8BPP (0x2<<26)
57779d06
VS
3056#define DISPPLANE_BGRA555 (0x3<<26)
3057#define DISPPLANE_BGRX555 (0x4<<26)
3058#define DISPPLANE_BGRX565 (0x5<<26)
3059#define DISPPLANE_BGRX888 (0x6<<26)
3060#define DISPPLANE_BGRA888 (0x7<<26)
3061#define DISPPLANE_RGBX101010 (0x8<<26)
3062#define DISPPLANE_RGBA101010 (0x9<<26)
3063#define DISPPLANE_BGRX101010 (0xa<<26)
3064#define DISPPLANE_RGBX161616 (0xc<<26)
3065#define DISPPLANE_RGBX888 (0xe<<26)
3066#define DISPPLANE_RGBA888 (0xf<<26)
585fb111
JB
3067#define DISPPLANE_STEREO_ENABLE (1<<25)
3068#define DISPPLANE_STEREO_DISABLE 0
86d3efce 3069#define DISPPLANE_PIPE_CSC_ENABLE (1<<24)
b24e7179
JB
3070#define DISPPLANE_SEL_PIPE_SHIFT 24
3071#define DISPPLANE_SEL_PIPE_MASK (3<<DISPPLANE_SEL_PIPE_SHIFT)
585fb111 3072#define DISPPLANE_SEL_PIPE_A 0
b24e7179 3073#define DISPPLANE_SEL_PIPE_B (1<<DISPPLANE_SEL_PIPE_SHIFT)
585fb111
JB
3074#define DISPPLANE_SRC_KEY_ENABLE (1<<22)
3075#define DISPPLANE_SRC_KEY_DISABLE 0
3076#define DISPPLANE_LINE_DOUBLE (1<<20)
3077#define DISPPLANE_NO_LINE_DOUBLE 0
3078#define DISPPLANE_STEREO_POLARITY_FIRST 0
3079#define DISPPLANE_STEREO_POLARITY_SECOND (1<<18)
f2b115e6 3080#define DISPPLANE_TRICKLE_FEED_DISABLE (1<<14) /* Ironlake */
f544847f 3081#define DISPPLANE_TILED (1<<10)
895abf0c
VS
3082#define _DSPAADDR (dev_priv->info->display_mmio_offset + 0x70184)
3083#define _DSPASTRIDE (dev_priv->info->display_mmio_offset + 0x70188)
3084#define _DSPAPOS (dev_priv->info->display_mmio_offset + 0x7018C) /* reserved */
3085#define _DSPASIZE (dev_priv->info->display_mmio_offset + 0x70190)
3086#define _DSPASURF (dev_priv->info->display_mmio_offset + 0x7019C) /* 965+ only */
3087#define _DSPATILEOFF (dev_priv->info->display_mmio_offset + 0x701A4) /* 965+ only */
3088#define _DSPAOFFSET (dev_priv->info->display_mmio_offset + 0x701A4) /* HSW */
3089#define _DSPASURFLIVE (dev_priv->info->display_mmio_offset + 0x701AC)
9db4a9c7
JB
3090
3091#define DSPCNTR(plane) _PIPE(plane, _DSPACNTR, _DSPBCNTR)
3092#define DSPADDR(plane) _PIPE(plane, _DSPAADDR, _DSPBADDR)
3093#define DSPSTRIDE(plane) _PIPE(plane, _DSPASTRIDE, _DSPBSTRIDE)
3094#define DSPPOS(plane) _PIPE(plane, _DSPAPOS, _DSPBPOS)
3095#define DSPSIZE(plane) _PIPE(plane, _DSPASIZE, _DSPBSIZE)
3096#define DSPSURF(plane) _PIPE(plane, _DSPASURF, _DSPBSURF)
3097#define DSPTILEOFF(plane) _PIPE(plane, _DSPATILEOFF, _DSPBTILEOFF)
e506a0c6 3098#define DSPLINOFF(plane) DSPADDR(plane)
bc1c91eb 3099#define DSPOFFSET(plane) _PIPE(plane, _DSPAOFFSET, _DSPBOFFSET)
32ae46bf 3100#define DSPSURFLIVE(plane) _PIPE(plane, _DSPASURFLIVE, _DSPBSURFLIVE)
5eddb70b 3101
446f2545
AR
3102/* Display/Sprite base address macros */
3103#define DISP_BASEADDR_MASK (0xfffff000)
3104#define I915_LO_DISPBASE(val) (val & ~DISP_BASEADDR_MASK)
3105#define I915_HI_DISPBASE(val) (val & DISP_BASEADDR_MASK)
3106#define I915_MODIFY_DISPBASE(reg, gfx_addr) \
c2c75131 3107 (I915_WRITE((reg), (gfx_addr) | I915_LO_DISPBASE(I915_READ(reg))))
446f2545 3108
585fb111 3109/* VBIOS flags */
80a75f7c
VS
3110#define SWF00 (dev_priv->info->display_mmio_offset + 0x71410)
3111#define SWF01 (dev_priv->info->display_mmio_offset + 0x71414)
3112#define SWF02 (dev_priv->info->display_mmio_offset + 0x71418)
3113#define SWF03 (dev_priv->info->display_mmio_offset + 0x7141c)
3114#define SWF04 (dev_priv->info->display_mmio_offset + 0x71420)
3115#define SWF05 (dev_priv->info->display_mmio_offset + 0x71424)
3116#define SWF06 (dev_priv->info->display_mmio_offset + 0x71428)
3117#define SWF10 (dev_priv->info->display_mmio_offset + 0x70410)
3118#define SWF11 (dev_priv->info->display_mmio_offset + 0x70414)
3119#define SWF14 (dev_priv->info->display_mmio_offset + 0x71420)
3120#define SWF30 (dev_priv->info->display_mmio_offset + 0x72414)
3121#define SWF31 (dev_priv->info->display_mmio_offset + 0x72418)
3122#define SWF32 (dev_priv->info->display_mmio_offset + 0x7241c)
585fb111
JB
3123
3124/* Pipe B */
0c3870ee
VS
3125#define _PIPEBDSL (dev_priv->info->display_mmio_offset + 0x71000)
3126#define _PIPEBCONF (dev_priv->info->display_mmio_offset + 0x71008)
3127#define _PIPEBSTAT (dev_priv->info->display_mmio_offset + 0x71024)
3128#define _PIPEBFRAMEHIGH (dev_priv->info->display_mmio_offset + 0x71040)
3129#define _PIPEBFRAMEPIXEL (dev_priv->info->display_mmio_offset + 0x71044)
9db4a9c7
JB
3130#define _PIPEB_FRMCOUNT_GM45 0x71040
3131#define _PIPEB_FLIPCOUNT_GM45 0x71044
9880b7a5 3132
585fb111
JB
3133
3134/* Display B control */
895abf0c 3135#define _DSPBCNTR (dev_priv->info->display_mmio_offset + 0x71180)
585fb111
JB
3136#define DISPPLANE_ALPHA_TRANS_ENABLE (1<<15)
3137#define DISPPLANE_ALPHA_TRANS_DISABLE 0
3138#define DISPPLANE_SPRITE_ABOVE_DISPLAY 0
3139#define DISPPLANE_SPRITE_ABOVE_OVERLAY (1)
895abf0c
VS
3140#define _DSPBADDR (dev_priv->info->display_mmio_offset + 0x71184)
3141#define _DSPBSTRIDE (dev_priv->info->display_mmio_offset + 0x71188)
3142#define _DSPBPOS (dev_priv->info->display_mmio_offset + 0x7118C)
3143#define _DSPBSIZE (dev_priv->info->display_mmio_offset + 0x71190)
3144#define _DSPBSURF (dev_priv->info->display_mmio_offset + 0x7119C)
3145#define _DSPBTILEOFF (dev_priv->info->display_mmio_offset + 0x711A4)
3146#define _DSPBOFFSET (dev_priv->info->display_mmio_offset + 0x711A4)
3147#define _DSPBSURFLIVE (dev_priv->info->display_mmio_offset + 0x711AC)
585fb111 3148
b840d907
JB
3149/* Sprite A control */
3150#define _DVSACNTR 0x72180
3151#define DVS_ENABLE (1<<31)
3152#define DVS_GAMMA_ENABLE (1<<30)
3153#define DVS_PIXFORMAT_MASK (3<<25)
3154#define DVS_FORMAT_YUV422 (0<<25)
3155#define DVS_FORMAT_RGBX101010 (1<<25)
3156#define DVS_FORMAT_RGBX888 (2<<25)
3157#define DVS_FORMAT_RGBX161616 (3<<25)
86d3efce 3158#define DVS_PIPE_CSC_ENABLE (1<<24)
b840d907 3159#define DVS_SOURCE_KEY (1<<22)
ab2f9df1 3160#define DVS_RGB_ORDER_XBGR (1<<20)
b840d907
JB
3161#define DVS_YUV_BYTE_ORDER_MASK (3<<16)
3162#define DVS_YUV_ORDER_YUYV (0<<16)
3163#define DVS_YUV_ORDER_UYVY (1<<16)
3164#define DVS_YUV_ORDER_YVYU (2<<16)
3165#define DVS_YUV_ORDER_VYUY (3<<16)
3166#define DVS_DEST_KEY (1<<2)
3167#define DVS_TRICKLE_FEED_DISABLE (1<<14)
3168#define DVS_TILED (1<<10)
3169#define _DVSALINOFF 0x72184
3170#define _DVSASTRIDE 0x72188
3171#define _DVSAPOS 0x7218c
3172#define _DVSASIZE 0x72190
3173#define _DVSAKEYVAL 0x72194
3174#define _DVSAKEYMSK 0x72198
3175#define _DVSASURF 0x7219c
3176#define _DVSAKEYMAXVAL 0x721a0
3177#define _DVSATILEOFF 0x721a4
3178#define _DVSASURFLIVE 0x721ac
3179#define _DVSASCALE 0x72204
3180#define DVS_SCALE_ENABLE (1<<31)
3181#define DVS_FILTER_MASK (3<<29)
3182#define DVS_FILTER_MEDIUM (0<<29)
3183#define DVS_FILTER_ENHANCING (1<<29)
3184#define DVS_FILTER_SOFTENING (2<<29)
3185#define DVS_VERTICAL_OFFSET_HALF (1<<28) /* must be enabled below */
3186#define DVS_VERTICAL_OFFSET_ENABLE (1<<27)
3187#define _DVSAGAMC 0x72300
3188
3189#define _DVSBCNTR 0x73180
3190#define _DVSBLINOFF 0x73184
3191#define _DVSBSTRIDE 0x73188
3192#define _DVSBPOS 0x7318c
3193#define _DVSBSIZE 0x73190
3194#define _DVSBKEYVAL 0x73194
3195#define _DVSBKEYMSK 0x73198
3196#define _DVSBSURF 0x7319c
3197#define _DVSBKEYMAXVAL 0x731a0
3198#define _DVSBTILEOFF 0x731a4
3199#define _DVSBSURFLIVE 0x731ac
3200#define _DVSBSCALE 0x73204
3201#define _DVSBGAMC 0x73300
3202
3203#define DVSCNTR(pipe) _PIPE(pipe, _DVSACNTR, _DVSBCNTR)
3204#define DVSLINOFF(pipe) _PIPE(pipe, _DVSALINOFF, _DVSBLINOFF)
3205#define DVSSTRIDE(pipe) _PIPE(pipe, _DVSASTRIDE, _DVSBSTRIDE)
3206#define DVSPOS(pipe) _PIPE(pipe, _DVSAPOS, _DVSBPOS)
3207#define DVSSURF(pipe) _PIPE(pipe, _DVSASURF, _DVSBSURF)
8ea30864 3208#define DVSKEYMAX(pipe) _PIPE(pipe, _DVSAKEYMAXVAL, _DVSBKEYMAXVAL)
b840d907
JB
3209#define DVSSIZE(pipe) _PIPE(pipe, _DVSASIZE, _DVSBSIZE)
3210#define DVSSCALE(pipe) _PIPE(pipe, _DVSASCALE, _DVSBSCALE)
3211#define DVSTILEOFF(pipe) _PIPE(pipe, _DVSATILEOFF, _DVSBTILEOFF)
8ea30864
JB
3212#define DVSKEYVAL(pipe) _PIPE(pipe, _DVSAKEYVAL, _DVSBKEYVAL)
3213#define DVSKEYMSK(pipe) _PIPE(pipe, _DVSAKEYMSK, _DVSBKEYMSK)
32ae46bf 3214#define DVSSURFLIVE(pipe) _PIPE(pipe, _DVSASURFLIVE, _DVSBSURFLIVE)
b840d907
JB
3215
3216#define _SPRA_CTL 0x70280
3217#define SPRITE_ENABLE (1<<31)
3218#define SPRITE_GAMMA_ENABLE (1<<30)
3219#define SPRITE_PIXFORMAT_MASK (7<<25)
3220#define SPRITE_FORMAT_YUV422 (0<<25)
3221#define SPRITE_FORMAT_RGBX101010 (1<<25)
3222#define SPRITE_FORMAT_RGBX888 (2<<25)
3223#define SPRITE_FORMAT_RGBX161616 (3<<25)
3224#define SPRITE_FORMAT_YUV444 (4<<25)
3225#define SPRITE_FORMAT_XR_BGR101010 (5<<25) /* Extended range */
86d3efce 3226#define SPRITE_PIPE_CSC_ENABLE (1<<24)
b840d907
JB
3227#define SPRITE_SOURCE_KEY (1<<22)
3228#define SPRITE_RGB_ORDER_RGBX (1<<20) /* only for 888 and 161616 */
3229#define SPRITE_YUV_TO_RGB_CSC_DISABLE (1<<19)
3230#define SPRITE_YUV_CSC_FORMAT_BT709 (1<<18) /* 0 is BT601 */
3231#define SPRITE_YUV_BYTE_ORDER_MASK (3<<16)
3232#define SPRITE_YUV_ORDER_YUYV (0<<16)
3233#define SPRITE_YUV_ORDER_UYVY (1<<16)
3234#define SPRITE_YUV_ORDER_YVYU (2<<16)
3235#define SPRITE_YUV_ORDER_VYUY (3<<16)
3236#define SPRITE_TRICKLE_FEED_DISABLE (1<<14)
3237#define SPRITE_INT_GAMMA_ENABLE (1<<13)
3238#define SPRITE_TILED (1<<10)
3239#define SPRITE_DEST_KEY (1<<2)
3240#define _SPRA_LINOFF 0x70284
3241#define _SPRA_STRIDE 0x70288
3242#define _SPRA_POS 0x7028c
3243#define _SPRA_SIZE 0x70290
3244#define _SPRA_KEYVAL 0x70294
3245#define _SPRA_KEYMSK 0x70298
3246#define _SPRA_SURF 0x7029c
3247#define _SPRA_KEYMAX 0x702a0
3248#define _SPRA_TILEOFF 0x702a4
c54173a8 3249#define _SPRA_OFFSET 0x702a4
32ae46bf 3250#define _SPRA_SURFLIVE 0x702ac
b840d907
JB
3251#define _SPRA_SCALE 0x70304
3252#define SPRITE_SCALE_ENABLE (1<<31)
3253#define SPRITE_FILTER_MASK (3<<29)
3254#define SPRITE_FILTER_MEDIUM (0<<29)
3255#define SPRITE_FILTER_ENHANCING (1<<29)
3256#define SPRITE_FILTER_SOFTENING (2<<29)
3257#define SPRITE_VERTICAL_OFFSET_HALF (1<<28) /* must be enabled below */
3258#define SPRITE_VERTICAL_OFFSET_ENABLE (1<<27)
3259#define _SPRA_GAMC 0x70400
3260
3261#define _SPRB_CTL 0x71280
3262#define _SPRB_LINOFF 0x71284
3263#define _SPRB_STRIDE 0x71288
3264#define _SPRB_POS 0x7128c
3265#define _SPRB_SIZE 0x71290
3266#define _SPRB_KEYVAL 0x71294
3267#define _SPRB_KEYMSK 0x71298
3268#define _SPRB_SURF 0x7129c
3269#define _SPRB_KEYMAX 0x712a0
3270#define _SPRB_TILEOFF 0x712a4
c54173a8 3271#define _SPRB_OFFSET 0x712a4
32ae46bf 3272#define _SPRB_SURFLIVE 0x712ac
b840d907
JB
3273#define _SPRB_SCALE 0x71304
3274#define _SPRB_GAMC 0x71400
3275
3276#define SPRCTL(pipe) _PIPE(pipe, _SPRA_CTL, _SPRB_CTL)
3277#define SPRLINOFF(pipe) _PIPE(pipe, _SPRA_LINOFF, _SPRB_LINOFF)
3278#define SPRSTRIDE(pipe) _PIPE(pipe, _SPRA_STRIDE, _SPRB_STRIDE)
3279#define SPRPOS(pipe) _PIPE(pipe, _SPRA_POS, _SPRB_POS)
3280#define SPRSIZE(pipe) _PIPE(pipe, _SPRA_SIZE, _SPRB_SIZE)
3281#define SPRKEYVAL(pipe) _PIPE(pipe, _SPRA_KEYVAL, _SPRB_KEYVAL)
3282#define SPRKEYMSK(pipe) _PIPE(pipe, _SPRA_KEYMSK, _SPRB_KEYMSK)
3283#define SPRSURF(pipe) _PIPE(pipe, _SPRA_SURF, _SPRB_SURF)
3284#define SPRKEYMAX(pipe) _PIPE(pipe, _SPRA_KEYMAX, _SPRB_KEYMAX)
3285#define SPRTILEOFF(pipe) _PIPE(pipe, _SPRA_TILEOFF, _SPRB_TILEOFF)
c54173a8 3286#define SPROFFSET(pipe) _PIPE(pipe, _SPRA_OFFSET, _SPRB_OFFSET)
b840d907
JB
3287#define SPRSCALE(pipe) _PIPE(pipe, _SPRA_SCALE, _SPRB_SCALE)
3288#define SPRGAMC(pipe) _PIPE(pipe, _SPRA_GAMC, _SPRB_GAMC)
32ae46bf 3289#define SPRSURFLIVE(pipe) _PIPE(pipe, _SPRA_SURFLIVE, _SPRB_SURFLIVE)
b840d907 3290
7f1f3851
JB
3291#define _SPACNTR 0x72180
3292#define SP_ENABLE (1<<31)
3293#define SP_GEAMMA_ENABLE (1<<30)
3294#define SP_PIXFORMAT_MASK (0xf<<26)
3295#define SP_FORMAT_YUV422 (0<<26)
3296#define SP_FORMAT_BGR565 (5<<26)
3297#define SP_FORMAT_BGRX8888 (6<<26)
3298#define SP_FORMAT_BGRA8888 (7<<26)
3299#define SP_FORMAT_RGBX1010102 (8<<26)
3300#define SP_FORMAT_RGBA1010102 (9<<26)
3301#define SP_FORMAT_RGBX8888 (0xe<<26)
3302#define SP_FORMAT_RGBA8888 (0xf<<26)
3303#define SP_SOURCE_KEY (1<<22)
3304#define SP_YUV_BYTE_ORDER_MASK (3<<16)
3305#define SP_YUV_ORDER_YUYV (0<<16)
3306#define SP_YUV_ORDER_UYVY (1<<16)
3307#define SP_YUV_ORDER_YVYU (2<<16)
3308#define SP_YUV_ORDER_VYUY (3<<16)
3309#define SP_TILED (1<<10)
3310#define _SPALINOFF 0x72184
3311#define _SPASTRIDE 0x72188
3312#define _SPAPOS 0x7218c
3313#define _SPASIZE 0x72190
3314#define _SPAKEYMINVAL 0x72194
3315#define _SPAKEYMSK 0x72198
3316#define _SPASURF 0x7219c
3317#define _SPAKEYMAXVAL 0x721a0
3318#define _SPATILEOFF 0x721a4
3319#define _SPACONSTALPHA 0x721a8
3320#define _SPAGAMC 0x721f4
3321
3322#define _SPBCNTR 0x72280
3323#define _SPBLINOFF 0x72284
3324#define _SPBSTRIDE 0x72288
3325#define _SPBPOS 0x7228c
3326#define _SPBSIZE 0x72290
3327#define _SPBKEYMINVAL 0x72294
3328#define _SPBKEYMSK 0x72298
3329#define _SPBSURF 0x7229c
3330#define _SPBKEYMAXVAL 0x722a0
3331#define _SPBTILEOFF 0x722a4
3332#define _SPBCONSTALPHA 0x722a8
3333#define _SPBGAMC 0x722f4
3334
3335#define SPCNTR(pipe, plane) _PIPE(pipe * 2 + plane, _SPACNTR, _SPBCNTR)
3336#define SPLINOFF(pipe, plane) _PIPE(pipe * 2 + plane, _SPALINOFF, _SPBLINOFF)
3337#define SPSTRIDE(pipe, plane) _PIPE(pipe * 2 + plane, _SPASTRIDE, _SPBSTRIDE)
3338#define SPPOS(pipe, plane) _PIPE(pipe * 2 + plane, _SPAPOS, _SPBPOS)
3339#define SPSIZE(pipe, plane) _PIPE(pipe * 2 + plane, _SPASIZE, _SPBSIZE)
3340#define SPKEYMINVAL(pipe, plane) _PIPE(pipe * 2 + plane, _SPAKEYMINVAL, _SPBKEYMINVAL)
3341#define SPKEYMSK(pipe, plane) _PIPE(pipe * 2 + plane, _SPAKEYMSK, _SPBKEYMSK)
3342#define SPSURF(pipe, plane) _PIPE(pipe * 2 + plane, _SPASURF, _SPBSURF)
3343#define SPKEYMAXVAL(pipe, plane) _PIPE(pipe * 2 + plane, _SPAKEYMAXVAL, _SPBKEYMAXVAL)
3344#define SPTILEOFF(pipe, plane) _PIPE(pipe * 2 + plane, _SPATILEOFF, _SPBTILEOFF)
3345#define SPCONSTALPHA(pipe, plane) _PIPE(pipe * 2 + plane, _SPACONSTALPHA, _SPBCONSTALPHA)
3346#define SPGAMC(pipe, plane) _PIPE(pipe * 2 + plane, _SPAGAMC, _SPBGAMC)
3347
585fb111
JB
3348/* VBIOS regs */
3349#define VGACNTRL 0x71400
3350# define VGA_DISP_DISABLE (1 << 31)
3351# define VGA_2X_MODE (1 << 30)
3352# define VGA_PIPE_B_SELECT (1 << 29)
3353
766aa1c4
VS
3354#define VLV_VGACNTRL (VLV_DISPLAY_BASE + 0x71400)
3355
f2b115e6 3356/* Ironlake */
b9055052
ZW
3357
3358#define CPU_VGACNTRL 0x41000
3359
3360#define DIGITAL_PORT_HOTPLUG_CNTRL 0x44030
3361#define DIGITAL_PORTA_HOTPLUG_ENABLE (1 << 4)
3362#define DIGITAL_PORTA_SHORT_PULSE_2MS (0 << 2)
3363#define DIGITAL_PORTA_SHORT_PULSE_4_5MS (1 << 2)
3364#define DIGITAL_PORTA_SHORT_PULSE_6MS (2 << 2)
3365#define DIGITAL_PORTA_SHORT_PULSE_100MS (3 << 2)
3366#define DIGITAL_PORTA_NO_DETECT (0 << 0)
3367#define DIGITAL_PORTA_LONG_PULSE_DETECT_MASK (1 << 1)
3368#define DIGITAL_PORTA_SHORT_PULSE_DETECT_MASK (1 << 0)
3369
3370/* refresh rate hardware control */
3371#define RR_HW_CTL 0x45300
3372#define RR_HW_LOW_POWER_FRAMES_MASK 0xff
3373#define RR_HW_HIGH_POWER_FRAMES_MASK 0xff00
3374
3375#define FDI_PLL_BIOS_0 0x46000
021357ac 3376#define FDI_PLL_FB_CLOCK_MASK 0xff
b9055052
ZW
3377#define FDI_PLL_BIOS_1 0x46004
3378#define FDI_PLL_BIOS_2 0x46008
3379#define DISPLAY_PORT_PLL_BIOS_0 0x4600c
3380#define DISPLAY_PORT_PLL_BIOS_1 0x46010
3381#define DISPLAY_PORT_PLL_BIOS_2 0x46014
3382
8956c8bb
EA
3383#define PCH_3DCGDIS0 0x46020
3384# define MARIUNIT_CLOCK_GATE_DISABLE (1 << 18)
3385# define SVSMUNIT_CLOCK_GATE_DISABLE (1 << 1)
3386
06f37751
EA
3387#define PCH_3DCGDIS1 0x46024
3388# define VFMUNIT_CLOCK_GATE_DISABLE (1 << 11)
3389
b9055052
ZW
3390#define FDI_PLL_FREQ_CTL 0x46030
3391#define FDI_PLL_FREQ_CHANGE_REQUEST (1<<24)
3392#define FDI_PLL_FREQ_LOCK_LIMIT_MASK 0xfff00
3393#define FDI_PLL_FREQ_DISABLE_COUNT_LIMIT_MASK 0xff
3394
3395
aab17139 3396#define _PIPEA_DATA_M1 (dev_priv->info->display_mmio_offset + 0x60030)
b9055052
ZW
3397#define TU_SIZE(x) (((x)-1) << 25) /* default size 64 */
3398#define TU_SIZE_MASK 0x7e000000
5eddb70b 3399#define PIPE_DATA_M1_OFFSET 0
aab17139 3400#define _PIPEA_DATA_N1 (dev_priv->info->display_mmio_offset + 0x60034)
5eddb70b 3401#define PIPE_DATA_N1_OFFSET 0
b9055052 3402
aab17139 3403#define _PIPEA_DATA_M2 (dev_priv->info->display_mmio_offset + 0x60038)
5eddb70b 3404#define PIPE_DATA_M2_OFFSET 0
aab17139 3405#define _PIPEA_DATA_N2 (dev_priv->info->display_mmio_offset + 0x6003c)
5eddb70b 3406#define PIPE_DATA_N2_OFFSET 0
b9055052 3407
aab17139 3408#define _PIPEA_LINK_M1 (dev_priv->info->display_mmio_offset + 0x60040)
5eddb70b 3409#define PIPE_LINK_M1_OFFSET 0
aab17139 3410#define _PIPEA_LINK_N1 (dev_priv->info->display_mmio_offset + 0x60044)
5eddb70b 3411#define PIPE_LINK_N1_OFFSET 0
b9055052 3412
aab17139 3413#define _PIPEA_LINK_M2 (dev_priv->info->display_mmio_offset + 0x60048)
5eddb70b 3414#define PIPE_LINK_M2_OFFSET 0
aab17139 3415#define _PIPEA_LINK_N2 (dev_priv->info->display_mmio_offset + 0x6004c)
5eddb70b 3416#define PIPE_LINK_N2_OFFSET 0
b9055052
ZW
3417
3418/* PIPEB timing regs are same start from 0x61000 */
3419
aab17139
VS
3420#define _PIPEB_DATA_M1 (dev_priv->info->display_mmio_offset + 0x61030)
3421#define _PIPEB_DATA_N1 (dev_priv->info->display_mmio_offset + 0x61034)
b9055052 3422
aab17139
VS
3423#define _PIPEB_DATA_M2 (dev_priv->info->display_mmio_offset + 0x61038)
3424#define _PIPEB_DATA_N2 (dev_priv->info->display_mmio_offset + 0x6103c)
b9055052 3425
aab17139
VS
3426#define _PIPEB_LINK_M1 (dev_priv->info->display_mmio_offset + 0x61040)
3427#define _PIPEB_LINK_N1 (dev_priv->info->display_mmio_offset + 0x61044)
b9055052 3428
aab17139
VS
3429#define _PIPEB_LINK_M2 (dev_priv->info->display_mmio_offset + 0x61048)
3430#define _PIPEB_LINK_N2 (dev_priv->info->display_mmio_offset + 0x6104c)
5eddb70b 3431
afe2fcf5
PZ
3432#define PIPE_DATA_M1(tran) _TRANSCODER(tran, _PIPEA_DATA_M1, _PIPEB_DATA_M1)
3433#define PIPE_DATA_N1(tran) _TRANSCODER(tran, _PIPEA_DATA_N1, _PIPEB_DATA_N1)
3434#define PIPE_DATA_M2(tran) _TRANSCODER(tran, _PIPEA_DATA_M2, _PIPEB_DATA_M2)
3435#define PIPE_DATA_N2(tran) _TRANSCODER(tran, _PIPEA_DATA_N2, _PIPEB_DATA_N2)
3436#define PIPE_LINK_M1(tran) _TRANSCODER(tran, _PIPEA_LINK_M1, _PIPEB_LINK_M1)
3437#define PIPE_LINK_N1(tran) _TRANSCODER(tran, _PIPEA_LINK_N1, _PIPEB_LINK_N1)
3438#define PIPE_LINK_M2(tran) _TRANSCODER(tran, _PIPEA_LINK_M2, _PIPEB_LINK_M2)
3439#define PIPE_LINK_N2(tran) _TRANSCODER(tran, _PIPEA_LINK_N2, _PIPEB_LINK_N2)
b9055052
ZW
3440
3441/* CPU panel fitter */
9db4a9c7
JB
3442/* IVB+ has 3 fitters, 0 is 7x5 capable, the other two only 3x3 */
3443#define _PFA_CTL_1 0x68080
3444#define _PFB_CTL_1 0x68880
b9055052 3445#define PF_ENABLE (1<<31)
13888d78
PZ
3446#define PF_PIPE_SEL_MASK_IVB (3<<29)
3447#define PF_PIPE_SEL_IVB(pipe) ((pipe)<<29)
b1f60b70
ZW
3448#define PF_FILTER_MASK (3<<23)
3449#define PF_FILTER_PROGRAMMED (0<<23)
3450#define PF_FILTER_MED_3x3 (1<<23)
3451#define PF_FILTER_EDGE_ENHANCE (2<<23)
3452#define PF_FILTER_EDGE_SOFTEN (3<<23)
9db4a9c7
JB
3453#define _PFA_WIN_SZ 0x68074
3454#define _PFB_WIN_SZ 0x68874
3455#define _PFA_WIN_POS 0x68070
3456#define _PFB_WIN_POS 0x68870
3457#define _PFA_VSCALE 0x68084
3458#define _PFB_VSCALE 0x68884
3459#define _PFA_HSCALE 0x68090
3460#define _PFB_HSCALE 0x68890
3461
3462#define PF_CTL(pipe) _PIPE(pipe, _PFA_CTL_1, _PFB_CTL_1)
3463#define PF_WIN_SZ(pipe) _PIPE(pipe, _PFA_WIN_SZ, _PFB_WIN_SZ)
3464#define PF_WIN_POS(pipe) _PIPE(pipe, _PFA_WIN_POS, _PFB_WIN_POS)
3465#define PF_VSCALE(pipe) _PIPE(pipe, _PFA_VSCALE, _PFB_VSCALE)
3466#define PF_HSCALE(pipe) _PIPE(pipe, _PFA_HSCALE, _PFB_HSCALE)
b9055052
ZW
3467
3468/* legacy palette */
9db4a9c7
JB
3469#define _LGC_PALETTE_A 0x4a000
3470#define _LGC_PALETTE_B 0x4a800
3471#define LGC_PALETTE(pipe) _PIPE(pipe, _LGC_PALETTE_A, _LGC_PALETTE_B)
b9055052
ZW
3472
3473/* interrupts */
3474#define DE_MASTER_IRQ_CONTROL (1 << 31)
3475#define DE_SPRITEB_FLIP_DONE (1 << 29)
3476#define DE_SPRITEA_FLIP_DONE (1 << 28)
3477#define DE_PLANEB_FLIP_DONE (1 << 27)
3478#define DE_PLANEA_FLIP_DONE (1 << 26)
3479#define DE_PCU_EVENT (1 << 25)
3480#define DE_GTT_FAULT (1 << 24)
3481#define DE_POISON (1 << 23)
3482#define DE_PERFORM_COUNTER (1 << 22)
3483#define DE_PCH_EVENT (1 << 21)
3484#define DE_AUX_CHANNEL_A (1 << 20)
3485#define DE_DP_A_HOTPLUG (1 << 19)
3486#define DE_GSE (1 << 18)
3487#define DE_PIPEB_VBLANK (1 << 15)
3488#define DE_PIPEB_EVEN_FIELD (1 << 14)
3489#define DE_PIPEB_ODD_FIELD (1 << 13)
3490#define DE_PIPEB_LINE_COMPARE (1 << 12)
3491#define DE_PIPEB_VSYNC (1 << 11)
3492#define DE_PIPEB_FIFO_UNDERRUN (1 << 8)
3493#define DE_PIPEA_VBLANK (1 << 7)
3494#define DE_PIPEA_EVEN_FIELD (1 << 6)
3495#define DE_PIPEA_ODD_FIELD (1 << 5)
3496#define DE_PIPEA_LINE_COMPARE (1 << 4)
3497#define DE_PIPEA_VSYNC (1 << 3)
3498#define DE_PIPEA_FIFO_UNDERRUN (1 << 0)
3499
b1f14ad0
JB
3500/* More Ivybridge lolz */
3501#define DE_ERR_DEBUG_IVB (1<<30)
3502#define DE_GSE_IVB (1<<29)
3503#define DE_PCH_EVENT_IVB (1<<28)
3504#define DE_DP_A_HOTPLUG_IVB (1<<27)
3505#define DE_AUX_CHANNEL_A_IVB (1<<26)
b615b57a
CW
3506#define DE_SPRITEC_FLIP_DONE_IVB (1<<14)
3507#define DE_PLANEC_FLIP_DONE_IVB (1<<13)
3508#define DE_PIPEC_VBLANK_IVB (1<<10)
b1f14ad0 3509#define DE_SPRITEB_FLIP_DONE_IVB (1<<9)
b1f14ad0 3510#define DE_PLANEB_FLIP_DONE_IVB (1<<8)
b1f14ad0 3511#define DE_PIPEB_VBLANK_IVB (1<<5)
b615b57a
CW
3512#define DE_SPRITEA_FLIP_DONE_IVB (1<<4)
3513#define DE_PLANEA_FLIP_DONE_IVB (1<<3)
b1f14ad0
JB
3514#define DE_PIPEA_VBLANK_IVB (1<<0)
3515
7eea1ddf
JB
3516#define VLV_MASTER_IER 0x4400c /* Gunit master IER */
3517#define MASTER_INTERRUPT_ENABLE (1<<31)
3518
b9055052
ZW
3519#define DEISR 0x44000
3520#define DEIMR 0x44004
3521#define DEIIR 0x44008
3522#define DEIER 0x4400c
3523
e2a1e2f0
BW
3524/* GT interrupt.
3525 * Note that for gen6+ the ring-specific interrupt bits do alias with the
3526 * corresponding bits in the per-ring interrupt control registers. */
7eea1ddf
JB
3527#define GT_GEN6_BLT_FLUSHDW_NOTIFY_INTERRUPT (1 << 26)
3528#define GT_GEN6_BLT_CS_ERROR_INTERRUPT (1 << 25)
e2a1e2f0 3529#define GT_GEN6_BLT_USER_INTERRUPT (1 << 22)
7eea1ddf
JB
3530#define GT_GEN6_BSD_CS_ERROR_INTERRUPT (1 << 15)
3531#define GT_GEN6_BSD_USER_INTERRUPT (1 << 12)
e2a1e2f0 3532#define GT_BSD_USER_INTERRUPT (1 << 5) /* ilk only */
7eea1ddf
JB
3533#define GT_GEN7_L3_PARITY_ERROR_INTERRUPT (1 << 5)
3534#define GT_PIPE_NOTIFY (1 << 4)
3535#define GT_RENDER_CS_ERROR_INTERRUPT (1 << 3)
3536#define GT_SYNC_STATUS (1 << 2)
3537#define GT_USER_INTERRUPT (1 << 0)
b9055052
ZW
3538
3539#define GTISR 0x44010
3540#define GTIMR 0x44014
3541#define GTIIR 0x44018
3542#define GTIER 0x4401c
3543
7f8a8569 3544#define ILK_DISPLAY_CHICKEN2 0x42004
67e92af0
EA
3545/* Required on all Ironlake and Sandybridge according to the B-Spec. */
3546#define ILK_ELPIN_409_SELECT (1 << 25)
7f8a8569
ZW
3547#define ILK_DPARB_GATE (1<<22)
3548#define ILK_VSDPFD_FULL (1<<21)
4d302442
CW
3549#define ILK_DISPLAY_CHICKEN_FUSES 0x42014
3550#define ILK_INTERNAL_GRAPHICS_DISABLE (1<<31)
3551#define ILK_INTERNAL_DISPLAY_DISABLE (1<<30)
3552#define ILK_DISPLAY_DEBUG_DISABLE (1<<29)
3553#define ILK_HDCP_DISABLE (1<<25)
3554#define ILK_eDP_A_DISABLE (1<<24)
3555#define ILK_DESKTOP (1<<23)
231e54f6
DL
3556
3557#define ILK_DSPCLK_GATE_D 0x42020
3558#define ILK_VRHUNIT_CLOCK_GATE_DISABLE (1 << 28)
3559#define ILK_DPFCUNIT_CLOCK_GATE_DISABLE (1 << 9)
3560#define ILK_DPFCRUNIT_CLOCK_GATE_DISABLE (1 << 8)
3561#define ILK_DPFDUNIT_CLOCK_GATE_ENABLE (1 << 7)
3562#define ILK_DPARBUNIT_CLOCK_GATE_ENABLE (1 << 5)
7f8a8569 3563
116ac8d2
EA
3564#define IVB_CHICKEN3 0x4200c
3565# define CHICKEN3_DGMG_REQ_OUT_FIX_DISABLE (1 << 5)
3566# define CHICKEN3_DGMG_DONE_FIX_DISABLE (1 << 2)
3567
553bd149
ZW
3568#define DISP_ARB_CTL 0x45000
3569#define DISP_TILE_SURFACE_SWIZZLING (1<<13)
7f8a8569 3570#define DISP_FBC_WM_DIS (1<<15)
553bd149 3571
e4e0c058 3572/* GEN7 chicken */
d71de14d
KG
3573#define GEN7_COMMON_SLICE_CHICKEN1 0x7010
3574# define GEN7_CSC1_RHWO_OPT_DISABLE_IN_RCC ((1<<10) | (1<<26))
3575
e4e0c058
ED
3576#define GEN7_L3CNTLREG1 0xB01C
3577#define GEN7_WA_FOR_GEN7_L3_CONTROL 0x3C4FFF8C
d0cf5ead 3578#define GEN7_L3AGDIS (1<<19)
e4e0c058
ED
3579
3580#define GEN7_L3_CHICKEN_MODE_REGISTER 0xB030
3581#define GEN7_WA_L3_CHICKEN_MODE 0x20000000
3582
61939d97
JB
3583#define GEN7_L3SQCREG4 0xb034
3584#define L3SQ_URB_READ_CAM_MATCH_DISABLE (1<<27)
3585
db099c8f
ED
3586/* WaCatErrorRejectionIssue */
3587#define GEN7_SQ_CHICKEN_MBCUNIT_CONFIG 0x9030
3588#define GEN7_SQ_CHICKEN_MBCUNIT_SQINTMOB (1<<11)
3589
79f689aa
PZ
3590#define HSW_FUSE_STRAP 0x42014
3591#define HSW_CDCLK_LIMIT (1 << 24)
3592
b9055052
ZW
3593/* PCH */
3594
23e81d69 3595/* south display engine interrupt: IBX */
776ad806
JB
3596#define SDE_AUDIO_POWER_D (1 << 27)
3597#define SDE_AUDIO_POWER_C (1 << 26)
3598#define SDE_AUDIO_POWER_B (1 << 25)
3599#define SDE_AUDIO_POWER_SHIFT (25)
3600#define SDE_AUDIO_POWER_MASK (7 << SDE_AUDIO_POWER_SHIFT)
3601#define SDE_GMBUS (1 << 24)
3602#define SDE_AUDIO_HDCP_TRANSB (1 << 23)
3603#define SDE_AUDIO_HDCP_TRANSA (1 << 22)
3604#define SDE_AUDIO_HDCP_MASK (3 << 22)
3605#define SDE_AUDIO_TRANSB (1 << 21)
3606#define SDE_AUDIO_TRANSA (1 << 20)
3607#define SDE_AUDIO_TRANS_MASK (3 << 20)
3608#define SDE_POISON (1 << 19)
3609/* 18 reserved */
3610#define SDE_FDI_RXB (1 << 17)
3611#define SDE_FDI_RXA (1 << 16)
3612#define SDE_FDI_MASK (3 << 16)
3613#define SDE_AUXD (1 << 15)
3614#define SDE_AUXC (1 << 14)
3615#define SDE_AUXB (1 << 13)
3616#define SDE_AUX_MASK (7 << 13)
3617/* 12 reserved */
b9055052
ZW
3618#define SDE_CRT_HOTPLUG (1 << 11)
3619#define SDE_PORTD_HOTPLUG (1 << 10)
3620#define SDE_PORTC_HOTPLUG (1 << 9)
3621#define SDE_PORTB_HOTPLUG (1 << 8)
3622#define SDE_SDVOB_HOTPLUG (1 << 6)
e5868a31
EE
3623#define SDE_HOTPLUG_MASK (SDE_CRT_HOTPLUG | \
3624 SDE_SDVOB_HOTPLUG | \
3625 SDE_PORTB_HOTPLUG | \
3626 SDE_PORTC_HOTPLUG | \
3627 SDE_PORTD_HOTPLUG)
776ad806
JB
3628#define SDE_TRANSB_CRC_DONE (1 << 5)
3629#define SDE_TRANSB_CRC_ERR (1 << 4)
3630#define SDE_TRANSB_FIFO_UNDER (1 << 3)
3631#define SDE_TRANSA_CRC_DONE (1 << 2)
3632#define SDE_TRANSA_CRC_ERR (1 << 1)
3633#define SDE_TRANSA_FIFO_UNDER (1 << 0)
3634#define SDE_TRANS_MASK (0x3f)
23e81d69
AJ
3635
3636/* south display engine interrupt: CPT/PPT */
3637#define SDE_AUDIO_POWER_D_CPT (1 << 31)
3638#define SDE_AUDIO_POWER_C_CPT (1 << 30)
3639#define SDE_AUDIO_POWER_B_CPT (1 << 29)
3640#define SDE_AUDIO_POWER_SHIFT_CPT 29
3641#define SDE_AUDIO_POWER_MASK_CPT (7 << 29)
3642#define SDE_AUXD_CPT (1 << 27)
3643#define SDE_AUXC_CPT (1 << 26)
3644#define SDE_AUXB_CPT (1 << 25)
3645#define SDE_AUX_MASK_CPT (7 << 25)
8db9d77b
ZW
3646#define SDE_PORTD_HOTPLUG_CPT (1 << 23)
3647#define SDE_PORTC_HOTPLUG_CPT (1 << 22)
3648#define SDE_PORTB_HOTPLUG_CPT (1 << 21)
23e81d69 3649#define SDE_CRT_HOTPLUG_CPT (1 << 19)
73c352a2 3650#define SDE_SDVOB_HOTPLUG_CPT (1 << 18)
2d7b8366 3651#define SDE_HOTPLUG_MASK_CPT (SDE_CRT_HOTPLUG_CPT | \
73c352a2 3652 SDE_SDVOB_HOTPLUG_CPT | \
2d7b8366
YL
3653 SDE_PORTD_HOTPLUG_CPT | \
3654 SDE_PORTC_HOTPLUG_CPT | \
3655 SDE_PORTB_HOTPLUG_CPT)
23e81d69
AJ
3656#define SDE_GMBUS_CPT (1 << 17)
3657#define SDE_AUDIO_CP_REQ_C_CPT (1 << 10)
3658#define SDE_AUDIO_CP_CHG_C_CPT (1 << 9)
3659#define SDE_FDI_RXC_CPT (1 << 8)
3660#define SDE_AUDIO_CP_REQ_B_CPT (1 << 6)
3661#define SDE_AUDIO_CP_CHG_B_CPT (1 << 5)
3662#define SDE_FDI_RXB_CPT (1 << 4)
3663#define SDE_AUDIO_CP_REQ_A_CPT (1 << 2)
3664#define SDE_AUDIO_CP_CHG_A_CPT (1 << 1)
3665#define SDE_FDI_RXA_CPT (1 << 0)
3666#define SDE_AUDIO_CP_REQ_CPT (SDE_AUDIO_CP_REQ_C_CPT | \
3667 SDE_AUDIO_CP_REQ_B_CPT | \
3668 SDE_AUDIO_CP_REQ_A_CPT)
3669#define SDE_AUDIO_CP_CHG_CPT (SDE_AUDIO_CP_CHG_C_CPT | \
3670 SDE_AUDIO_CP_CHG_B_CPT | \
3671 SDE_AUDIO_CP_CHG_A_CPT)
3672#define SDE_FDI_MASK_CPT (SDE_FDI_RXC_CPT | \
3673 SDE_FDI_RXB_CPT | \
3674 SDE_FDI_RXA_CPT)
b9055052
ZW
3675
3676#define SDEISR 0xc4000
3677#define SDEIMR 0xc4004
3678#define SDEIIR 0xc4008
3679#define SDEIER 0xc400c
3680
3681/* digital port hotplug */
7fe0b973 3682#define PCH_PORT_HOTPLUG 0xc4030 /* SHOTPLUG_CTL */
b9055052
ZW
3683#define PORTD_HOTPLUG_ENABLE (1 << 20)
3684#define PORTD_PULSE_DURATION_2ms (0)
3685#define PORTD_PULSE_DURATION_4_5ms (1 << 18)
3686#define PORTD_PULSE_DURATION_6ms (2 << 18)
3687#define PORTD_PULSE_DURATION_100ms (3 << 18)
7fe0b973 3688#define PORTD_PULSE_DURATION_MASK (3 << 18)
b696519e
DL
3689#define PORTD_HOTPLUG_STATUS_MASK (0x3 << 16)
3690#define PORTD_HOTPLUG_NO_DETECT (0 << 16)
3691#define PORTD_HOTPLUG_SHORT_DETECT (1 << 16)
3692#define PORTD_HOTPLUG_LONG_DETECT (2 << 16)
b9055052
ZW
3693#define PORTC_HOTPLUG_ENABLE (1 << 12)
3694#define PORTC_PULSE_DURATION_2ms (0)
3695#define PORTC_PULSE_DURATION_4_5ms (1 << 10)
3696#define PORTC_PULSE_DURATION_6ms (2 << 10)
3697#define PORTC_PULSE_DURATION_100ms (3 << 10)
7fe0b973 3698#define PORTC_PULSE_DURATION_MASK (3 << 10)
b696519e
DL
3699#define PORTC_HOTPLUG_STATUS_MASK (0x3 << 8)
3700#define PORTC_HOTPLUG_NO_DETECT (0 << 8)
3701#define PORTC_HOTPLUG_SHORT_DETECT (1 << 8)
3702#define PORTC_HOTPLUG_LONG_DETECT (2 << 8)
b9055052
ZW
3703#define PORTB_HOTPLUG_ENABLE (1 << 4)
3704#define PORTB_PULSE_DURATION_2ms (0)
3705#define PORTB_PULSE_DURATION_4_5ms (1 << 2)
3706#define PORTB_PULSE_DURATION_6ms (2 << 2)
3707#define PORTB_PULSE_DURATION_100ms (3 << 2)
7fe0b973 3708#define PORTB_PULSE_DURATION_MASK (3 << 2)
b696519e
DL
3709#define PORTB_HOTPLUG_STATUS_MASK (0x3 << 0)
3710#define PORTB_HOTPLUG_NO_DETECT (0 << 0)
3711#define PORTB_HOTPLUG_SHORT_DETECT (1 << 0)
3712#define PORTB_HOTPLUG_LONG_DETECT (2 << 0)
b9055052
ZW
3713
3714#define PCH_GPIOA 0xc5010
3715#define PCH_GPIOB 0xc5014
3716#define PCH_GPIOC 0xc5018
3717#define PCH_GPIOD 0xc501c
3718#define PCH_GPIOE 0xc5020
3719#define PCH_GPIOF 0xc5024
3720
f0217c42
EA
3721#define PCH_GMBUS0 0xc5100
3722#define PCH_GMBUS1 0xc5104
3723#define PCH_GMBUS2 0xc5108
3724#define PCH_GMBUS3 0xc510c
3725#define PCH_GMBUS4 0xc5110
3726#define PCH_GMBUS5 0xc5120
3727
9db4a9c7
JB
3728#define _PCH_DPLL_A 0xc6014
3729#define _PCH_DPLL_B 0xc6018
ee7b9f93 3730#define _PCH_DPLL(pll) (pll == 0 ? _PCH_DPLL_A : _PCH_DPLL_B)
b9055052 3731
9db4a9c7 3732#define _PCH_FPA0 0xc6040
c1858123 3733#define FP_CB_TUNE (0x3<<22)
9db4a9c7
JB
3734#define _PCH_FPA1 0xc6044
3735#define _PCH_FPB0 0xc6048
3736#define _PCH_FPB1 0xc604c
ee7b9f93
JB
3737#define _PCH_FP0(pll) (pll == 0 ? _PCH_FPA0 : _PCH_FPB0)
3738#define _PCH_FP1(pll) (pll == 0 ? _PCH_FPA1 : _PCH_FPB1)
b9055052
ZW
3739
3740#define PCH_DPLL_TEST 0xc606c
3741
3742#define PCH_DREF_CONTROL 0xC6200
3743#define DREF_CONTROL_MASK 0x7fc3
3744#define DREF_CPU_SOURCE_OUTPUT_DISABLE (0<<13)
3745#define DREF_CPU_SOURCE_OUTPUT_DOWNSPREAD (2<<13)
3746#define DREF_CPU_SOURCE_OUTPUT_NONSPREAD (3<<13)
3747#define DREF_CPU_SOURCE_OUTPUT_MASK (3<<13)
3748#define DREF_SSC_SOURCE_DISABLE (0<<11)
3749#define DREF_SSC_SOURCE_ENABLE (2<<11)
c038e51e 3750#define DREF_SSC_SOURCE_MASK (3<<11)
b9055052
ZW
3751#define DREF_NONSPREAD_SOURCE_DISABLE (0<<9)
3752#define DREF_NONSPREAD_CK505_ENABLE (1<<9)
3753#define DREF_NONSPREAD_SOURCE_ENABLE (2<<9)
c038e51e 3754#define DREF_NONSPREAD_SOURCE_MASK (3<<9)
b9055052
ZW
3755#define DREF_SUPERSPREAD_SOURCE_DISABLE (0<<7)
3756#define DREF_SUPERSPREAD_SOURCE_ENABLE (2<<7)
92f2584a 3757#define DREF_SUPERSPREAD_SOURCE_MASK (3<<7)
b9055052
ZW
3758#define DREF_SSC4_DOWNSPREAD (0<<6)
3759#define DREF_SSC4_CENTERSPREAD (1<<6)
3760#define DREF_SSC1_DISABLE (0<<1)
3761#define DREF_SSC1_ENABLE (1<<1)
3762#define DREF_SSC4_DISABLE (0)
3763#define DREF_SSC4_ENABLE (1)
3764
3765#define PCH_RAWCLK_FREQ 0xc6204
3766#define FDL_TP1_TIMER_SHIFT 12
3767#define FDL_TP1_TIMER_MASK (3<<12)
3768#define FDL_TP2_TIMER_SHIFT 10
3769#define FDL_TP2_TIMER_MASK (3<<10)
3770#define RAWCLK_FREQ_MASK 0x3ff
3771
3772#define PCH_DPLL_TMR_CFG 0xc6208
3773
3774#define PCH_SSC4_PARMS 0xc6210
3775#define PCH_SSC4_AUX_PARMS 0xc6214
3776
8db9d77b
ZW
3777#define PCH_DPLL_SEL 0xc7000
3778#define TRANSA_DPLL_ENABLE (1<<3)
3779#define TRANSA_DPLLB_SEL (1<<0)
3780#define TRANSA_DPLLA_SEL 0
3781#define TRANSB_DPLL_ENABLE (1<<7)
3782#define TRANSB_DPLLB_SEL (1<<4)
3783#define TRANSB_DPLLA_SEL (0)
3784#define TRANSC_DPLL_ENABLE (1<<11)
3785#define TRANSC_DPLLB_SEL (1<<8)
3786#define TRANSC_DPLLA_SEL (0)
3787
b9055052
ZW
3788/* transcoder */
3789
9db4a9c7 3790#define _TRANS_HTOTAL_A 0xe0000
b9055052
ZW
3791#define TRANS_HTOTAL_SHIFT 16
3792#define TRANS_HACTIVE_SHIFT 0
9db4a9c7 3793#define _TRANS_HBLANK_A 0xe0004
b9055052
ZW
3794#define TRANS_HBLANK_END_SHIFT 16
3795#define TRANS_HBLANK_START_SHIFT 0
9db4a9c7 3796#define _TRANS_HSYNC_A 0xe0008
b9055052
ZW
3797#define TRANS_HSYNC_END_SHIFT 16
3798#define TRANS_HSYNC_START_SHIFT 0
9db4a9c7 3799#define _TRANS_VTOTAL_A 0xe000c
b9055052
ZW
3800#define TRANS_VTOTAL_SHIFT 16
3801#define TRANS_VACTIVE_SHIFT 0
9db4a9c7 3802#define _TRANS_VBLANK_A 0xe0010
b9055052
ZW
3803#define TRANS_VBLANK_END_SHIFT 16
3804#define TRANS_VBLANK_START_SHIFT 0
9db4a9c7 3805#define _TRANS_VSYNC_A 0xe0014
b9055052
ZW
3806#define TRANS_VSYNC_END_SHIFT 16
3807#define TRANS_VSYNC_START_SHIFT 0
0529a0d9 3808#define _TRANS_VSYNCSHIFT_A 0xe0028
b9055052 3809
9db4a9c7
JB
3810#define _TRANSA_DATA_M1 0xe0030
3811#define _TRANSA_DATA_N1 0xe0034
3812#define _TRANSA_DATA_M2 0xe0038
3813#define _TRANSA_DATA_N2 0xe003c
3814#define _TRANSA_DP_LINK_M1 0xe0040
3815#define _TRANSA_DP_LINK_N1 0xe0044
3816#define _TRANSA_DP_LINK_M2 0xe0048
3817#define _TRANSA_DP_LINK_N2 0xe004c
3818
b055c8f3
JB
3819/* Per-transcoder DIP controls */
3820
3821#define _VIDEO_DIP_CTL_A 0xe0200
3822#define _VIDEO_DIP_DATA_A 0xe0208
3823#define _VIDEO_DIP_GCP_A 0xe0210
3824
3825#define _VIDEO_DIP_CTL_B 0xe1200
3826#define _VIDEO_DIP_DATA_B 0xe1208
3827#define _VIDEO_DIP_GCP_B 0xe1210
3828
3829#define TVIDEO_DIP_CTL(pipe) _PIPE(pipe, _VIDEO_DIP_CTL_A, _VIDEO_DIP_CTL_B)
3830#define TVIDEO_DIP_DATA(pipe) _PIPE(pipe, _VIDEO_DIP_DATA_A, _VIDEO_DIP_DATA_B)
3831#define TVIDEO_DIP_GCP(pipe) _PIPE(pipe, _VIDEO_DIP_GCP_A, _VIDEO_DIP_GCP_B)
3832
b906487c
VS
3833#define VLV_VIDEO_DIP_CTL_A (VLV_DISPLAY_BASE + 0x60200)
3834#define VLV_VIDEO_DIP_DATA_A (VLV_DISPLAY_BASE + 0x60208)
3835#define VLV_VIDEO_DIP_GDCP_PAYLOAD_A (VLV_DISPLAY_BASE + 0x60210)
90b107c8 3836
b906487c
VS
3837#define VLV_VIDEO_DIP_CTL_B (VLV_DISPLAY_BASE + 0x61170)
3838#define VLV_VIDEO_DIP_DATA_B (VLV_DISPLAY_BASE + 0x61174)
3839#define VLV_VIDEO_DIP_GDCP_PAYLOAD_B (VLV_DISPLAY_BASE + 0x61178)
90b107c8
SK
3840
3841#define VLV_TVIDEO_DIP_CTL(pipe) \
3842 _PIPE(pipe, VLV_VIDEO_DIP_CTL_A, VLV_VIDEO_DIP_CTL_B)
3843#define VLV_TVIDEO_DIP_DATA(pipe) \
3844 _PIPE(pipe, VLV_VIDEO_DIP_DATA_A, VLV_VIDEO_DIP_DATA_B)
3845#define VLV_TVIDEO_DIP_GCP(pipe) \
3846 _PIPE(pipe, VLV_VIDEO_DIP_GDCP_PAYLOAD_A, VLV_VIDEO_DIP_GDCP_PAYLOAD_B)
3847
8c5f5f7c
ED
3848/* Haswell DIP controls */
3849#define HSW_VIDEO_DIP_CTL_A 0x60200
3850#define HSW_VIDEO_DIP_AVI_DATA_A 0x60220
3851#define HSW_VIDEO_DIP_VS_DATA_A 0x60260
3852#define HSW_VIDEO_DIP_SPD_DATA_A 0x602A0
3853#define HSW_VIDEO_DIP_GMP_DATA_A 0x602E0
3854#define HSW_VIDEO_DIP_VSC_DATA_A 0x60320
3855#define HSW_VIDEO_DIP_AVI_ECC_A 0x60240
3856#define HSW_VIDEO_DIP_VS_ECC_A 0x60280
3857#define HSW_VIDEO_DIP_SPD_ECC_A 0x602C0
3858#define HSW_VIDEO_DIP_GMP_ECC_A 0x60300
3859#define HSW_VIDEO_DIP_VSC_ECC_A 0x60344
3860#define HSW_VIDEO_DIP_GCP_A 0x60210
3861
3862#define HSW_VIDEO_DIP_CTL_B 0x61200
3863#define HSW_VIDEO_DIP_AVI_DATA_B 0x61220
3864#define HSW_VIDEO_DIP_VS_DATA_B 0x61260
3865#define HSW_VIDEO_DIP_SPD_DATA_B 0x612A0
3866#define HSW_VIDEO_DIP_GMP_DATA_B 0x612E0
3867#define HSW_VIDEO_DIP_VSC_DATA_B 0x61320
3868#define HSW_VIDEO_DIP_BVI_ECC_B 0x61240
3869#define HSW_VIDEO_DIP_VS_ECC_B 0x61280
3870#define HSW_VIDEO_DIP_SPD_ECC_B 0x612C0
3871#define HSW_VIDEO_DIP_GMP_ECC_B 0x61300
3872#define HSW_VIDEO_DIP_VSC_ECC_B 0x61344
3873#define HSW_VIDEO_DIP_GCP_B 0x61210
3874
7d9bcebe
RV
3875#define HSW_TVIDEO_DIP_CTL(trans) \
3876 _TRANSCODER(trans, HSW_VIDEO_DIP_CTL_A, HSW_VIDEO_DIP_CTL_B)
3877#define HSW_TVIDEO_DIP_AVI_DATA(trans) \
3878 _TRANSCODER(trans, HSW_VIDEO_DIP_AVI_DATA_A, HSW_VIDEO_DIP_AVI_DATA_B)
3879#define HSW_TVIDEO_DIP_SPD_DATA(trans) \
3880 _TRANSCODER(trans, HSW_VIDEO_DIP_SPD_DATA_A, HSW_VIDEO_DIP_SPD_DATA_B)
3881#define HSW_TVIDEO_DIP_GCP(trans) \
3882 _TRANSCODER(trans, HSW_VIDEO_DIP_GCP_A, HSW_VIDEO_DIP_GCP_B)
3883#define HSW_TVIDEO_DIP_VSC_DATA(trans) \
3884 _TRANSCODER(trans, HSW_VIDEO_DIP_VSC_DATA_A, HSW_VIDEO_DIP_VSC_DATA_B)
8c5f5f7c 3885
9db4a9c7
JB
3886#define _TRANS_HTOTAL_B 0xe1000
3887#define _TRANS_HBLANK_B 0xe1004
3888#define _TRANS_HSYNC_B 0xe1008
3889#define _TRANS_VTOTAL_B 0xe100c
3890#define _TRANS_VBLANK_B 0xe1010
3891#define _TRANS_VSYNC_B 0xe1014
0529a0d9 3892#define _TRANS_VSYNCSHIFT_B 0xe1028
9db4a9c7
JB
3893
3894#define TRANS_HTOTAL(pipe) _PIPE(pipe, _TRANS_HTOTAL_A, _TRANS_HTOTAL_B)
3895#define TRANS_HBLANK(pipe) _PIPE(pipe, _TRANS_HBLANK_A, _TRANS_HBLANK_B)
3896#define TRANS_HSYNC(pipe) _PIPE(pipe, _TRANS_HSYNC_A, _TRANS_HSYNC_B)
3897#define TRANS_VTOTAL(pipe) _PIPE(pipe, _TRANS_VTOTAL_A, _TRANS_VTOTAL_B)
3898#define TRANS_VBLANK(pipe) _PIPE(pipe, _TRANS_VBLANK_A, _TRANS_VBLANK_B)
3899#define TRANS_VSYNC(pipe) _PIPE(pipe, _TRANS_VSYNC_A, _TRANS_VSYNC_B)
0529a0d9
DV
3900#define TRANS_VSYNCSHIFT(pipe) _PIPE(pipe, _TRANS_VSYNCSHIFT_A, \
3901 _TRANS_VSYNCSHIFT_B)
9db4a9c7
JB
3902
3903#define _TRANSB_DATA_M1 0xe1030
3904#define _TRANSB_DATA_N1 0xe1034
3905#define _TRANSB_DATA_M2 0xe1038
3906#define _TRANSB_DATA_N2 0xe103c
3907#define _TRANSB_DP_LINK_M1 0xe1040
3908#define _TRANSB_DP_LINK_N1 0xe1044
3909#define _TRANSB_DP_LINK_M2 0xe1048
3910#define _TRANSB_DP_LINK_N2 0xe104c
3911
3912#define TRANSDATA_M1(pipe) _PIPE(pipe, _TRANSA_DATA_M1, _TRANSB_DATA_M1)
3913#define TRANSDATA_N1(pipe) _PIPE(pipe, _TRANSA_DATA_N1, _TRANSB_DATA_N1)
3914#define TRANSDATA_M2(pipe) _PIPE(pipe, _TRANSA_DATA_M2, _TRANSB_DATA_M2)
3915#define TRANSDATA_N2(pipe) _PIPE(pipe, _TRANSA_DATA_N2, _TRANSB_DATA_N2)
3916#define TRANSDPLINK_M1(pipe) _PIPE(pipe, _TRANSA_DP_LINK_M1, _TRANSB_DP_LINK_M1)
3917#define TRANSDPLINK_N1(pipe) _PIPE(pipe, _TRANSA_DP_LINK_N1, _TRANSB_DP_LINK_N1)
3918#define TRANSDPLINK_M2(pipe) _PIPE(pipe, _TRANSA_DP_LINK_M2, _TRANSB_DP_LINK_M2)
3919#define TRANSDPLINK_N2(pipe) _PIPE(pipe, _TRANSA_DP_LINK_N2, _TRANSB_DP_LINK_N2)
3920
3921#define _TRANSACONF 0xf0008
3922#define _TRANSBCONF 0xf1008
3923#define TRANSCONF(plane) _PIPE(plane, _TRANSACONF, _TRANSBCONF)
b9055052
ZW
3924#define TRANS_DISABLE (0<<31)
3925#define TRANS_ENABLE (1<<31)
3926#define TRANS_STATE_MASK (1<<30)
3927#define TRANS_STATE_DISABLE (0<<30)
3928#define TRANS_STATE_ENABLE (1<<30)
3929#define TRANS_FSYNC_DELAY_HB1 (0<<27)
3930#define TRANS_FSYNC_DELAY_HB2 (1<<27)
3931#define TRANS_FSYNC_DELAY_HB3 (2<<27)
3932#define TRANS_FSYNC_DELAY_HB4 (3<<27)
5f7f726d 3933#define TRANS_INTERLACE_MASK (7<<21)
b9055052 3934#define TRANS_PROGRESSIVE (0<<21)
5f7f726d 3935#define TRANS_INTERLACED (3<<21)
7c26e5c6 3936#define TRANS_LEGACY_INTERLACED_ILK (2<<21)
b9055052
ZW
3937#define TRANS_8BPC (0<<5)
3938#define TRANS_10BPC (1<<5)
3939#define TRANS_6BPC (2<<5)
3940#define TRANS_12BPC (3<<5)
3941
ce40141f
DV
3942#define _TRANSA_CHICKEN1 0xf0060
3943#define _TRANSB_CHICKEN1 0xf1060
3944#define TRANS_CHICKEN1(pipe) _PIPE(pipe, _TRANSA_CHICKEN1, _TRANSB_CHICKEN1)
3945#define TRANS_CHICKEN1_DP0UNIT_GC_DISABLE (1<<4)
3bcf603f
JB
3946#define _TRANSA_CHICKEN2 0xf0064
3947#define _TRANSB_CHICKEN2 0xf1064
3948#define TRANS_CHICKEN2(pipe) _PIPE(pipe, _TRANSA_CHICKEN2, _TRANSB_CHICKEN2)
23670b32
DV
3949#define TRANS_CHICKEN2_TIMING_OVERRIDE (1<<31)
3950
3bcf603f 3951
291427f5
JB
3952#define SOUTH_CHICKEN1 0xc2000
3953#define FDIA_PHASE_SYNC_SHIFT_OVR 19
3954#define FDIA_PHASE_SYNC_SHIFT_EN 18
01a415fd
DV
3955#define FDI_PHASE_SYNC_OVR(pipe) (1<<(FDIA_PHASE_SYNC_SHIFT_OVR - ((pipe) * 2)))
3956#define FDI_PHASE_SYNC_EN(pipe) (1<<(FDIA_PHASE_SYNC_SHIFT_EN - ((pipe) * 2)))
3957#define FDI_BC_BIFURCATION_SELECT (1 << 12)
645c62a5 3958#define SOUTH_CHICKEN2 0xc2004
dde86e2d
PZ
3959#define FDI_MPHY_IOSFSB_RESET_STATUS (1<<13)
3960#define FDI_MPHY_IOSFSB_RESET_CTL (1<<12)
3961#define DPLS_EDP_PPS_FIX_DIS (1<<0)
645c62a5 3962
9db4a9c7
JB
3963#define _FDI_RXA_CHICKEN 0xc200c
3964#define _FDI_RXB_CHICKEN 0xc2010
6f06ce18
JB
3965#define FDI_RX_PHASE_SYNC_POINTER_OVR (1<<1)
3966#define FDI_RX_PHASE_SYNC_POINTER_EN (1<<0)
9db4a9c7 3967#define FDI_RX_CHICKEN(pipe) _PIPE(pipe, _FDI_RXA_CHICKEN, _FDI_RXB_CHICKEN)
b9055052 3968
382b0936
JB
3969#define SOUTH_DSPCLK_GATE_D 0xc2020
3970#define PCH_DPLSUNIT_CLOCK_GATE_DISABLE (1<<29)
17a303ec 3971#define PCH_LP_PARTITION_LEVEL_DISABLE (1<<12)
382b0936 3972
b9055052 3973/* CPU: FDI_TX */
9db4a9c7
JB
3974#define _FDI_TXA_CTL 0x60100
3975#define _FDI_TXB_CTL 0x61100
3976#define FDI_TX_CTL(pipe) _PIPE(pipe, _FDI_TXA_CTL, _FDI_TXB_CTL)
b9055052
ZW
3977#define FDI_TX_DISABLE (0<<31)
3978#define FDI_TX_ENABLE (1<<31)
3979#define FDI_LINK_TRAIN_PATTERN_1 (0<<28)
3980#define FDI_LINK_TRAIN_PATTERN_2 (1<<28)
3981#define FDI_LINK_TRAIN_PATTERN_IDLE (2<<28)
3982#define FDI_LINK_TRAIN_NONE (3<<28)
3983#define FDI_LINK_TRAIN_VOLTAGE_0_4V (0<<25)
3984#define FDI_LINK_TRAIN_VOLTAGE_0_6V (1<<25)
3985#define FDI_LINK_TRAIN_VOLTAGE_0_8V (2<<25)
3986#define FDI_LINK_TRAIN_VOLTAGE_1_2V (3<<25)
3987#define FDI_LINK_TRAIN_PRE_EMPHASIS_NONE (0<<22)
3988#define FDI_LINK_TRAIN_PRE_EMPHASIS_1_5X (1<<22)
3989#define FDI_LINK_TRAIN_PRE_EMPHASIS_2X (2<<22)
3990#define FDI_LINK_TRAIN_PRE_EMPHASIS_3X (3<<22)
8db9d77b
ZW
3991/* ILK always use 400mV 0dB for voltage swing and pre-emphasis level.
3992 SNB has different settings. */
3993/* SNB A-stepping */
3994#define FDI_LINK_TRAIN_400MV_0DB_SNB_A (0x38<<22)
3995#define FDI_LINK_TRAIN_400MV_6DB_SNB_A (0x02<<22)
3996#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01<<22)
3997#define FDI_LINK_TRAIN_800MV_0DB_SNB_A (0x0<<22)
3998/* SNB B-stepping */
3999#define FDI_LINK_TRAIN_400MV_0DB_SNB_B (0x0<<22)
4000#define FDI_LINK_TRAIN_400MV_6DB_SNB_B (0x3a<<22)
4001#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_B (0x39<<22)
4002#define FDI_LINK_TRAIN_800MV_0DB_SNB_B (0x38<<22)
4003#define FDI_LINK_TRAIN_VOL_EMP_MASK (0x3f<<22)
b9055052
ZW
4004#define FDI_DP_PORT_WIDTH_X1 (0<<19)
4005#define FDI_DP_PORT_WIDTH_X2 (1<<19)
4006#define FDI_DP_PORT_WIDTH_X3 (2<<19)
4007#define FDI_DP_PORT_WIDTH_X4 (3<<19)
4008#define FDI_TX_ENHANCE_FRAME_ENABLE (1<<18)
f2b115e6 4009/* Ironlake: hardwired to 1 */
b9055052 4010#define FDI_TX_PLL_ENABLE (1<<14)
357555c0
JB
4011
4012/* Ivybridge has different bits for lolz */
4013#define FDI_LINK_TRAIN_PATTERN_1_IVB (0<<8)
4014#define FDI_LINK_TRAIN_PATTERN_2_IVB (1<<8)
4015#define FDI_LINK_TRAIN_PATTERN_IDLE_IVB (2<<8)
4016#define FDI_LINK_TRAIN_NONE_IVB (3<<8)
4017
b9055052 4018/* both Tx and Rx */
c4f9c4c2 4019#define FDI_COMPOSITE_SYNC (1<<11)
357555c0 4020#define FDI_LINK_TRAIN_AUTO (1<<10)
b9055052
ZW
4021#define FDI_SCRAMBLING_ENABLE (0<<7)
4022#define FDI_SCRAMBLING_DISABLE (1<<7)
4023
4024/* FDI_RX, FDI_X is hard-wired to Transcoder_X */
9db4a9c7
JB
4025#define _FDI_RXA_CTL 0xf000c
4026#define _FDI_RXB_CTL 0xf100c
4027#define FDI_RX_CTL(pipe) _PIPE(pipe, _FDI_RXA_CTL, _FDI_RXB_CTL)
b9055052 4028#define FDI_RX_ENABLE (1<<31)
b9055052 4029/* train, dp width same as FDI_TX */
357555c0
JB
4030#define FDI_FS_ERRC_ENABLE (1<<27)
4031#define FDI_FE_ERRC_ENABLE (1<<26)
b9055052 4032#define FDI_DP_PORT_WIDTH_X8 (7<<19)
68d18ad7 4033#define FDI_RX_POLARITY_REVERSED_LPT (1<<16)
b9055052
ZW
4034#define FDI_8BPC (0<<16)
4035#define FDI_10BPC (1<<16)
4036#define FDI_6BPC (2<<16)
4037#define FDI_12BPC (3<<16)
3e68320e 4038#define FDI_RX_LINK_REVERSAL_OVERRIDE (1<<15)
b9055052
ZW
4039#define FDI_DMI_LINK_REVERSE_MASK (1<<14)
4040#define FDI_RX_PLL_ENABLE (1<<13)
4041#define FDI_FS_ERR_CORRECT_ENABLE (1<<11)
4042#define FDI_FE_ERR_CORRECT_ENABLE (1<<10)
4043#define FDI_FS_ERR_REPORT_ENABLE (1<<9)
4044#define FDI_FE_ERR_REPORT_ENABLE (1<<8)
4045#define FDI_RX_ENHANCE_FRAME_ENABLE (1<<6)
5eddb70b 4046#define FDI_PCDCLK (1<<4)
8db9d77b
ZW
4047/* CPT */
4048#define FDI_AUTO_TRAINING (1<<10)
4049#define FDI_LINK_TRAIN_PATTERN_1_CPT (0<<8)
4050#define FDI_LINK_TRAIN_PATTERN_2_CPT (1<<8)
4051#define FDI_LINK_TRAIN_PATTERN_IDLE_CPT (2<<8)
4052#define FDI_LINK_TRAIN_NORMAL_CPT (3<<8)
4053#define FDI_LINK_TRAIN_PATTERN_MASK_CPT (3<<8)
dc04a61a
ED
4054/* LPT */
4055#define FDI_PORT_WIDTH_2X_LPT (1<<19)
4056#define FDI_PORT_WIDTH_1X_LPT (0<<19)
b9055052 4057
04945641
PZ
4058#define _FDI_RXA_MISC 0xf0010
4059#define _FDI_RXB_MISC 0xf1010
4060#define FDI_RX_PWRDN_LANE1_MASK (3<<26)
4061#define FDI_RX_PWRDN_LANE1_VAL(x) ((x)<<26)
4062#define FDI_RX_PWRDN_LANE0_MASK (3<<24)
4063#define FDI_RX_PWRDN_LANE0_VAL(x) ((x)<<24)
4064#define FDI_RX_TP1_TO_TP2_48 (2<<20)
4065#define FDI_RX_TP1_TO_TP2_64 (3<<20)
4066#define FDI_RX_FDI_DELAY_90 (0x90<<0)
4067#define FDI_RX_MISC(pipe) _PIPE(pipe, _FDI_RXA_MISC, _FDI_RXB_MISC)
4068
9db4a9c7
JB
4069#define _FDI_RXA_TUSIZE1 0xf0030
4070#define _FDI_RXA_TUSIZE2 0xf0038
4071#define _FDI_RXB_TUSIZE1 0xf1030
4072#define _FDI_RXB_TUSIZE2 0xf1038
9db4a9c7
JB
4073#define FDI_RX_TUSIZE1(pipe) _PIPE(pipe, _FDI_RXA_TUSIZE1, _FDI_RXB_TUSIZE1)
4074#define FDI_RX_TUSIZE2(pipe) _PIPE(pipe, _FDI_RXA_TUSIZE2, _FDI_RXB_TUSIZE2)
b9055052
ZW
4075
4076/* FDI_RX interrupt register format */
4077#define FDI_RX_INTER_LANE_ALIGN (1<<10)
4078#define FDI_RX_SYMBOL_LOCK (1<<9) /* train 2 */
4079#define FDI_RX_BIT_LOCK (1<<8) /* train 1 */
4080#define FDI_RX_TRAIN_PATTERN_2_FAIL (1<<7)
4081#define FDI_RX_FS_CODE_ERR (1<<6)
4082#define FDI_RX_FE_CODE_ERR (1<<5)
4083#define FDI_RX_SYMBOL_ERR_RATE_ABOVE (1<<4)
4084#define FDI_RX_HDCP_LINK_FAIL (1<<3)
4085#define FDI_RX_PIXEL_FIFO_OVERFLOW (1<<2)
4086#define FDI_RX_CROSS_CLOCK_OVERFLOW (1<<1)
4087#define FDI_RX_SYMBOL_QUEUE_OVERFLOW (1<<0)
4088
9db4a9c7
JB
4089#define _FDI_RXA_IIR 0xf0014
4090#define _FDI_RXA_IMR 0xf0018
4091#define _FDI_RXB_IIR 0xf1014
4092#define _FDI_RXB_IMR 0xf1018
4093#define FDI_RX_IIR(pipe) _PIPE(pipe, _FDI_RXA_IIR, _FDI_RXB_IIR)
4094#define FDI_RX_IMR(pipe) _PIPE(pipe, _FDI_RXA_IMR, _FDI_RXB_IMR)
b9055052
ZW
4095
4096#define FDI_PLL_CTL_1 0xfe000
4097#define FDI_PLL_CTL_2 0xfe004
4098
b9055052
ZW
4099#define PCH_LVDS 0xe1180
4100#define LVDS_DETECTED (1 << 1)
4101
98364379 4102/* vlv has 2 sets of panel control regs. */
f12c47b2
VS
4103#define PIPEA_PP_STATUS (VLV_DISPLAY_BASE + 0x61200)
4104#define PIPEA_PP_CONTROL (VLV_DISPLAY_BASE + 0x61204)
4105#define PIPEA_PP_ON_DELAYS (VLV_DISPLAY_BASE + 0x61208)
4106#define PIPEA_PP_OFF_DELAYS (VLV_DISPLAY_BASE + 0x6120c)
4107#define PIPEA_PP_DIVISOR (VLV_DISPLAY_BASE + 0x61210)
4108
4109#define PIPEB_PP_STATUS (VLV_DISPLAY_BASE + 0x61300)
4110#define PIPEB_PP_CONTROL (VLV_DISPLAY_BASE + 0x61304)
4111#define PIPEB_PP_ON_DELAYS (VLV_DISPLAY_BASE + 0x61308)
4112#define PIPEB_PP_OFF_DELAYS (VLV_DISPLAY_BASE + 0x6130c)
4113#define PIPEB_PP_DIVISOR (VLV_DISPLAY_BASE + 0x61310)
98364379 4114
453c5420
JB
4115#define VLV_PIPE_PP_STATUS(pipe) _PIPE(pipe, PIPEA_PP_STATUS, PIPEB_PP_STATUS)
4116#define VLV_PIPE_PP_CONTROL(pipe) _PIPE(pipe, PIPEA_PP_CONTROL, PIPEB_PP_CONTROL)
4117#define VLV_PIPE_PP_ON_DELAYS(pipe) \
4118 _PIPE(pipe, PIPEA_PP_ON_DELAYS, PIPEB_PP_ON_DELAYS)
4119#define VLV_PIPE_PP_OFF_DELAYS(pipe) \
4120 _PIPE(pipe, PIPEA_PP_OFF_DELAYS, PIPEB_PP_OFF_DELAYS)
4121#define VLV_PIPE_PP_DIVISOR(pipe) \
4122 _PIPE(pipe, PIPEA_PP_DIVISOR, PIPEB_PP_DIVISOR)
4123
b9055052
ZW
4124#define PCH_PP_STATUS 0xc7200
4125#define PCH_PP_CONTROL 0xc7204
4a655f04 4126#define PANEL_UNLOCK_REGS (0xabcd << 16)
1c0ae80a 4127#define PANEL_UNLOCK_MASK (0xffff << 16)
b9055052
ZW
4128#define EDP_FORCE_VDD (1 << 3)
4129#define EDP_BLC_ENABLE (1 << 2)
4130#define PANEL_POWER_RESET (1 << 1)
4131#define PANEL_POWER_OFF (0 << 0)
4132#define PANEL_POWER_ON (1 << 0)
4133#define PCH_PP_ON_DELAYS 0xc7208
f01eca2e
KP
4134#define PANEL_PORT_SELECT_MASK (3 << 30)
4135#define PANEL_PORT_SELECT_LVDS (0 << 30)
4136#define PANEL_PORT_SELECT_DPA (1 << 30)
b9055052 4137#define EDP_PANEL (1 << 30)
f01eca2e
KP
4138#define PANEL_PORT_SELECT_DPC (2 << 30)
4139#define PANEL_PORT_SELECT_DPD (3 << 30)
4140#define PANEL_POWER_UP_DELAY_MASK (0x1fff0000)
4141#define PANEL_POWER_UP_DELAY_SHIFT 16
4142#define PANEL_LIGHT_ON_DELAY_MASK (0x1fff)
4143#define PANEL_LIGHT_ON_DELAY_SHIFT 0
4144
b9055052 4145#define PCH_PP_OFF_DELAYS 0xc720c
82ed61fa
DV
4146#define PANEL_POWER_PORT_SELECT_MASK (0x3 << 30)
4147#define PANEL_POWER_PORT_LVDS (0 << 30)
4148#define PANEL_POWER_PORT_DP_A (1 << 30)
4149#define PANEL_POWER_PORT_DP_C (2 << 30)
4150#define PANEL_POWER_PORT_DP_D (3 << 30)
f01eca2e
KP
4151#define PANEL_POWER_DOWN_DELAY_MASK (0x1fff0000)
4152#define PANEL_POWER_DOWN_DELAY_SHIFT 16
4153#define PANEL_LIGHT_OFF_DELAY_MASK (0x1fff)
4154#define PANEL_LIGHT_OFF_DELAY_SHIFT 0
4155
b9055052 4156#define PCH_PP_DIVISOR 0xc7210
f01eca2e
KP
4157#define PP_REFERENCE_DIVIDER_MASK (0xffffff00)
4158#define PP_REFERENCE_DIVIDER_SHIFT 8
4159#define PANEL_POWER_CYCLE_DELAY_MASK (0x1f)
4160#define PANEL_POWER_CYCLE_DELAY_SHIFT 0
b9055052 4161
5eb08b69
ZW
4162#define PCH_DP_B 0xe4100
4163#define PCH_DPB_AUX_CH_CTL 0xe4110
4164#define PCH_DPB_AUX_CH_DATA1 0xe4114
4165#define PCH_DPB_AUX_CH_DATA2 0xe4118
4166#define PCH_DPB_AUX_CH_DATA3 0xe411c
4167#define PCH_DPB_AUX_CH_DATA4 0xe4120
4168#define PCH_DPB_AUX_CH_DATA5 0xe4124
4169
4170#define PCH_DP_C 0xe4200
4171#define PCH_DPC_AUX_CH_CTL 0xe4210
4172#define PCH_DPC_AUX_CH_DATA1 0xe4214
4173#define PCH_DPC_AUX_CH_DATA2 0xe4218
4174#define PCH_DPC_AUX_CH_DATA3 0xe421c
4175#define PCH_DPC_AUX_CH_DATA4 0xe4220
4176#define PCH_DPC_AUX_CH_DATA5 0xe4224
4177
4178#define PCH_DP_D 0xe4300
4179#define PCH_DPD_AUX_CH_CTL 0xe4310
4180#define PCH_DPD_AUX_CH_DATA1 0xe4314
4181#define PCH_DPD_AUX_CH_DATA2 0xe4318
4182#define PCH_DPD_AUX_CH_DATA3 0xe431c
4183#define PCH_DPD_AUX_CH_DATA4 0xe4320
4184#define PCH_DPD_AUX_CH_DATA5 0xe4324
4185
8db9d77b
ZW
4186/* CPT */
4187#define PORT_TRANS_A_SEL_CPT 0
4188#define PORT_TRANS_B_SEL_CPT (1<<29)
4189#define PORT_TRANS_C_SEL_CPT (2<<29)
4190#define PORT_TRANS_SEL_MASK (3<<29)
1519b995 4191#define PORT_TRANS_SEL_CPT(pipe) ((pipe) << 29)
19d8fe15
DV
4192#define PORT_TO_PIPE(val) (((val) & (1<<30)) >> 30)
4193#define PORT_TO_PIPE_CPT(val) (((val) & PORT_TRANS_SEL_MASK) >> 29)
8db9d77b
ZW
4194
4195#define TRANS_DP_CTL_A 0xe0300
4196#define TRANS_DP_CTL_B 0xe1300
4197#define TRANS_DP_CTL_C 0xe2300
23670b32 4198#define TRANS_DP_CTL(pipe) _PIPE(pipe, TRANS_DP_CTL_A, TRANS_DP_CTL_B)
8db9d77b
ZW
4199#define TRANS_DP_OUTPUT_ENABLE (1<<31)
4200#define TRANS_DP_PORT_SEL_B (0<<29)
4201#define TRANS_DP_PORT_SEL_C (1<<29)
4202#define TRANS_DP_PORT_SEL_D (2<<29)
cb3543c6 4203#define TRANS_DP_PORT_SEL_NONE (3<<29)
8db9d77b
ZW
4204#define TRANS_DP_PORT_SEL_MASK (3<<29)
4205#define TRANS_DP_AUDIO_ONLY (1<<26)
4206#define TRANS_DP_ENH_FRAMING (1<<18)
4207#define TRANS_DP_8BPC (0<<9)
4208#define TRANS_DP_10BPC (1<<9)
4209#define TRANS_DP_6BPC (2<<9)
4210#define TRANS_DP_12BPC (3<<9)
220cad3c 4211#define TRANS_DP_BPC_MASK (3<<9)
8db9d77b
ZW
4212#define TRANS_DP_VSYNC_ACTIVE_HIGH (1<<4)
4213#define TRANS_DP_VSYNC_ACTIVE_LOW 0
4214#define TRANS_DP_HSYNC_ACTIVE_HIGH (1<<3)
4215#define TRANS_DP_HSYNC_ACTIVE_LOW 0
94113cec 4216#define TRANS_DP_SYNC_MASK (3<<3)
8db9d77b
ZW
4217
4218/* SNB eDP training params */
4219/* SNB A-stepping */
4220#define EDP_LINK_TRAIN_400MV_0DB_SNB_A (0x38<<22)
4221#define EDP_LINK_TRAIN_400MV_6DB_SNB_A (0x02<<22)
4222#define EDP_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01<<22)
4223#define EDP_LINK_TRAIN_800MV_0DB_SNB_A (0x0<<22)
4224/* SNB B-stepping */
3c5a62b5
YL
4225#define EDP_LINK_TRAIN_400_600MV_0DB_SNB_B (0x0<<22)
4226#define EDP_LINK_TRAIN_400MV_3_5DB_SNB_B (0x1<<22)
4227#define EDP_LINK_TRAIN_400_600MV_6DB_SNB_B (0x3a<<22)
4228#define EDP_LINK_TRAIN_600_800MV_3_5DB_SNB_B (0x39<<22)
4229#define EDP_LINK_TRAIN_800_1200MV_0DB_SNB_B (0x38<<22)
8db9d77b
ZW
4230#define EDP_LINK_TRAIN_VOL_EMP_MASK_SNB (0x3f<<22)
4231
1a2eb460
KP
4232/* IVB */
4233#define EDP_LINK_TRAIN_400MV_0DB_IVB (0x24 <<22)
4234#define EDP_LINK_TRAIN_400MV_3_5DB_IVB (0x2a <<22)
4235#define EDP_LINK_TRAIN_400MV_6DB_IVB (0x2f <<22)
4236#define EDP_LINK_TRAIN_600MV_0DB_IVB (0x30 <<22)
4237#define EDP_LINK_TRAIN_600MV_3_5DB_IVB (0x36 <<22)
4238#define EDP_LINK_TRAIN_800MV_0DB_IVB (0x38 <<22)
4239#define EDP_LINK_TRAIN_800MV_3_5DB_IVB (0x33 <<22)
4240
4241/* legacy values */
4242#define EDP_LINK_TRAIN_500MV_0DB_IVB (0x00 <<22)
4243#define EDP_LINK_TRAIN_1000MV_0DB_IVB (0x20 <<22)
4244#define EDP_LINK_TRAIN_500MV_3_5DB_IVB (0x02 <<22)
4245#define EDP_LINK_TRAIN_1000MV_3_5DB_IVB (0x22 <<22)
4246#define EDP_LINK_TRAIN_1000MV_6DB_IVB (0x23 <<22)
4247
4248#define EDP_LINK_TRAIN_VOL_EMP_MASK_IVB (0x3f<<22)
4249
cae5852d 4250#define FORCEWAKE 0xA18C
575155a9
JB
4251#define FORCEWAKE_VLV 0x1300b0
4252#define FORCEWAKE_ACK_VLV 0x1300b4
ed5de399
JB
4253#define FORCEWAKE_MEDIA_VLV 0x1300b8
4254#define FORCEWAKE_ACK_MEDIA_VLV 0x1300bc
e7911c48 4255#define FORCEWAKE_ACK_HSW 0x130044
eb43f4af 4256#define FORCEWAKE_ACK 0x130090
d62b4892
JB
4257#define VLV_GTLC_WAKE_CTRL 0x130090
4258#define VLV_GTLC_PW_STATUS 0x130094
8d715f00 4259#define FORCEWAKE_MT 0xa188 /* multi-threaded */
c5836c27
CW
4260#define FORCEWAKE_KERNEL 0x1
4261#define FORCEWAKE_USER 0x2
8d715f00
KP
4262#define FORCEWAKE_MT_ACK 0x130040
4263#define ECOBUS 0xa180
4264#define FORCEWAKE_MT_ENABLE (1<<5)
8fd26859 4265
dd202c6d
BW
4266#define GTFIFODBG 0x120000
4267#define GT_FIFO_CPU_ERROR_MASK 7
4268#define GT_FIFO_OVFERR (1<<2)
4269#define GT_FIFO_IAWRERR (1<<1)
4270#define GT_FIFO_IARDERR (1<<0)
4271
91355834 4272#define GT_FIFO_FREE_ENTRIES 0x120008
95736720 4273#define GT_FIFO_NUM_RESERVED_ENTRIES 20
91355834 4274
80e829fa
DV
4275#define GEN6_UCGCTL1 0x9400
4276# define GEN6_BLBUNIT_CLOCK_GATE_DISABLE (1 << 5)
de4a8bd1 4277# define GEN6_CSUNIT_CLOCK_GATE_DISABLE (1 << 7)
80e829fa 4278
406478dc 4279#define GEN6_UCGCTL2 0x9404
0f846f81 4280# define GEN7_VDSUNIT_CLOCK_GATE_DISABLE (1 << 30)
6edaa7fc 4281# define GEN7_TDLUNIT_CLOCK_GATE_DISABLE (1 << 22)
eae66b50 4282# define GEN6_RCZUNIT_CLOCK_GATE_DISABLE (1 << 13)
406478dc 4283# define GEN6_RCPBUNIT_CLOCK_GATE_DISABLE (1 << 12)
9ca1d10d 4284# define GEN6_RCCUNIT_CLOCK_GATE_DISABLE (1 << 11)
406478dc 4285
e3f33d46
JB
4286#define GEN7_UCGCTL4 0x940c
4287#define GEN7_L3BANK2X_CLOCK_GATE_DISABLE (1<<25)
4288
3b8d8d91 4289#define GEN6_RPNSWREQ 0xA008
8fd26859
CW
4290#define GEN6_TURBO_DISABLE (1<<31)
4291#define GEN6_FREQUENCY(x) ((x)<<25)
92bd1bf0 4292#define HSW_FREQUENCY(x) ((x)<<24)
8fd26859
CW
4293#define GEN6_OFFSET(x) ((x)<<19)
4294#define GEN6_AGGRESSIVE_TURBO (0<<15)
4295#define GEN6_RC_VIDEO_FREQ 0xA00C
4296#define GEN6_RC_CONTROL 0xA090
4297#define GEN6_RC_CTL_RC6pp_ENABLE (1<<16)
4298#define GEN6_RC_CTL_RC6p_ENABLE (1<<17)
4299#define GEN6_RC_CTL_RC6_ENABLE (1<<18)
4300#define GEN6_RC_CTL_RC1e_ENABLE (1<<20)
4301#define GEN6_RC_CTL_RC7_ENABLE (1<<22)
4302#define GEN6_RC_CTL_EI_MODE(x) ((x)<<27)
4303#define GEN6_RC_CTL_HW_ENABLE (1<<31)
4304#define GEN6_RP_DOWN_TIMEOUT 0xA010
4305#define GEN6_RP_INTERRUPT_LIMITS 0xA014
3b8d8d91 4306#define GEN6_RPSTAT1 0xA01C
ccab5c82 4307#define GEN6_CAGF_SHIFT 8
f82855d3 4308#define HSW_CAGF_SHIFT 7
ccab5c82 4309#define GEN6_CAGF_MASK (0x7f << GEN6_CAGF_SHIFT)
f82855d3 4310#define HSW_CAGF_MASK (0x7f << HSW_CAGF_SHIFT)
8fd26859
CW
4311#define GEN6_RP_CONTROL 0xA024
4312#define GEN6_RP_MEDIA_TURBO (1<<11)
6ed55ee7
BW
4313#define GEN6_RP_MEDIA_MODE_MASK (3<<9)
4314#define GEN6_RP_MEDIA_HW_TURBO_MODE (3<<9)
4315#define GEN6_RP_MEDIA_HW_NORMAL_MODE (2<<9)
4316#define GEN6_RP_MEDIA_HW_MODE (1<<9)
4317#define GEN6_RP_MEDIA_SW_MODE (0<<9)
8fd26859
CW
4318#define GEN6_RP_MEDIA_IS_GFX (1<<8)
4319#define GEN6_RP_ENABLE (1<<7)
ccab5c82
JB
4320#define GEN6_RP_UP_IDLE_MIN (0x1<<3)
4321#define GEN6_RP_UP_BUSY_AVG (0x2<<3)
4322#define GEN6_RP_UP_BUSY_CONT (0x4<<3)
5a7dc92a 4323#define GEN7_RP_DOWN_IDLE_AVG (0x2<<0)
ccab5c82 4324#define GEN6_RP_DOWN_IDLE_CONT (0x1<<0)
8fd26859
CW
4325#define GEN6_RP_UP_THRESHOLD 0xA02C
4326#define GEN6_RP_DOWN_THRESHOLD 0xA030
ccab5c82
JB
4327#define GEN6_RP_CUR_UP_EI 0xA050
4328#define GEN6_CURICONT_MASK 0xffffff
4329#define GEN6_RP_CUR_UP 0xA054
4330#define GEN6_CURBSYTAVG_MASK 0xffffff
4331#define GEN6_RP_PREV_UP 0xA058
4332#define GEN6_RP_CUR_DOWN_EI 0xA05C
4333#define GEN6_CURIAVG_MASK 0xffffff
4334#define GEN6_RP_CUR_DOWN 0xA060
4335#define GEN6_RP_PREV_DOWN 0xA064
8fd26859
CW
4336#define GEN6_RP_UP_EI 0xA068
4337#define GEN6_RP_DOWN_EI 0xA06C
4338#define GEN6_RP_IDLE_HYSTERSIS 0xA070
4339#define GEN6_RC_STATE 0xA094
4340#define GEN6_RC1_WAKE_RATE_LIMIT 0xA098
4341#define GEN6_RC6_WAKE_RATE_LIMIT 0xA09C
4342#define GEN6_RC6pp_WAKE_RATE_LIMIT 0xA0A0
4343#define GEN6_RC_EVALUATION_INTERVAL 0xA0A8
4344#define GEN6_RC_IDLE_HYSTERSIS 0xA0AC
4345#define GEN6_RC_SLEEP 0xA0B0
4346#define GEN6_RC1e_THRESHOLD 0xA0B4
4347#define GEN6_RC6_THRESHOLD 0xA0B8
4348#define GEN6_RC6p_THRESHOLD 0xA0BC
4349#define GEN6_RC6pp_THRESHOLD 0xA0C0
3b8d8d91 4350#define GEN6_PMINTRMSK 0xA168
8fd26859
CW
4351
4352#define GEN6_PMISR 0x44020
4912d041 4353#define GEN6_PMIMR 0x44024 /* rps_lock */
8fd26859
CW
4354#define GEN6_PMIIR 0x44028
4355#define GEN6_PMIER 0x4402C
4356#define GEN6_PM_MBOX_EVENT (1<<25)
4357#define GEN6_PM_THERMAL_EVENT (1<<24)
4358#define GEN6_PM_RP_DOWN_TIMEOUT (1<<6)
4359#define GEN6_PM_RP_UP_THRESHOLD (1<<5)
4360#define GEN6_PM_RP_DOWN_THRESHOLD (1<<4)
4361#define GEN6_PM_RP_UP_EI_EXPIRED (1<<2)
4362#define GEN6_PM_RP_DOWN_EI_EXPIRED (1<<1)
4912d041
BW
4363#define GEN6_PM_DEFERRED_EVENTS (GEN6_PM_RP_UP_THRESHOLD | \
4364 GEN6_PM_RP_DOWN_THRESHOLD | \
4365 GEN6_PM_RP_DOWN_TIMEOUT)
8fd26859 4366
cce66a28
BW
4367#define GEN6_GT_GFX_RC6_LOCKED 0x138104
4368#define GEN6_GT_GFX_RC6 0x138108
4369#define GEN6_GT_GFX_RC6p 0x13810C
4370#define GEN6_GT_GFX_RC6pp 0x138110
4371
8fd26859
CW
4372#define GEN6_PCODE_MAILBOX 0x138124
4373#define GEN6_PCODE_READY (1<<31)
a6044e23 4374#define GEN6_READ_OC_PARAMS 0xc
23b2f8bb
JB
4375#define GEN6_PCODE_WRITE_MIN_FREQ_TABLE 0x8
4376#define GEN6_PCODE_READ_MIN_FREQ_TABLE 0x9
31643d54
BW
4377#define GEN6_PCODE_WRITE_RC6VIDS 0x4
4378#define GEN6_PCODE_READ_RC6VIDS 0x5
7083e050
BW
4379#define GEN6_ENCODE_RC6_VID(mv) (((mv) - 245) / 5)
4380#define GEN6_DECODE_RC6_VID(vids) (((vids) * 5) + 245)
8fd26859 4381#define GEN6_PCODE_DATA 0x138128
23b2f8bb 4382#define GEN6_PCODE_FREQ_IA_RATIO_SHIFT 8
8fd26859 4383
4d85529d
BW
4384#define GEN6_GT_CORE_STATUS 0x138060
4385#define GEN6_CORE_CPD_STATE_MASK (7<<4)
4386#define GEN6_RCn_MASK 7
4387#define GEN6_RC0 0
4388#define GEN6_RC3 2
4389#define GEN6_RC6 3
4390#define GEN6_RC7 4
4391
e3689190
BW
4392#define GEN7_MISCCPCTL (0x9424)
4393#define GEN7_DOP_CLOCK_GATE_ENABLE (1<<0)
4394
4395/* IVYBRIDGE DPF */
4396#define GEN7_L3CDERRST1 0xB008 /* L3CD Error Status 1 */
4397#define GEN7_L3CDERRST1_ROW_MASK (0x7ff<<14)
4398#define GEN7_PARITY_ERROR_VALID (1<<13)
4399#define GEN7_L3CDERRST1_BANK_MASK (3<<11)
4400#define GEN7_L3CDERRST1_SUBBANK_MASK (7<<8)
4401#define GEN7_PARITY_ERROR_ROW(reg) \
4402 ((reg & GEN7_L3CDERRST1_ROW_MASK) >> 14)
4403#define GEN7_PARITY_ERROR_BANK(reg) \
4404 ((reg & GEN7_L3CDERRST1_BANK_MASK) >> 11)
4405#define GEN7_PARITY_ERROR_SUBBANK(reg) \
4406 ((reg & GEN7_L3CDERRST1_SUBBANK_MASK) >> 8)
4407#define GEN7_L3CDERRST1_ENABLE (1<<7)
4408
b9524a1e
BW
4409#define GEN7_L3LOG_BASE 0xB070
4410#define GEN7_L3LOG_SIZE 0x80
4411
12f3382b
JB
4412#define GEN7_HALF_SLICE_CHICKEN1 0xe100 /* IVB GT1 + VLV */
4413#define GEN7_HALF_SLICE_CHICKEN1_GT2 0xf100
4414#define GEN7_MAX_PS_THREAD_DEP (8<<12)
4415#define GEN7_PSD_SINGLE_PORT_DISPATCH_ENABLE (1<<3)
4416
8ab43976
JB
4417#define GEN7_ROW_CHICKEN2 0xe4f4
4418#define GEN7_ROW_CHICKEN2_GT2 0xf4f4
4419#define DOP_CLOCK_GATING_DISABLE (1<<0)
4420
f4ba9f81 4421#define G4X_AUD_VID_DID (dev_priv->info->display_mmio_offset + 0x62020)
e0dac65e
WF
4422#define INTEL_AUDIO_DEVCL 0x808629FB
4423#define INTEL_AUDIO_DEVBLC 0x80862801
4424#define INTEL_AUDIO_DEVCTG 0x80862802
4425
4426#define G4X_AUD_CNTL_ST 0x620B4
4427#define G4X_ELDV_DEVCL_DEVBLC (1 << 13)
4428#define G4X_ELDV_DEVCTG (1 << 14)
4429#define G4X_ELD_ADDR (0xf << 5)
4430#define G4X_ELD_ACK (1 << 4)
4431#define G4X_HDMIW_HDMIEDID 0x6210C
4432
1202b4c6 4433#define IBX_HDMIW_HDMIEDID_A 0xE2050
9b138a83
WX
4434#define IBX_HDMIW_HDMIEDID_B 0xE2150
4435#define IBX_HDMIW_HDMIEDID(pipe) _PIPE(pipe, \
4436 IBX_HDMIW_HDMIEDID_A, \
4437 IBX_HDMIW_HDMIEDID_B)
1202b4c6 4438#define IBX_AUD_CNTL_ST_A 0xE20B4
9b138a83
WX
4439#define IBX_AUD_CNTL_ST_B 0xE21B4
4440#define IBX_AUD_CNTL_ST(pipe) _PIPE(pipe, \
4441 IBX_AUD_CNTL_ST_A, \
4442 IBX_AUD_CNTL_ST_B)
1202b4c6
WF
4443#define IBX_ELD_BUFFER_SIZE (0x1f << 10)
4444#define IBX_ELD_ADDRESS (0x1f << 5)
4445#define IBX_ELD_ACK (1 << 4)
4446#define IBX_AUD_CNTL_ST2 0xE20C0
4447#define IBX_ELD_VALIDB (1 << 0)
4448#define IBX_CP_READYB (1 << 1)
4449
4450#define CPT_HDMIW_HDMIEDID_A 0xE5050
9b138a83
WX
4451#define CPT_HDMIW_HDMIEDID_B 0xE5150
4452#define CPT_HDMIW_HDMIEDID(pipe) _PIPE(pipe, \
4453 CPT_HDMIW_HDMIEDID_A, \
4454 CPT_HDMIW_HDMIEDID_B)
1202b4c6 4455#define CPT_AUD_CNTL_ST_A 0xE50B4
9b138a83
WX
4456#define CPT_AUD_CNTL_ST_B 0xE51B4
4457#define CPT_AUD_CNTL_ST(pipe) _PIPE(pipe, \
4458 CPT_AUD_CNTL_ST_A, \
4459 CPT_AUD_CNTL_ST_B)
1202b4c6 4460#define CPT_AUD_CNTRL_ST2 0xE50C0
e0dac65e 4461
ae662d31
EA
4462/* These are the 4 32-bit write offset registers for each stream
4463 * output buffer. It determines the offset from the
4464 * 3DSTATE_SO_BUFFERs that the next streamed vertex output goes to.
4465 */
4466#define GEN7_SO_WRITE_OFFSET(n) (0x5280 + (n) * 4)
4467
b6daa025 4468#define IBX_AUD_CONFIG_A 0xe2000
9b138a83
WX
4469#define IBX_AUD_CONFIG_B 0xe2100
4470#define IBX_AUD_CFG(pipe) _PIPE(pipe, \
4471 IBX_AUD_CONFIG_A, \
4472 IBX_AUD_CONFIG_B)
b6daa025 4473#define CPT_AUD_CONFIG_A 0xe5000
9b138a83
WX
4474#define CPT_AUD_CONFIG_B 0xe5100
4475#define CPT_AUD_CFG(pipe) _PIPE(pipe, \
4476 CPT_AUD_CONFIG_A, \
4477 CPT_AUD_CONFIG_B)
b6daa025
WF
4478#define AUD_CONFIG_N_VALUE_INDEX (1 << 29)
4479#define AUD_CONFIG_N_PROG_ENABLE (1 << 28)
4480#define AUD_CONFIG_UPPER_N_SHIFT 20
4481#define AUD_CONFIG_UPPER_N_VALUE (0xff << 20)
4482#define AUD_CONFIG_LOWER_N_SHIFT 4
4483#define AUD_CONFIG_LOWER_N_VALUE (0xfff << 4)
4484#define AUD_CONFIG_PIXEL_CLOCK_HDMI_SHIFT 16
4485#define AUD_CONFIG_PIXEL_CLOCK_HDMI (0xf << 16)
4486#define AUD_CONFIG_DISABLE_NCTS (1 << 3)
4487
9a78b6cc
WX
4488/* HSW Audio */
4489#define HSW_AUD_CONFIG_A 0x65000 /* Audio Configuration Transcoder A */
4490#define HSW_AUD_CONFIG_B 0x65100 /* Audio Configuration Transcoder B */
4491#define HSW_AUD_CFG(pipe) _PIPE(pipe, \
4492 HSW_AUD_CONFIG_A, \
4493 HSW_AUD_CONFIG_B)
4494
4495#define HSW_AUD_MISC_CTRL_A 0x65010 /* Audio Misc Control Convert 1 */
4496#define HSW_AUD_MISC_CTRL_B 0x65110 /* Audio Misc Control Convert 2 */
4497#define HSW_AUD_MISC_CTRL(pipe) _PIPE(pipe, \
4498 HSW_AUD_MISC_CTRL_A, \
4499 HSW_AUD_MISC_CTRL_B)
4500
4501#define HSW_AUD_DIP_ELD_CTRL_ST_A 0x650b4 /* Audio DIP and ELD Control State Transcoder A */
4502#define HSW_AUD_DIP_ELD_CTRL_ST_B 0x651b4 /* Audio DIP and ELD Control State Transcoder B */
4503#define HSW_AUD_DIP_ELD_CTRL(pipe) _PIPE(pipe, \
4504 HSW_AUD_DIP_ELD_CTRL_ST_A, \
4505 HSW_AUD_DIP_ELD_CTRL_ST_B)
4506
4507/* Audio Digital Converter */
4508#define HSW_AUD_DIG_CNVT_1 0x65080 /* Audio Converter 1 */
4509#define HSW_AUD_DIG_CNVT_2 0x65180 /* Audio Converter 1 */
4510#define AUD_DIG_CNVT(pipe) _PIPE(pipe, \
4511 HSW_AUD_DIG_CNVT_1, \
4512 HSW_AUD_DIG_CNVT_2)
9b138a83 4513#define DIP_PORT_SEL_MASK 0x3
9a78b6cc
WX
4514
4515#define HSW_AUD_EDID_DATA_A 0x65050
4516#define HSW_AUD_EDID_DATA_B 0x65150
4517#define HSW_AUD_EDID_DATA(pipe) _PIPE(pipe, \
4518 HSW_AUD_EDID_DATA_A, \
4519 HSW_AUD_EDID_DATA_B)
4520
4521#define HSW_AUD_PIPE_CONV_CFG 0x6507c /* Audio pipe and converter configs */
4522#define HSW_AUD_PIN_ELD_CP_VLD 0x650c0 /* Audio ELD and CP Ready Status */
4523#define AUDIO_INACTIVE_C (1<<11)
4524#define AUDIO_INACTIVE_B (1<<7)
4525#define AUDIO_INACTIVE_A (1<<3)
4526#define AUDIO_OUTPUT_ENABLE_A (1<<2)
4527#define AUDIO_OUTPUT_ENABLE_B (1<<6)
4528#define AUDIO_OUTPUT_ENABLE_C (1<<10)
4529#define AUDIO_ELD_VALID_A (1<<0)
4530#define AUDIO_ELD_VALID_B (1<<4)
4531#define AUDIO_ELD_VALID_C (1<<8)
4532#define AUDIO_CP_READY_A (1<<1)
4533#define AUDIO_CP_READY_B (1<<5)
4534#define AUDIO_CP_READY_C (1<<9)
4535
9eb3a752 4536/* HSW Power Wells */
fa42e23c
PZ
4537#define HSW_PWR_WELL_BIOS 0x45400 /* CTL1 */
4538#define HSW_PWR_WELL_DRIVER 0x45404 /* CTL2 */
4539#define HSW_PWR_WELL_KVMR 0x45408 /* CTL3 */
4540#define HSW_PWR_WELL_DEBUG 0x4540C /* CTL4 */
5e49cea6
PZ
4541#define HSW_PWR_WELL_ENABLE (1<<31)
4542#define HSW_PWR_WELL_STATE (1<<30)
4543#define HSW_PWR_WELL_CTL5 0x45410
9eb3a752
ED
4544#define HSW_PWR_WELL_ENABLE_SINGLE_STEP (1<<31)
4545#define HSW_PWR_WELL_PWR_GATE_OVERRIDE (1<<20)
5e49cea6
PZ
4546#define HSW_PWR_WELL_FORCE_ON (1<<19)
4547#define HSW_PWR_WELL_CTL6 0x45414
9eb3a752 4548
e7e104c3 4549/* Per-pipe DDI Function Control */
ad80a810
PZ
4550#define TRANS_DDI_FUNC_CTL_A 0x60400
4551#define TRANS_DDI_FUNC_CTL_B 0x61400
4552#define TRANS_DDI_FUNC_CTL_C 0x62400
4553#define TRANS_DDI_FUNC_CTL_EDP 0x6F400
4554#define TRANS_DDI_FUNC_CTL(tran) _TRANSCODER(tran, TRANS_DDI_FUNC_CTL_A, \
4555 TRANS_DDI_FUNC_CTL_B)
4556#define TRANS_DDI_FUNC_ENABLE (1<<31)
e7e104c3 4557/* Those bits are ignored by pipe EDP since it can only connect to DDI A */
ad80a810
PZ
4558#define TRANS_DDI_PORT_MASK (7<<28)
4559#define TRANS_DDI_SELECT_PORT(x) ((x)<<28)
4560#define TRANS_DDI_PORT_NONE (0<<28)
4561#define TRANS_DDI_MODE_SELECT_MASK (7<<24)
4562#define TRANS_DDI_MODE_SELECT_HDMI (0<<24)
4563#define TRANS_DDI_MODE_SELECT_DVI (1<<24)
4564#define TRANS_DDI_MODE_SELECT_DP_SST (2<<24)
4565#define TRANS_DDI_MODE_SELECT_DP_MST (3<<24)
4566#define TRANS_DDI_MODE_SELECT_FDI (4<<24)
4567#define TRANS_DDI_BPC_MASK (7<<20)
4568#define TRANS_DDI_BPC_8 (0<<20)
4569#define TRANS_DDI_BPC_10 (1<<20)
4570#define TRANS_DDI_BPC_6 (2<<20)
4571#define TRANS_DDI_BPC_12 (3<<20)
4572#define TRANS_DDI_PVSYNC (1<<17)
4573#define TRANS_DDI_PHSYNC (1<<16)
4574#define TRANS_DDI_EDP_INPUT_MASK (7<<12)
4575#define TRANS_DDI_EDP_INPUT_A_ON (0<<12)
4576#define TRANS_DDI_EDP_INPUT_A_ONOFF (4<<12)
4577#define TRANS_DDI_EDP_INPUT_B_ONOFF (5<<12)
4578#define TRANS_DDI_EDP_INPUT_C_ONOFF (6<<12)
4579#define TRANS_DDI_BFI_ENABLE (1<<4)
4580#define TRANS_DDI_PORT_WIDTH_X1 (0<<1)
4581#define TRANS_DDI_PORT_WIDTH_X2 (1<<1)
4582#define TRANS_DDI_PORT_WIDTH_X4 (3<<1)
e7e104c3 4583
0e87f667
ED
4584/* DisplayPort Transport Control */
4585#define DP_TP_CTL_A 0x64040
4586#define DP_TP_CTL_B 0x64140
5e49cea6
PZ
4587#define DP_TP_CTL(port) _PORT(port, DP_TP_CTL_A, DP_TP_CTL_B)
4588#define DP_TP_CTL_ENABLE (1<<31)
4589#define DP_TP_CTL_MODE_SST (0<<27)
4590#define DP_TP_CTL_MODE_MST (1<<27)
0e87f667 4591#define DP_TP_CTL_ENHANCED_FRAME_ENABLE (1<<18)
5e49cea6 4592#define DP_TP_CTL_FDI_AUTOTRAIN (1<<15)
0e87f667
ED
4593#define DP_TP_CTL_LINK_TRAIN_MASK (7<<8)
4594#define DP_TP_CTL_LINK_TRAIN_PAT1 (0<<8)
4595#define DP_TP_CTL_LINK_TRAIN_PAT2 (1<<8)
d6c0d722
PZ
4596#define DP_TP_CTL_LINK_TRAIN_PAT3 (4<<8)
4597#define DP_TP_CTL_LINK_TRAIN_IDLE (2<<8)
5e49cea6 4598#define DP_TP_CTL_LINK_TRAIN_NORMAL (3<<8)
d6c0d722 4599#define DP_TP_CTL_SCRAMBLE_DISABLE (1<<7)
0e87f667 4600
e411b2c1
ED
4601/* DisplayPort Transport Status */
4602#define DP_TP_STATUS_A 0x64044
4603#define DP_TP_STATUS_B 0x64144
5e49cea6 4604#define DP_TP_STATUS(port) _PORT(port, DP_TP_STATUS_A, DP_TP_STATUS_B)
d6c0d722 4605#define DP_TP_STATUS_IDLE_DONE (1<<25)
e411b2c1
ED
4606#define DP_TP_STATUS_AUTOTRAIN_DONE (1<<12)
4607
03f896a1
ED
4608/* DDI Buffer Control */
4609#define DDI_BUF_CTL_A 0x64000
4610#define DDI_BUF_CTL_B 0x64100
5e49cea6
PZ
4611#define DDI_BUF_CTL(port) _PORT(port, DDI_BUF_CTL_A, DDI_BUF_CTL_B)
4612#define DDI_BUF_CTL_ENABLE (1<<31)
03f896a1 4613#define DDI_BUF_EMP_400MV_0DB_HSW (0<<24) /* Sel0 */
5e49cea6 4614#define DDI_BUF_EMP_400MV_3_5DB_HSW (1<<24) /* Sel1 */
03f896a1 4615#define DDI_BUF_EMP_400MV_6DB_HSW (2<<24) /* Sel2 */
5e49cea6 4616#define DDI_BUF_EMP_400MV_9_5DB_HSW (3<<24) /* Sel3 */
03f896a1 4617#define DDI_BUF_EMP_600MV_0DB_HSW (4<<24) /* Sel4 */
5e49cea6 4618#define DDI_BUF_EMP_600MV_3_5DB_HSW (5<<24) /* Sel5 */
03f896a1
ED
4619#define DDI_BUF_EMP_600MV_6DB_HSW (6<<24) /* Sel6 */
4620#define DDI_BUF_EMP_800MV_0DB_HSW (7<<24) /* Sel7 */
5e49cea6
PZ
4621#define DDI_BUF_EMP_800MV_3_5DB_HSW (8<<24) /* Sel8 */
4622#define DDI_BUF_EMP_MASK (0xf<<24)
876a8cdf 4623#define DDI_BUF_PORT_REVERSAL (1<<16)
5e49cea6 4624#define DDI_BUF_IS_IDLE (1<<7)
79935fca 4625#define DDI_A_4_LANES (1<<4)
5e49cea6
PZ
4626#define DDI_PORT_WIDTH_X1 (0<<1)
4627#define DDI_PORT_WIDTH_X2 (1<<1)
4628#define DDI_PORT_WIDTH_X4 (3<<1)
03f896a1
ED
4629#define DDI_INIT_DISPLAY_DETECTED (1<<0)
4630
bb879a44
ED
4631/* DDI Buffer Translations */
4632#define DDI_BUF_TRANS_A 0x64E00
4633#define DDI_BUF_TRANS_B 0x64E60
5e49cea6 4634#define DDI_BUF_TRANS(port) _PORT(port, DDI_BUF_TRANS_A, DDI_BUF_TRANS_B)
bb879a44 4635
7501a4d8
ED
4636/* Sideband Interface (SBI) is programmed indirectly, via
4637 * SBI_ADDR, which contains the register offset; and SBI_DATA,
4638 * which contains the payload */
5e49cea6
PZ
4639#define SBI_ADDR 0xC6000
4640#define SBI_DATA 0xC6004
7501a4d8 4641#define SBI_CTL_STAT 0xC6008
988d6ee8
PZ
4642#define SBI_CTL_DEST_ICLK (0x0<<16)
4643#define SBI_CTL_DEST_MPHY (0x1<<16)
4644#define SBI_CTL_OP_IORD (0x2<<8)
4645#define SBI_CTL_OP_IOWR (0x3<<8)
7501a4d8
ED
4646#define SBI_CTL_OP_CRRD (0x6<<8)
4647#define SBI_CTL_OP_CRWR (0x7<<8)
4648#define SBI_RESPONSE_FAIL (0x1<<1)
5e49cea6
PZ
4649#define SBI_RESPONSE_SUCCESS (0x0<<1)
4650#define SBI_BUSY (0x1<<0)
4651#define SBI_READY (0x0<<0)
52f025ef 4652
ccf1c867 4653/* SBI offsets */
5e49cea6 4654#define SBI_SSCDIVINTPHASE6 0x0600
ccf1c867
ED
4655#define SBI_SSCDIVINTPHASE_DIVSEL_MASK ((0x7f)<<1)
4656#define SBI_SSCDIVINTPHASE_DIVSEL(x) ((x)<<1)
4657#define SBI_SSCDIVINTPHASE_INCVAL_MASK ((0x7f)<<8)
4658#define SBI_SSCDIVINTPHASE_INCVAL(x) ((x)<<8)
5e49cea6 4659#define SBI_SSCDIVINTPHASE_DIR(x) ((x)<<15)
ccf1c867 4660#define SBI_SSCDIVINTPHASE_PROPAGATE (1<<0)
5e49cea6 4661#define SBI_SSCCTL 0x020c
ccf1c867 4662#define SBI_SSCCTL6 0x060C
dde86e2d 4663#define SBI_SSCCTL_PATHALT (1<<3)
5e49cea6 4664#define SBI_SSCCTL_DISABLE (1<<0)
ccf1c867
ED
4665#define SBI_SSCAUXDIV6 0x0610
4666#define SBI_SSCAUXDIV_FINALDIV2SEL(x) ((x)<<4)
5e49cea6 4667#define SBI_DBUFF0 0x2a00
dde86e2d 4668#define SBI_DBUFF0_ENABLE (1<<0)
ccf1c867 4669
52f025ef 4670/* LPT PIXCLK_GATE */
5e49cea6 4671#define PIXCLK_GATE 0xC6020
745ca3be
PZ
4672#define PIXCLK_GATE_UNGATE (1<<0)
4673#define PIXCLK_GATE_GATE (0<<0)
52f025ef 4674
e93ea06a 4675/* SPLL */
5e49cea6 4676#define SPLL_CTL 0x46020
e93ea06a 4677#define SPLL_PLL_ENABLE (1<<31)
39bc66c9
DL
4678#define SPLL_PLL_SSC (1<<28)
4679#define SPLL_PLL_NON_SSC (2<<28)
5e49cea6
PZ
4680#define SPLL_PLL_FREQ_810MHz (0<<26)
4681#define SPLL_PLL_FREQ_1350MHz (1<<26)
e93ea06a 4682
4dffc404 4683/* WRPLL */
5e49cea6
PZ
4684#define WRPLL_CTL1 0x46040
4685#define WRPLL_CTL2 0x46060
4686#define WRPLL_PLL_ENABLE (1<<31)
4687#define WRPLL_PLL_SELECT_SSC (0x01<<28)
39bc66c9 4688#define WRPLL_PLL_SELECT_NON_SSC (0x02<<28)
4dffc404 4689#define WRPLL_PLL_SELECT_LCPLL_2700 (0x03<<28)
ef4d084f 4690/* WRPLL divider programming */
5e49cea6
PZ
4691#define WRPLL_DIVIDER_REFERENCE(x) ((x)<<0)
4692#define WRPLL_DIVIDER_POST(x) ((x)<<8)
4693#define WRPLL_DIVIDER_FEEDBACK(x) ((x)<<16)
4dffc404 4694
fec9181c
ED
4695/* Port clock selection */
4696#define PORT_CLK_SEL_A 0x46100
4697#define PORT_CLK_SEL_B 0x46104
5e49cea6 4698#define PORT_CLK_SEL(port) _PORT(port, PORT_CLK_SEL_A, PORT_CLK_SEL_B)
fec9181c
ED
4699#define PORT_CLK_SEL_LCPLL_2700 (0<<29)
4700#define PORT_CLK_SEL_LCPLL_1350 (1<<29)
4701#define PORT_CLK_SEL_LCPLL_810 (2<<29)
5e49cea6 4702#define PORT_CLK_SEL_SPLL (3<<29)
fec9181c
ED
4703#define PORT_CLK_SEL_WRPLL1 (4<<29)
4704#define PORT_CLK_SEL_WRPLL2 (5<<29)
6441ab5f 4705#define PORT_CLK_SEL_NONE (7<<29)
fec9181c 4706
bb523fc0
PZ
4707/* Transcoder clock selection */
4708#define TRANS_CLK_SEL_A 0x46140
4709#define TRANS_CLK_SEL_B 0x46144
4710#define TRANS_CLK_SEL(tran) _TRANSCODER(tran, TRANS_CLK_SEL_A, TRANS_CLK_SEL_B)
4711/* For each transcoder, we need to select the corresponding port clock */
4712#define TRANS_CLK_SEL_DISABLED (0x0<<29)
4713#define TRANS_CLK_SEL_PORT(x) ((x+1)<<29)
fec9181c 4714
c9809791
PZ
4715#define _TRANSA_MSA_MISC 0x60410
4716#define _TRANSB_MSA_MISC 0x61410
4717#define TRANS_MSA_MISC(tran) _TRANSCODER(tran, _TRANSA_MSA_MISC, \
4718 _TRANSB_MSA_MISC)
4719#define TRANS_MSA_SYNC_CLK (1<<0)
4720#define TRANS_MSA_6_BPC (0<<5)
4721#define TRANS_MSA_8_BPC (1<<5)
4722#define TRANS_MSA_10_BPC (2<<5)
4723#define TRANS_MSA_12_BPC (3<<5)
4724#define TRANS_MSA_16_BPC (4<<5)
dae84799 4725
90e8d31c 4726/* LCPLL Control */
5e49cea6 4727#define LCPLL_CTL 0x130040
90e8d31c
ED
4728#define LCPLL_PLL_DISABLE (1<<31)
4729#define LCPLL_PLL_LOCK (1<<30)
79f689aa
PZ
4730#define LCPLL_CLK_FREQ_MASK (3<<26)
4731#define LCPLL_CLK_FREQ_450 (0<<26)
5e49cea6 4732#define LCPLL_CD_CLOCK_DISABLE (1<<25)
90e8d31c 4733#define LCPLL_CD2X_CLOCK_DISABLE (1<<23)
79f689aa 4734#define LCPLL_CD_SOURCE_FCLK (1<<21)
90e8d31c 4735
69e94b7e
ED
4736/* Pipe WM_LINETIME - watermark line time */
4737#define PIPE_WM_LINETIME_A 0x45270
4738#define PIPE_WM_LINETIME_B 0x45274
5e49cea6
PZ
4739#define PIPE_WM_LINETIME(pipe) _PIPE(pipe, PIPE_WM_LINETIME_A, \
4740 PIPE_WM_LINETIME_B)
4741#define PIPE_WM_LINETIME_MASK (0x1ff)
4742#define PIPE_WM_LINETIME_TIME(x) ((x))
69e94b7e 4743#define PIPE_WM_LINETIME_IPS_LINETIME_MASK (0x1ff<<16)
5e49cea6 4744#define PIPE_WM_LINETIME_IPS_LINETIME(x) ((x)<<16)
96d6e350
ED
4745
4746/* SFUSE_STRAP */
5e49cea6 4747#define SFUSE_STRAP 0xc2014
96d6e350
ED
4748#define SFUSE_STRAP_DDIB_DETECTED (1<<2)
4749#define SFUSE_STRAP_DDIC_DETECTED (1<<1)
4750#define SFUSE_STRAP_DDID_DETECTED (1<<0)
4751
1544d9d5
ED
4752#define WM_DBG 0x45280
4753#define WM_DBG_DISALLOW_MULTIPLE_LP (1<<0)
4754#define WM_DBG_DISALLOW_MAXFIFO (1<<1)
4755#define WM_DBG_DISALLOW_SPRITE (1<<2)
4756
86d3efce
VS
4757/* pipe CSC */
4758#define _PIPE_A_CSC_COEFF_RY_GY 0x49010
4759#define _PIPE_A_CSC_COEFF_BY 0x49014
4760#define _PIPE_A_CSC_COEFF_RU_GU 0x49018
4761#define _PIPE_A_CSC_COEFF_BU 0x4901c
4762#define _PIPE_A_CSC_COEFF_RV_GV 0x49020
4763#define _PIPE_A_CSC_COEFF_BV 0x49024
4764#define _PIPE_A_CSC_MODE 0x49028
4765#define _PIPE_A_CSC_PREOFF_HI 0x49030
4766#define _PIPE_A_CSC_PREOFF_ME 0x49034
4767#define _PIPE_A_CSC_PREOFF_LO 0x49038
4768#define _PIPE_A_CSC_POSTOFF_HI 0x49040
4769#define _PIPE_A_CSC_POSTOFF_ME 0x49044
4770#define _PIPE_A_CSC_POSTOFF_LO 0x49048
4771
4772#define _PIPE_B_CSC_COEFF_RY_GY 0x49110
4773#define _PIPE_B_CSC_COEFF_BY 0x49114
4774#define _PIPE_B_CSC_COEFF_RU_GU 0x49118
4775#define _PIPE_B_CSC_COEFF_BU 0x4911c
4776#define _PIPE_B_CSC_COEFF_RV_GV 0x49120
4777#define _PIPE_B_CSC_COEFF_BV 0x49124
4778#define _PIPE_B_CSC_MODE 0x49128
4779#define _PIPE_B_CSC_PREOFF_HI 0x49130
4780#define _PIPE_B_CSC_PREOFF_ME 0x49134
4781#define _PIPE_B_CSC_PREOFF_LO 0x49138
4782#define _PIPE_B_CSC_POSTOFF_HI 0x49140
4783#define _PIPE_B_CSC_POSTOFF_ME 0x49144
4784#define _PIPE_B_CSC_POSTOFF_LO 0x49148
4785
4786#define CSC_BLACK_SCREEN_OFFSET (1 << 2)
4787#define CSC_POSITION_BEFORE_GAMMA (1 << 1)
4788#define CSC_MODE_YUV_TO_RGB (1 << 0)
4789
4790#define PIPE_CSC_COEFF_RY_GY(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_RY_GY, _PIPE_B_CSC_COEFF_RY_GY)
4791#define PIPE_CSC_COEFF_BY(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_BY, _PIPE_B_CSC_COEFF_BY)
4792#define PIPE_CSC_COEFF_RU_GU(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_RU_GU, _PIPE_B_CSC_COEFF_RU_GU)
4793#define PIPE_CSC_COEFF_BU(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_BU, _PIPE_B_CSC_COEFF_BU)
4794#define PIPE_CSC_COEFF_RV_GV(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_RV_GV, _PIPE_B_CSC_COEFF_RV_GV)
4795#define PIPE_CSC_COEFF_BV(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_BV, _PIPE_B_CSC_COEFF_BV)
4796#define PIPE_CSC_MODE(pipe) _PIPE(pipe, _PIPE_A_CSC_MODE, _PIPE_B_CSC_MODE)
4797#define PIPE_CSC_PREOFF_HI(pipe) _PIPE(pipe, _PIPE_A_CSC_PREOFF_HI, _PIPE_B_CSC_PREOFF_HI)
4798#define PIPE_CSC_PREOFF_ME(pipe) _PIPE(pipe, _PIPE_A_CSC_PREOFF_ME, _PIPE_B_CSC_PREOFF_ME)
4799#define PIPE_CSC_PREOFF_LO(pipe) _PIPE(pipe, _PIPE_A_CSC_PREOFF_LO, _PIPE_B_CSC_PREOFF_LO)
4800#define PIPE_CSC_POSTOFF_HI(pipe) _PIPE(pipe, _PIPE_A_CSC_POSTOFF_HI, _PIPE_B_CSC_POSTOFF_HI)
4801#define PIPE_CSC_POSTOFF_ME(pipe) _PIPE(pipe, _PIPE_A_CSC_POSTOFF_ME, _PIPE_B_CSC_POSTOFF_ME)
4802#define PIPE_CSC_POSTOFF_LO(pipe) _PIPE(pipe, _PIPE_A_CSC_POSTOFF_LO, _PIPE_B_CSC_POSTOFF_LO)
4803
585fb111 4804#endif /* _I915_REG_H_ */
This page took 0.660724 seconds and 5 git commands to generate.