drm/i915: Semaphore MBOX update generalization
[deliverable/linux.git] / drivers / gpu / drm / i915 / i915_reg.h
CommitLineData
585fb111
JB
1/* Copyright 2003 Tungsten Graphics, Inc., Cedar Park, Texas.
2 * All Rights Reserved.
3 *
4 * Permission is hereby granted, free of charge, to any person obtaining a
5 * copy of this software and associated documentation files (the
6 * "Software"), to deal in the Software without restriction, including
7 * without limitation the rights to use, copy, modify, merge, publish,
8 * distribute, sub license, and/or sell copies of the Software, and to
9 * permit persons to whom the Software is furnished to do so, subject to
10 * the following conditions:
11 *
12 * The above copyright notice and this permission notice (including the
13 * next paragraph) shall be included in all copies or substantial portions
14 * of the Software.
15 *
16 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS
17 * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
18 * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NON-INFRINGEMENT.
19 * IN NO EVENT SHALL TUNGSTEN GRAPHICS AND/OR ITS SUPPLIERS BE LIABLE FOR
20 * ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT,
21 * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE
22 * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
23 */
24
25#ifndef _I915_REG_H_
26#define _I915_REG_H_
27
5eddb70b 28#define _PIPE(pipe, a, b) ((a) + (pipe)*((b)-(a)))
a5c961d1 29#define _TRANSCODER(tran, a, b) ((a) + (tran)*((b)-(a)))
5eddb70b 30
2b139522
ED
31#define _PORT(port, a, b) ((a) + (port)*((b)-(a)))
32
6b26c86d
DV
33#define _MASKED_BIT_ENABLE(a) (((a) << 16) | (a))
34#define _MASKED_BIT_DISABLE(a) ((a) << 16)
35
585fb111
JB
36/*
37 * The Bridge device's PCI config space has information about the
38 * fb aperture size and the amount of pre-reserved memory.
95375b7f
DV
39 * This is all handled in the intel-gtt.ko module. i915.ko only
40 * cares about the vga bit for the vga rbiter.
585fb111
JB
41 */
42#define INTEL_GMCH_CTRL 0x52
28d52043 43#define INTEL_GMCH_VGA_DISABLE (1 << 1)
e76e9aeb
BW
44#define SNB_GMCH_CTRL 0x50
45#define SNB_GMCH_GGMS_SHIFT 8 /* GTT Graphics Memory Size */
46#define SNB_GMCH_GGMS_MASK 0x3
47#define SNB_GMCH_GMS_SHIFT 3 /* Graphics Mode Select */
48#define SNB_GMCH_GMS_MASK 0x1f
49
14bc490b 50
585fb111
JB
51/* PCI config space */
52
53#define HPLLCC 0xc0 /* 855 only */
652c393a 54#define GC_CLOCK_CONTROL_MASK (0xf << 0)
585fb111
JB
55#define GC_CLOCK_133_200 (0 << 0)
56#define GC_CLOCK_100_200 (1 << 0)
57#define GC_CLOCK_100_133 (2 << 0)
58#define GC_CLOCK_166_250 (3 << 0)
f97108d1 59#define GCFGC2 0xda
585fb111
JB
60#define GCFGC 0xf0 /* 915+ only */
61#define GC_LOW_FREQUENCY_ENABLE (1 << 7)
62#define GC_DISPLAY_CLOCK_190_200_MHZ (0 << 4)
63#define GC_DISPLAY_CLOCK_333_MHZ (4 << 4)
64#define GC_DISPLAY_CLOCK_MASK (7 << 4)
652c393a
JB
65#define GM45_GC_RENDER_CLOCK_MASK (0xf << 0)
66#define GM45_GC_RENDER_CLOCK_266_MHZ (8 << 0)
67#define GM45_GC_RENDER_CLOCK_320_MHZ (9 << 0)
68#define GM45_GC_RENDER_CLOCK_400_MHZ (0xb << 0)
69#define GM45_GC_RENDER_CLOCK_533_MHZ (0xc << 0)
70#define I965_GC_RENDER_CLOCK_MASK (0xf << 0)
71#define I965_GC_RENDER_CLOCK_267_MHZ (2 << 0)
72#define I965_GC_RENDER_CLOCK_333_MHZ (3 << 0)
73#define I965_GC_RENDER_CLOCK_444_MHZ (4 << 0)
74#define I965_GC_RENDER_CLOCK_533_MHZ (5 << 0)
75#define I945_GC_RENDER_CLOCK_MASK (7 << 0)
76#define I945_GC_RENDER_CLOCK_166_MHZ (0 << 0)
77#define I945_GC_RENDER_CLOCK_200_MHZ (1 << 0)
78#define I945_GC_RENDER_CLOCK_250_MHZ (3 << 0)
79#define I945_GC_RENDER_CLOCK_400_MHZ (5 << 0)
80#define I915_GC_RENDER_CLOCK_MASK (7 << 0)
81#define I915_GC_RENDER_CLOCK_166_MHZ (0 << 0)
82#define I915_GC_RENDER_CLOCK_200_MHZ (1 << 0)
83#define I915_GC_RENDER_CLOCK_333_MHZ (4 << 0)
585fb111 84#define LBB 0xf4
eeccdcac
KG
85
86/* Graphics reset regs */
0573ed4a
KG
87#define I965_GDRST 0xc0 /* PCI config register */
88#define ILK_GDSR 0x2ca4 /* MCHBAR offset */
eeccdcac
KG
89#define GRDOM_FULL (0<<2)
90#define GRDOM_RENDER (1<<2)
91#define GRDOM_MEDIA (3<<2)
8a5c2ae7 92#define GRDOM_MASK (3<<2)
5ccce180 93#define GRDOM_RESET_ENABLE (1<<0)
585fb111 94
07b7ddd9
JB
95#define GEN6_MBCUNIT_SNPCR 0x900c /* for LLC config */
96#define GEN6_MBC_SNPCR_SHIFT 21
97#define GEN6_MBC_SNPCR_MASK (3<<21)
98#define GEN6_MBC_SNPCR_MAX (0<<21)
99#define GEN6_MBC_SNPCR_MED (1<<21)
100#define GEN6_MBC_SNPCR_LOW (2<<21)
101#define GEN6_MBC_SNPCR_MIN (3<<21) /* only 1/16th of the cache is shared */
102
5eb719cd
DV
103#define GEN6_MBCTL 0x0907c
104#define GEN6_MBCTL_ENABLE_BOOT_FETCH (1 << 4)
105#define GEN6_MBCTL_CTX_FETCH_NEEDED (1 << 3)
106#define GEN6_MBCTL_BME_UPDATE_ENABLE (1 << 2)
107#define GEN6_MBCTL_MAE_UPDATE_ENABLE (1 << 1)
108#define GEN6_MBCTL_BOOT_FETCH_MECH (1 << 0)
109
cff458c2
EA
110#define GEN6_GDRST 0x941c
111#define GEN6_GRDOM_FULL (1 << 0)
112#define GEN6_GRDOM_RENDER (1 << 1)
113#define GEN6_GRDOM_MEDIA (1 << 2)
114#define GEN6_GRDOM_BLT (1 << 3)
115
5eb719cd
DV
116#define RING_PP_DIR_BASE(ring) ((ring)->mmio_base+0x228)
117#define RING_PP_DIR_BASE_READ(ring) ((ring)->mmio_base+0x518)
118#define RING_PP_DIR_DCLV(ring) ((ring)->mmio_base+0x220)
119#define PP_DIR_DCLV_2G 0xffffffff
120
121#define GAM_ECOCHK 0x4090
122#define ECOCHK_SNB_BIT (1<<10)
e3dff585 123#define HSW_ECOCHK_ARB_PRIO_SOL (1<<6)
5eb719cd
DV
124#define ECOCHK_PPGTT_CACHE64B (0x3<<3)
125#define ECOCHK_PPGTT_CACHE4B (0x0<<3)
a6f429a5
VS
126#define ECOCHK_PPGTT_GFDT_IVB (0x1<<4)
127#define ECOCHK_PPGTT_LLC_IVB (0x1<<3)
128#define ECOCHK_PPGTT_UC_HSW (0x1<<3)
129#define ECOCHK_PPGTT_WT_HSW (0x2<<3)
130#define ECOCHK_PPGTT_WB_HSW (0x3<<3)
5eb719cd 131
48ecfa10 132#define GAC_ECO_BITS 0x14090
3b9d7888 133#define ECOBITS_SNB_BIT (1<<13)
48ecfa10
DV
134#define ECOBITS_PPGTT_CACHE64B (3<<8)
135#define ECOBITS_PPGTT_CACHE4B (0<<8)
136
be901a5a
DV
137#define GAB_CTL 0x24000
138#define GAB_CTL_CONT_AFTER_PAGEFAULT (1<<8)
139
585fb111
JB
140/* VGA stuff */
141
142#define VGA_ST01_MDA 0x3ba
143#define VGA_ST01_CGA 0x3da
144
145#define VGA_MSR_WRITE 0x3c2
146#define VGA_MSR_READ 0x3cc
147#define VGA_MSR_MEM_EN (1<<1)
148#define VGA_MSR_CGA_MODE (1<<0)
149
56a12a50
VS
150/*
151 * SR01 is the only VGA register touched on non-UMS setups.
152 * VLV doesn't do UMS, so the sequencer index/data registers
153 * are the only VGA registers which need to include
154 * display_mmio_offset.
155 */
156#define VGA_SR_INDEX (dev_priv->info->display_mmio_offset + 0x3c4)
f930ddd0 157#define SR01 1
56a12a50 158#define VGA_SR_DATA (dev_priv->info->display_mmio_offset + 0x3c5)
585fb111
JB
159
160#define VGA_AR_INDEX 0x3c0
161#define VGA_AR_VID_EN (1<<5)
162#define VGA_AR_DATA_WRITE 0x3c0
163#define VGA_AR_DATA_READ 0x3c1
164
165#define VGA_GR_INDEX 0x3ce
166#define VGA_GR_DATA 0x3cf
167/* GR05 */
168#define VGA_GR_MEM_READ_MODE_SHIFT 3
169#define VGA_GR_MEM_READ_MODE_PLANE 1
170/* GR06 */
171#define VGA_GR_MEM_MODE_MASK 0xc
172#define VGA_GR_MEM_MODE_SHIFT 2
173#define VGA_GR_MEM_A0000_AFFFF 0
174#define VGA_GR_MEM_A0000_BFFFF 1
175#define VGA_GR_MEM_B0000_B7FFF 2
176#define VGA_GR_MEM_B0000_BFFFF 3
177
178#define VGA_DACMASK 0x3c6
179#define VGA_DACRX 0x3c7
180#define VGA_DACWX 0x3c8
181#define VGA_DACDATA 0x3c9
182
183#define VGA_CR_INDEX_MDA 0x3b4
184#define VGA_CR_DATA_MDA 0x3b5
185#define VGA_CR_INDEX_CGA 0x3d4
186#define VGA_CR_DATA_CGA 0x3d5
187
188/*
189 * Memory interface instructions used by the kernel
190 */
191#define MI_INSTR(opcode, flags) (((opcode) << 23) | (flags))
192
193#define MI_NOOP MI_INSTR(0, 0)
194#define MI_USER_INTERRUPT MI_INSTR(0x02, 0)
195#define MI_WAIT_FOR_EVENT MI_INSTR(0x03, 0)
02e792fb 196#define MI_WAIT_FOR_OVERLAY_FLIP (1<<16)
585fb111
JB
197#define MI_WAIT_FOR_PLANE_B_FLIP (1<<6)
198#define MI_WAIT_FOR_PLANE_A_FLIP (1<<2)
199#define MI_WAIT_FOR_PLANE_A_SCANLINES (1<<1)
200#define MI_FLUSH MI_INSTR(0x04, 0)
201#define MI_READ_FLUSH (1 << 0)
202#define MI_EXE_FLUSH (1 << 1)
203#define MI_NO_WRITE_FLUSH (1 << 2)
204#define MI_SCENE_COUNT (1 << 3) /* just increment scene count */
205#define MI_END_SCENE (1 << 4) /* flush binner and incr scene count */
1cafd347 206#define MI_INVALIDATE_ISP (1 << 5) /* invalidate indirect state pointers */
585fb111 207#define MI_BATCH_BUFFER_END MI_INSTR(0x0a, 0)
88271da3
JB
208#define MI_SUSPEND_FLUSH MI_INSTR(0x0b, 0)
209#define MI_SUSPEND_FLUSH_EN (1<<0)
585fb111 210#define MI_REPORT_HEAD MI_INSTR(0x07, 0)
0206e353 211#define MI_OVERLAY_FLIP MI_INSTR(0x11, 0)
02e792fb
DV
212#define MI_OVERLAY_CONTINUE (0x0<<21)
213#define MI_OVERLAY_ON (0x1<<21)
214#define MI_OVERLAY_OFF (0x2<<21)
585fb111 215#define MI_LOAD_SCAN_LINES_INCL MI_INSTR(0x12, 0)
6b95a207 216#define MI_DISPLAY_FLIP MI_INSTR(0x14, 2)
1afe3e9d 217#define MI_DISPLAY_FLIP_I915 MI_INSTR(0x14, 1)
6b95a207 218#define MI_DISPLAY_FLIP_PLANE(n) ((n) << 20)
cb05d8de
DV
219/* IVB has funny definitions for which plane to flip. */
220#define MI_DISPLAY_FLIP_IVB_PLANE_A (0 << 19)
221#define MI_DISPLAY_FLIP_IVB_PLANE_B (1 << 19)
222#define MI_DISPLAY_FLIP_IVB_SPRITE_A (2 << 19)
223#define MI_DISPLAY_FLIP_IVB_SPRITE_B (3 << 19)
224#define MI_DISPLAY_FLIP_IVB_PLANE_C (4 << 19)
225#define MI_DISPLAY_FLIP_IVB_SPRITE_C (5 << 19)
e37ec39b
BW
226#define MI_ARB_ON_OFF MI_INSTR(0x08, 0)
227#define MI_ARB_ENABLE (1<<0)
228#define MI_ARB_DISABLE (0<<0)
cb05d8de 229
aa40d6bb
ZN
230#define MI_SET_CONTEXT MI_INSTR(0x18, 0)
231#define MI_MM_SPACE_GTT (1<<8)
232#define MI_MM_SPACE_PHYSICAL (0<<8)
233#define MI_SAVE_EXT_STATE_EN (1<<3)
234#define MI_RESTORE_EXT_STATE_EN (1<<2)
88271da3 235#define MI_FORCE_RESTORE (1<<1)
aa40d6bb 236#define MI_RESTORE_INHIBIT (1<<0)
585fb111
JB
237#define MI_STORE_DWORD_IMM MI_INSTR(0x20, 1)
238#define MI_MEM_VIRTUAL (1 << 22) /* 965+ only */
239#define MI_STORE_DWORD_INDEX MI_INSTR(0x21, 1)
240#define MI_STORE_DWORD_INDEX_SHIFT 2
c6642782
DV
241/* Official intel docs are somewhat sloppy concerning MI_LOAD_REGISTER_IMM:
242 * - Always issue a MI_NOOP _before_ the MI_LOAD_REGISTER_IMM - otherwise hw
243 * simply ignores the register load under certain conditions.
244 * - One can actually load arbitrary many arbitrary registers: Simply issue x
245 * address/value pairs. Don't overdue it, though, x <= 2^4 must hold!
246 */
247#define MI_LOAD_REGISTER_IMM(x) MI_INSTR(0x22, 2*x-1)
71a77e07 248#define MI_FLUSH_DW MI_INSTR(0x26, 1) /* for GEN6 */
9a289771
JB
249#define MI_FLUSH_DW_STORE_INDEX (1<<21)
250#define MI_INVALIDATE_TLB (1<<18)
251#define MI_FLUSH_DW_OP_STOREDW (1<<14)
252#define MI_INVALIDATE_BSD (1<<7)
253#define MI_FLUSH_DW_USE_GTT (1<<2)
254#define MI_FLUSH_DW_USE_PPGTT (0<<2)
585fb111 255#define MI_BATCH_BUFFER MI_INSTR(0x30, 1)
d7d4eedd
CW
256#define MI_BATCH_NON_SECURE (1)
257/* for snb/ivb/vlv this also means "batch in ppgtt" when ppgtt is enabled. */
258#define MI_BATCH_NON_SECURE_I965 (1<<8)
259#define MI_BATCH_PPGTT_HSW (1<<8)
260#define MI_BATCH_NON_SECURE_HSW (1<<13)
585fb111 261#define MI_BATCH_BUFFER_START MI_INSTR(0x31, 0)
65f56876 262#define MI_BATCH_GTT (2<<6) /* aliased with (1<<7) on gen4 */
1ec14ad3
CW
263#define MI_SEMAPHORE_MBOX MI_INSTR(0x16, 1) /* gen6+ */
264#define MI_SEMAPHORE_GLOBAL_GTT (1<<22)
265#define MI_SEMAPHORE_UPDATE (1<<21)
266#define MI_SEMAPHORE_COMPARE (1<<20)
267#define MI_SEMAPHORE_REGISTER (1<<18)
5586181f
BW
268#define MI_SEMAPHORE_SYNC_RB (0<<16) /* BCS wait for RCS (BRSYNC) */
269#define MI_SEMAPHORE_SYNC_RV (2<<16) /* VCS wait for RCS (VRSYNC) */
270#define MI_SEMAPHORE_SYNC_VR (0<<16) /* RCS wait for VCS (RVSYNC) */
271#define MI_SEMAPHORE_SYNC_VB (2<<16) /* BCS wait for VCS (BVSYNC) */
272#define MI_SEMAPHORE_SYNC_BV (0<<16) /* VCS wait for BCS (VBSYNC) */
273#define MI_SEMAPHORE_SYNC_BR (2<<16) /* RCS wait for BCS (RBSYNC) */
c8c99b0f 274#define MI_SEMAPHORE_SYNC_INVALID (1<<0)
585fb111
JB
275/*
276 * 3D instructions used by the kernel
277 */
278#define GFX_INSTR(opcode, flags) ((0x3 << 29) | ((opcode) << 24) | (flags))
279
280#define GFX_OP_RASTER_RULES ((0x3<<29)|(0x7<<24))
281#define GFX_OP_SCISSOR ((0x3<<29)|(0x1c<<24)|(0x10<<19))
282#define SC_UPDATE_SCISSOR (0x1<<1)
283#define SC_ENABLE_MASK (0x1<<0)
284#define SC_ENABLE (0x1<<0)
285#define GFX_OP_LOAD_INDIRECT ((0x3<<29)|(0x1d<<24)|(0x7<<16))
286#define GFX_OP_SCISSOR_INFO ((0x3<<29)|(0x1d<<24)|(0x81<<16)|(0x1))
287#define SCI_YMIN_MASK (0xffff<<16)
288#define SCI_XMIN_MASK (0xffff<<0)
289#define SCI_YMAX_MASK (0xffff<<16)
290#define SCI_XMAX_MASK (0xffff<<0)
291#define GFX_OP_SCISSOR_ENABLE ((0x3<<29)|(0x1c<<24)|(0x10<<19))
292#define GFX_OP_SCISSOR_RECT ((0x3<<29)|(0x1d<<24)|(0x81<<16)|1)
293#define GFX_OP_COLOR_FACTOR ((0x3<<29)|(0x1d<<24)|(0x1<<16)|0x0)
294#define GFX_OP_STIPPLE ((0x3<<29)|(0x1d<<24)|(0x83<<16))
295#define GFX_OP_MAP_INFO ((0x3<<29)|(0x1d<<24)|0x4)
296#define GFX_OP_DESTBUFFER_VARS ((0x3<<29)|(0x1d<<24)|(0x85<<16)|0x0)
297#define GFX_OP_DESTBUFFER_INFO ((0x3<<29)|(0x1d<<24)|(0x8e<<16)|1)
298#define GFX_OP_DRAWRECT_INFO ((0x3<<29)|(0x1d<<24)|(0x80<<16)|(0x3))
299#define GFX_OP_DRAWRECT_INFO_I965 ((0x7900<<16)|0x2)
300#define SRC_COPY_BLT_CMD ((2<<29)|(0x43<<22)|4)
301#define XY_SRC_COPY_BLT_CMD ((2<<29)|(0x53<<22)|6)
302#define XY_MONO_SRC_COPY_IMM_BLT ((2<<29)|(0x71<<22)|5)
303#define XY_SRC_COPY_BLT_WRITE_ALPHA (1<<21)
304#define XY_SRC_COPY_BLT_WRITE_RGB (1<<20)
305#define BLT_DEPTH_8 (0<<24)
306#define BLT_DEPTH_16_565 (1<<24)
307#define BLT_DEPTH_16_1555 (2<<24)
308#define BLT_DEPTH_32 (3<<24)
309#define BLT_ROP_GXCOPY (0xcc<<16)
310#define XY_SRC_COPY_BLT_SRC_TILED (1<<15) /* 965+ only */
311#define XY_SRC_COPY_BLT_DST_TILED (1<<11) /* 965+ only */
312#define CMD_OP_DISPLAYBUFFER_INFO ((0x0<<29)|(0x14<<23)|2)
313#define ASYNC_FLIP (1<<22)
314#define DISPLAY_PLANE_A (0<<20)
315#define DISPLAY_PLANE_B (1<<20)
fcbc34e4 316#define GFX_OP_PIPE_CONTROL(len) ((0x3<<29)|(0x3<<27)|(0x2<<24)|(len-2))
b9e1faa7 317#define PIPE_CONTROL_GLOBAL_GTT_IVB (1<<24) /* gen7+ */
8d315287 318#define PIPE_CONTROL_CS_STALL (1<<20)
cc0f6398 319#define PIPE_CONTROL_TLB_INVALIDATE (1<<18)
9d971b37
KG
320#define PIPE_CONTROL_QW_WRITE (1<<14)
321#define PIPE_CONTROL_DEPTH_STALL (1<<13)
322#define PIPE_CONTROL_WRITE_FLUSH (1<<12)
8d315287 323#define PIPE_CONTROL_RENDER_TARGET_CACHE_FLUSH (1<<12) /* gen6+ */
9d971b37
KG
324#define PIPE_CONTROL_INSTRUCTION_CACHE_INVALIDATE (1<<11) /* MBZ on Ironlake */
325#define PIPE_CONTROL_TEXTURE_CACHE_INVALIDATE (1<<10) /* GM45+ only */
326#define PIPE_CONTROL_INDIRECT_STATE_DISABLE (1<<9)
327#define PIPE_CONTROL_NOTIFY (1<<8)
8d315287
JB
328#define PIPE_CONTROL_VF_CACHE_INVALIDATE (1<<4)
329#define PIPE_CONTROL_CONST_CACHE_INVALIDATE (1<<3)
330#define PIPE_CONTROL_STATE_CACHE_INVALIDATE (1<<2)
9d971b37 331#define PIPE_CONTROL_STALL_AT_SCOREBOARD (1<<1)
8d315287 332#define PIPE_CONTROL_DEPTH_CACHE_FLUSH (1<<0)
e552eb70 333#define PIPE_CONTROL_GLOBAL_GTT (1<<2) /* in addr dword */
585fb111 334
dc96e9b8
CW
335
336/*
337 * Reset registers
338 */
339#define DEBUG_RESET_I830 0x6070
340#define DEBUG_RESET_FULL (1<<7)
341#define DEBUG_RESET_RENDER (1<<8)
342#define DEBUG_RESET_DISPLAY (1<<9)
343
57f350b6 344/*
5a09ae9f
JN
345 * IOSF sideband
346 */
347#define VLV_IOSF_DOORBELL_REQ (VLV_DISPLAY_BASE + 0x2100)
348#define IOSF_DEVFN_SHIFT 24
349#define IOSF_OPCODE_SHIFT 16
350#define IOSF_PORT_SHIFT 8
351#define IOSF_BYTE_ENABLES_SHIFT 4
352#define IOSF_BAR_SHIFT 1
353#define IOSF_SB_BUSY (1<<0)
354#define IOSF_PORT_PUNIT 0x4
355#define IOSF_PORT_NC 0x11
356#define IOSF_PORT_DPIO 0x12
357#define VLV_IOSF_DATA (VLV_DISPLAY_BASE + 0x2104)
358#define VLV_IOSF_ADDR (VLV_DISPLAY_BASE + 0x2108)
359
360#define PUNIT_OPCODE_REG_READ 6
361#define PUNIT_OPCODE_REG_WRITE 7
362
363#define PUNIT_REG_GPU_LFM 0xd3
364#define PUNIT_REG_GPU_FREQ_REQ 0xd4
365#define PUNIT_REG_GPU_FREQ_STS 0xd8
366#define PUNIT_REG_MEDIA_TURBO_FREQ_REQ 0xdc
367
368#define PUNIT_FUSE_BUS2 0xf6 /* bits 47:40 */
369#define PUNIT_FUSE_BUS1 0xf5 /* bits 55:48 */
370
371#define IOSF_NC_FB_GFX_FREQ_FUSE 0x1c
372#define FB_GFX_MAX_FREQ_FUSE_SHIFT 3
373#define FB_GFX_MAX_FREQ_FUSE_MASK 0x000007f8
374#define FB_GFX_FGUARANTEED_FREQ_FUSE_SHIFT 11
375#define FB_GFX_FGUARANTEED_FREQ_FUSE_MASK 0x0007f800
376#define IOSF_NC_FB_GFX_FMAX_FUSE_HI 0x34
377#define FB_FMAX_VMIN_FREQ_HI_MASK 0x00000007
378#define IOSF_NC_FB_GFX_FMAX_FUSE_LO 0x30
379#define FB_FMAX_VMIN_FREQ_LO_SHIFT 27
380#define FB_FMAX_VMIN_FREQ_LO_MASK 0xf8000000
381
382/*
383 * DPIO - a special bus for various display related registers to hide behind
54d9d493
VS
384 *
385 * DPIO is VLV only.
598fac6b
DV
386 *
387 * Note: digital port B is DDI0, digital pot C is DDI1
57f350b6 388 */
5a09ae9f
JN
389#define DPIO_DEVFN 0
390#define DPIO_OPCODE_REG_WRITE 1
391#define DPIO_OPCODE_REG_READ 0
392
54d9d493 393#define DPIO_CTL (VLV_DISPLAY_BASE + 0x2110)
57f350b6
JB
394#define DPIO_MODSEL1 (1<<3) /* if ref clk b == 27 */
395#define DPIO_MODSEL0 (1<<2) /* if ref clk a == 27 */
396#define DPIO_SFR_BYPASS (1<<1)
397#define DPIO_RESET (1<<0)
398
598fac6b
DV
399#define _DPIO_TX3_SWING_CTL4_A 0x690
400#define _DPIO_TX3_SWING_CTL4_B 0x2a90
401#define DPIO_TX3_SWING_CTL4(pipe) _PIPE(pipe, _DPIO_TX_SWING_CTL4_A, \
402 _DPIO_TX3_SWING_CTL4_B)
403
404/*
405 * Per pipe/PLL DPIO regs
406 */
57f350b6
JB
407#define _DPIO_DIV_A 0x800c
408#define DPIO_POST_DIV_SHIFT (28) /* 3 bits */
598fac6b
DV
409#define DPIO_POST_DIV_DAC 0
410#define DPIO_POST_DIV_HDMIDP 1 /* DAC 225-400M rate */
411#define DPIO_POST_DIV_LVDS1 2
412#define DPIO_POST_DIV_LVDS2 3
57f350b6
JB
413#define DPIO_K_SHIFT (24) /* 4 bits */
414#define DPIO_P1_SHIFT (21) /* 3 bits */
415#define DPIO_P2_SHIFT (16) /* 5 bits */
416#define DPIO_N_SHIFT (12) /* 4 bits */
417#define DPIO_ENABLE_CALIBRATION (1<<11)
418#define DPIO_M1DIV_SHIFT (8) /* 3 bits */
419#define DPIO_M2DIV_MASK 0xff
420#define _DPIO_DIV_B 0x802c
421#define DPIO_DIV(pipe) _PIPE(pipe, _DPIO_DIV_A, _DPIO_DIV_B)
422
423#define _DPIO_REFSFR_A 0x8014
424#define DPIO_REFSEL_OVERRIDE 27
425#define DPIO_PLL_MODESEL_SHIFT 24 /* 3 bits */
426#define DPIO_BIAS_CURRENT_CTL_SHIFT 21 /* 3 bits, always 0x7 */
427#define DPIO_PLL_REFCLK_SEL_SHIFT 16 /* 2 bits */
b56747aa 428#define DPIO_PLL_REFCLK_SEL_MASK 3
57f350b6
JB
429#define DPIO_DRIVER_CTL_SHIFT 12 /* always set to 0x8 */
430#define DPIO_CLK_BIAS_CTL_SHIFT 8 /* always set to 0x5 */
431#define _DPIO_REFSFR_B 0x8034
432#define DPIO_REFSFR(pipe) _PIPE(pipe, _DPIO_REFSFR_A, _DPIO_REFSFR_B)
433
434#define _DPIO_CORE_CLK_A 0x801c
435#define _DPIO_CORE_CLK_B 0x803c
436#define DPIO_CORE_CLK(pipe) _PIPE(pipe, _DPIO_CORE_CLK_A, _DPIO_CORE_CLK_B)
437
598fac6b
DV
438#define _DPIO_IREF_CTL_A 0x8040
439#define _DPIO_IREF_CTL_B 0x8060
440#define DPIO_IREF_CTL(pipe) _PIPE(pipe, _DPIO_IREF_CTL_A, _DPIO_IREF_CTL_B)
441
442#define DPIO_IREF_BCAST 0xc044
443#define _DPIO_IREF_A 0x8044
444#define _DPIO_IREF_B 0x8064
445#define DPIO_IREF(pipe) _PIPE(pipe, _DPIO_IREF_A, _DPIO_IREF_B)
446
447#define _DPIO_PLL_CML_A 0x804c
448#define _DPIO_PLL_CML_B 0x806c
449#define DPIO_PLL_CML(pipe) _PIPE(pipe, _DPIO_PLL_CML_A, _DPIO_PLL_CML_B)
450
57f350b6
JB
451#define _DPIO_LFP_COEFF_A 0x8048
452#define _DPIO_LFP_COEFF_B 0x8068
453#define DPIO_LFP_COEFF(pipe) _PIPE(pipe, _DPIO_LFP_COEFF_A, _DPIO_LFP_COEFF_B)
454
598fac6b
DV
455#define DPIO_CALIBRATION 0x80ac
456
57f350b6 457#define DPIO_FASTCLK_DISABLE 0x8100
dc96e9b8 458
598fac6b
DV
459/*
460 * Per DDI channel DPIO regs
461 */
462
463#define _DPIO_PCS_TX_0 0x8200
464#define _DPIO_PCS_TX_1 0x8400
465#define DPIO_PCS_TX_LANE2_RESET (1<<16)
466#define DPIO_PCS_TX_LANE1_RESET (1<<7)
467#define DPIO_PCS_TX(port) _PORT(port, _DPIO_PCS_TX_0, _DPIO_PCS_TX_1)
468
469#define _DPIO_PCS_CLK_0 0x8204
470#define _DPIO_PCS_CLK_1 0x8404
471#define DPIO_PCS_CLK_CRI_RXEB_EIOS_EN (1<<22)
472#define DPIO_PCS_CLK_CRI_RXDIGFILTSG_EN (1<<21)
473#define DPIO_PCS_CLK_DATAWIDTH_SHIFT (6)
474#define DPIO_PCS_CLK_SOFT_RESET (1<<5)
475#define DPIO_PCS_CLK(port) _PORT(port, _DPIO_PCS_CLK_0, _DPIO_PCS_CLK_1)
476
477#define _DPIO_PCS_CTL_OVR1_A 0x8224
478#define _DPIO_PCS_CTL_OVR1_B 0x8424
479#define DPIO_PCS_CTL_OVER1(port) _PORT(port, _DPIO_PCS_CTL_OVR1_A, \
480 _DPIO_PCS_CTL_OVR1_B)
481
482#define _DPIO_PCS_STAGGER0_A 0x822c
483#define _DPIO_PCS_STAGGER0_B 0x842c
484#define DPIO_PCS_STAGGER0(port) _PORT(port, _DPIO_PCS_STAGGER0_A, \
485 _DPIO_PCS_STAGGER0_B)
486
487#define _DPIO_PCS_STAGGER1_A 0x8230
488#define _DPIO_PCS_STAGGER1_B 0x8430
489#define DPIO_PCS_STAGGER1(port) _PORT(port, _DPIO_PCS_STAGGER1_A, \
490 _DPIO_PCS_STAGGER1_B)
491
492#define _DPIO_PCS_CLOCKBUF0_A 0x8238
493#define _DPIO_PCS_CLOCKBUF0_B 0x8438
494#define DPIO_PCS_CLOCKBUF0(port) _PORT(port, _DPIO_PCS_CLOCKBUF0_A, \
495 _DPIO_PCS_CLOCKBUF0_B)
496
497#define _DPIO_PCS_CLOCKBUF8_A 0x825c
498#define _DPIO_PCS_CLOCKBUF8_B 0x845c
499#define DPIO_PCS_CLOCKBUF8(port) _PORT(port, _DPIO_PCS_CLOCKBUF8_A, \
500 _DPIO_PCS_CLOCKBUF8_B)
501
502#define _DPIO_TX_SWING_CTL2_A 0x8288
503#define _DPIO_TX_SWING_CTL2_B 0x8488
504#define DPIO_TX_SWING_CTL2(port) _PORT(port, _DPIO_TX_SWING_CTL2_A, \
505 _DPIO_TX_SWING_CTL2_B)
506
507#define _DPIO_TX_SWING_CTL3_A 0x828c
508#define _DPIO_TX_SWING_CTL3_B 0x848c
509#define DPIO_TX_SWING_CTL3(port) _PORT(port, _DPIO_TX_SWING_CTL3_A, \
510 _DPIO_TX_SWING_CTL3_B)
511
512#define _DPIO_TX_SWING_CTL4_A 0x8290
513#define _DPIO_TX_SWING_CTL4_B 0x8490
514#define DPIO_TX_SWING_CTL4(port) _PORT(port, _DPIO_TX_SWING_CTL4_A, \
515 _DPIO_TX_SWING_CTL4_B)
516
517#define _DPIO_TX_OCALINIT_0 0x8294
518#define _DPIO_TX_OCALINIT_1 0x8494
519#define DPIO_TX_OCALINIT_EN (1<<31)
520#define DPIO_TX_OCALINIT(port) _PORT(port, _DPIO_TX_OCALINIT_0, \
521 _DPIO_TX_OCALINIT_1)
522
523#define _DPIO_TX_CTL_0 0x82ac
524#define _DPIO_TX_CTL_1 0x84ac
525#define DPIO_TX_CTL(port) _PORT(port, _DPIO_TX_CTL_0, _DPIO_TX_CTL_1)
526
527#define _DPIO_TX_LANE_0 0x82b8
528#define _DPIO_TX_LANE_1 0x84b8
529#define DPIO_TX_LANE(port) _PORT(port, _DPIO_TX_LANE_0, _DPIO_TX_LANE_1)
530
531#define _DPIO_DATA_CHANNEL1 0x8220
532#define _DPIO_DATA_CHANNEL2 0x8420
533#define DPIO_DATA_CHANNEL(port) _PORT(port, _DPIO_DATA_CHANNEL1, _DPIO_DATA_CHANNEL2)
534
535#define _DPIO_PORT0_PCS0 0x0220
536#define _DPIO_PORT0_PCS1 0x0420
537#define _DPIO_PORT1_PCS2 0x2620
538#define _DPIO_PORT1_PCS3 0x2820
539#define DPIO_DATA_LANE_A(port) _PORT(port, _DPIO_PORT0_PCS0, _DPIO_PORT1_PCS2)
540#define DPIO_DATA_LANE_B(port) _PORT(port, _DPIO_PORT0_PCS1, _DPIO_PORT1_PCS3)
541#define DPIO_DATA_CHANNEL1 0x8220
542#define DPIO_DATA_CHANNEL2 0x8420
b56747aa 543
585fb111 544/*
de151cf6 545 * Fence registers
585fb111 546 */
de151cf6 547#define FENCE_REG_830_0 0x2000
dc529a4f 548#define FENCE_REG_945_8 0x3000
de151cf6
JB
549#define I830_FENCE_START_MASK 0x07f80000
550#define I830_FENCE_TILING_Y_SHIFT 12
0f973f27 551#define I830_FENCE_SIZE_BITS(size) ((ffs((size) >> 19) - 1) << 8)
de151cf6
JB
552#define I830_FENCE_PITCH_SHIFT 4
553#define I830_FENCE_REG_VALID (1<<0)
c36a2a6d 554#define I915_FENCE_MAX_PITCH_VAL 4
e76a16de 555#define I830_FENCE_MAX_PITCH_VAL 6
8d7773a3 556#define I830_FENCE_MAX_SIZE_VAL (1<<8)
de151cf6
JB
557
558#define I915_FENCE_START_MASK 0x0ff00000
0f973f27 559#define I915_FENCE_SIZE_BITS(size) ((ffs((size) >> 20) - 1) << 8)
585fb111 560
de151cf6
JB
561#define FENCE_REG_965_0 0x03000
562#define I965_FENCE_PITCH_SHIFT 2
563#define I965_FENCE_TILING_Y_SHIFT 1
564#define I965_FENCE_REG_VALID (1<<0)
8d7773a3 565#define I965_FENCE_MAX_PITCH_VAL 0x0400
de151cf6 566
4e901fdc
EA
567#define FENCE_REG_SANDYBRIDGE_0 0x100000
568#define SANDYBRIDGE_FENCE_PITCH_SHIFT 32
3a062478 569#define GEN7_FENCE_MAX_PITCH_VAL 0x0800
4e901fdc 570
f691e2f4
DV
571/* control register for cpu gtt access */
572#define TILECTL 0x101000
573#define TILECTL_SWZCTL (1 << 0)
574#define TILECTL_TLB_PREFETCH_DIS (1 << 2)
575#define TILECTL_BACKSNOOP_DIS (1 << 3)
576
de151cf6
JB
577/*
578 * Instruction and interrupt control regs
579 */
63eeaf38 580#define PGTBL_ER 0x02024
333e9fe9
DV
581#define RENDER_RING_BASE 0x02000
582#define BSD_RING_BASE 0x04000
583#define GEN6_BSD_RING_BASE 0x12000
549f7365 584#define BLT_RING_BASE 0x22000
3d281d8c
DV
585#define RING_TAIL(base) ((base)+0x30)
586#define RING_HEAD(base) ((base)+0x34)
587#define RING_START(base) ((base)+0x38)
588#define RING_CTL(base) ((base)+0x3c)
1ec14ad3
CW
589#define RING_SYNC_0(base) ((base)+0x40)
590#define RING_SYNC_1(base) ((base)+0x44)
c8c99b0f
BW
591#define GEN6_RVSYNC (RING_SYNC_0(RENDER_RING_BASE))
592#define GEN6_RBSYNC (RING_SYNC_1(RENDER_RING_BASE))
593#define GEN6_VRSYNC (RING_SYNC_1(GEN6_BSD_RING_BASE))
594#define GEN6_VBSYNC (RING_SYNC_0(GEN6_BSD_RING_BASE))
595#define GEN6_BRSYNC (RING_SYNC_0(BLT_RING_BASE))
ad776f8b 596#define GEN6_NOSYNC 0
c8c99b0f 597#define GEN6_BVSYNC (RING_SYNC_1(BLT_RING_BASE))
8fd26859 598#define RING_MAX_IDLE(base) ((base)+0x54)
3d281d8c
DV
599#define RING_HWS_PGA(base) ((base)+0x80)
600#define RING_HWS_PGA_GEN6(base) ((base)+0x2080)
f691e2f4
DV
601#define ARB_MODE 0x04030
602#define ARB_MODE_SWIZZLE_SNB (1<<4)
603#define ARB_MODE_SWIZZLE_IVB (1<<5)
4593010b 604#define RENDER_HWS_PGA_GEN7 (0x04080)
33f3f518
DV
605#define RING_FAULT_REG(ring) (0x4094 + 0x100*(ring)->id)
606#define DONE_REG 0x40b0
4593010b
EA
607#define BSD_HWS_PGA_GEN7 (0x04180)
608#define BLT_HWS_PGA_GEN7 (0x04280)
3d281d8c 609#define RING_ACTHD(base) ((base)+0x74)
1ec14ad3 610#define RING_NOPID(base) ((base)+0x94)
0f46832f 611#define RING_IMR(base) ((base)+0xa8)
c0c7babc 612#define RING_TIMESTAMP(base) ((base)+0x358)
585fb111
JB
613#define TAIL_ADDR 0x001FFFF8
614#define HEAD_WRAP_COUNT 0xFFE00000
615#define HEAD_WRAP_ONE 0x00200000
616#define HEAD_ADDR 0x001FFFFC
617#define RING_NR_PAGES 0x001FF000
618#define RING_REPORT_MASK 0x00000006
619#define RING_REPORT_64K 0x00000002
620#define RING_REPORT_128K 0x00000004
621#define RING_NO_REPORT 0x00000000
622#define RING_VALID_MASK 0x00000001
623#define RING_VALID 0x00000001
624#define RING_INVALID 0x00000000
4b60e5cb
CW
625#define RING_WAIT_I8XX (1<<0) /* gen2, PRBx_HEAD */
626#define RING_WAIT (1<<11) /* gen3+, PRBx_CTL */
1ec14ad3 627#define RING_WAIT_SEMAPHORE (1<<10) /* gen6+ */
8168bd48
CW
628#if 0
629#define PRB0_TAIL 0x02030
630#define PRB0_HEAD 0x02034
631#define PRB0_START 0x02038
632#define PRB0_CTL 0x0203c
585fb111
JB
633#define PRB1_TAIL 0x02040 /* 915+ only */
634#define PRB1_HEAD 0x02044 /* 915+ only */
635#define PRB1_START 0x02048 /* 915+ only */
636#define PRB1_CTL 0x0204c /* 915+ only */
8168bd48 637#endif
63eeaf38
JB
638#define IPEIR_I965 0x02064
639#define IPEHR_I965 0x02068
640#define INSTDONE_I965 0x0206c
d53bd484
BW
641#define GEN7_INSTDONE_1 0x0206c
642#define GEN7_SC_INSTDONE 0x07100
643#define GEN7_SAMPLER_INSTDONE 0x0e160
644#define GEN7_ROW_INSTDONE 0x0e164
645#define I915_NUM_INSTDONE_REG 4
d27b1e0e
DV
646#define RING_IPEIR(base) ((base)+0x64)
647#define RING_IPEHR(base) ((base)+0x68)
648#define RING_INSTDONE(base) ((base)+0x6c)
c1cd90ed
DV
649#define RING_INSTPS(base) ((base)+0x70)
650#define RING_DMA_FADD(base) ((base)+0x78)
651#define RING_INSTPM(base) ((base)+0xc0)
63eeaf38
JB
652#define INSTPS 0x02070 /* 965+ only */
653#define INSTDONE1 0x0207c /* 965+ only */
585fb111
JB
654#define ACTHD_I965 0x02074
655#define HWS_PGA 0x02080
656#define HWS_ADDRESS_MASK 0xfffff000
657#define HWS_START_ADDRESS_SHIFT 4
97f5ab66
JB
658#define PWRCTXA 0x2088 /* 965GM+ only */
659#define PWRCTX_EN (1<<0)
585fb111 660#define IPEIR 0x02088
63eeaf38
JB
661#define IPEHR 0x0208c
662#define INSTDONE 0x02090
585fb111
JB
663#define NOPID 0x02094
664#define HWSTAM 0x02098
9d2f41fa 665#define DMA_FADD_I8XX 0x020d0
71cf39b1 666
f406839f 667#define ERROR_GEN6 0x040a0
71e172e8 668#define GEN7_ERR_INT 0x44040
de032bf4 669#define ERR_INT_POISON (1<<31)
8664281b
PZ
670#define ERR_INT_MMIO_UNCLAIMED (1<<13)
671#define ERR_INT_FIFO_UNDERRUN_C (1<<6)
672#define ERR_INT_FIFO_UNDERRUN_B (1<<3)
673#define ERR_INT_FIFO_UNDERRUN_A (1<<0)
f406839f 674
3f1e109a
PZ
675#define FPGA_DBG 0x42300
676#define FPGA_DBG_RM_NOCLAIM (1<<31)
677
0f3b6849
CW
678#define DERRMR 0x44050
679
de6e2eaf
EA
680/* GM45+ chicken bits -- debug workaround bits that may be required
681 * for various sorts of correct behavior. The top 16 bits of each are
682 * the enables for writing to the corresponding low bit.
683 */
684#define _3D_CHICKEN 0x02084
4283908e 685#define _3D_CHICKEN_HIZ_PLANE_DISABLE_MSAA_4X_SNB (1 << 10)
de6e2eaf
EA
686#define _3D_CHICKEN2 0x0208c
687/* Disables pipelining of read flushes past the SF-WIZ interface.
688 * Required on all Ironlake steppings according to the B-Spec, but the
689 * particular danger of not doing so is not specified.
690 */
691# define _3D_CHICKEN2_WM_READ_PIPELINED (1 << 14)
692#define _3D_CHICKEN3 0x02090
87f8020e 693#define _3D_CHICKEN_SF_DISABLE_OBJEND_CULL (1 << 10)
26b6e44a 694#define _3D_CHICKEN3_SF_DISABLE_FASTCLIP_CULL (1 << 5)
de6e2eaf 695
71cf39b1
EA
696#define MI_MODE 0x0209c
697# define VS_TIMER_DISPATCH (1 << 6)
fc74d8e0 698# define MI_FLUSH_ENABLE (1 << 12)
1c8c38c5 699# define ASYNC_FLIP_PERF_DISABLE (1 << 14)
71cf39b1 700
f8f2ac9a 701#define GEN6_GT_MODE 0x20d0
6547fbdb
DV
702#define GEN6_GT_MODE_HI (1 << 9)
703#define GEN6_TD_FOUR_ROW_DISPATCH_DISABLE (1 << 5)
f8f2ac9a 704
1ec14ad3 705#define GFX_MODE 0x02520
b095cd0a 706#define GFX_MODE_GEN7 0x0229c
5eb719cd 707#define RING_MODE_GEN7(ring) ((ring)->mmio_base+0x29c)
1ec14ad3
CW
708#define GFX_RUN_LIST_ENABLE (1<<15)
709#define GFX_TLB_INVALIDATE_ALWAYS (1<<13)
710#define GFX_SURFACE_FAULT_ENABLE (1<<12)
711#define GFX_REPLAY_MODE (1<<11)
712#define GFX_PSMI_GRANULARITY (1<<10)
713#define GFX_PPGTT_ENABLE (1<<9)
714
a7e806de
DV
715#define VLV_DISPLAY_BASE 0x180000
716
585fb111
JB
717#define SCPD0 0x0209c /* 915+ only */
718#define IER 0x020a0
719#define IIR 0x020a4
720#define IMR 0x020a8
721#define ISR 0x020ac
07ec7ec5 722#define VLV_GUNIT_CLOCK_GATE (VLV_DISPLAY_BASE + 0x2060)
2d809570 723#define GCFG_DIS (1<<8)
ff763010
VS
724#define VLV_IIR_RW (VLV_DISPLAY_BASE + 0x2084)
725#define VLV_IER (VLV_DISPLAY_BASE + 0x20a0)
726#define VLV_IIR (VLV_DISPLAY_BASE + 0x20a4)
727#define VLV_IMR (VLV_DISPLAY_BASE + 0x20a8)
728#define VLV_ISR (VLV_DISPLAY_BASE + 0x20ac)
c9cddffc 729#define VLV_PCBR (VLV_DISPLAY_BASE + 0x2120)
585fb111
JB
730#define I915_PIPE_CONTROL_NOTIFY_INTERRUPT (1<<18)
731#define I915_DISPLAY_PORT_INTERRUPT (1<<17)
732#define I915_RENDER_COMMAND_PARSER_ERROR_INTERRUPT (1<<15)
f97108d1 733#define I915_GMCH_THERMAL_SENSOR_EVENT_INTERRUPT (1<<14) /* p-state */
585fb111
JB
734#define I915_HWB_OOM_INTERRUPT (1<<13)
735#define I915_SYNC_STATUS_INTERRUPT (1<<12)
736#define I915_DISPLAY_PLANE_A_FLIP_PENDING_INTERRUPT (1<<11)
737#define I915_DISPLAY_PLANE_B_FLIP_PENDING_INTERRUPT (1<<10)
738#define I915_OVERLAY_PLANE_FLIP_PENDING_INTERRUPT (1<<9)
739#define I915_DISPLAY_PLANE_C_FLIP_PENDING_INTERRUPT (1<<8)
740#define I915_DISPLAY_PIPE_A_VBLANK_INTERRUPT (1<<7)
741#define I915_DISPLAY_PIPE_A_EVENT_INTERRUPT (1<<6)
742#define I915_DISPLAY_PIPE_B_VBLANK_INTERRUPT (1<<5)
743#define I915_DISPLAY_PIPE_B_EVENT_INTERRUPT (1<<4)
744#define I915_DEBUG_INTERRUPT (1<<2)
745#define I915_USER_INTERRUPT (1<<1)
746#define I915_ASLE_INTERRUPT (1<<0)
d1b851fc 747#define I915_BSD_USER_INTERRUPT (1<<25)
90a72f87 748#define DISPLAY_PLANE_FLIP_PENDING(plane) (1<<(11-(plane))) /* A and B only */
585fb111
JB
749#define EIR 0x020b0
750#define EMR 0x020b4
751#define ESR 0x020b8
63eeaf38
JB
752#define GM45_ERROR_PAGE_TABLE (1<<5)
753#define GM45_ERROR_MEM_PRIV (1<<4)
754#define I915_ERROR_PAGE_TABLE (1<<4)
755#define GM45_ERROR_CP_PRIV (1<<3)
756#define I915_ERROR_MEMORY_REFRESH (1<<1)
757#define I915_ERROR_INSTRUCTION (1<<0)
585fb111 758#define INSTPM 0x020c0
ee980b80 759#define INSTPM_SELF_EN (1<<12) /* 915GM only */
8692d00e
CW
760#define INSTPM_AGPBUSY_DIS (1<<11) /* gen3: when disabled, pending interrupts
761 will not assert AGPBUSY# and will only
762 be delivered when out of C3. */
84f9f938 763#define INSTPM_FORCE_ORDERING (1<<7) /* GEN6+ */
585fb111
JB
764#define ACTHD 0x020c8
765#define FW_BLC 0x020d8
8692d00e 766#define FW_BLC2 0x020dc
585fb111 767#define FW_BLC_SELF 0x020e0 /* 915+ only */
ee980b80
LP
768#define FW_BLC_SELF_EN_MASK (1<<31)
769#define FW_BLC_SELF_FIFO_MASK (1<<16) /* 945 only */
770#define FW_BLC_SELF_EN (1<<15) /* 945 only */
7662c8bd
SL
771#define MM_BURST_LENGTH 0x00700000
772#define MM_FIFO_WATERMARK 0x0001F000
773#define LM_BURST_LENGTH 0x00000700
774#define LM_FIFO_WATERMARK 0x0000001F
585fb111 775#define MI_ARB_STATE 0x020e4 /* 915+ only */
45503ded
KP
776
777/* Make render/texture TLB fetches lower priorty than associated data
778 * fetches. This is not turned on by default
779 */
780#define MI_ARB_RENDER_TLB_LOW_PRIORITY (1 << 15)
781
782/* Isoch request wait on GTT enable (Display A/B/C streams).
783 * Make isoch requests stall on the TLB update. May cause
784 * display underruns (test mode only)
785 */
786#define MI_ARB_ISOCH_WAIT_GTT (1 << 14)
787
788/* Block grant count for isoch requests when block count is
789 * set to a finite value.
790 */
791#define MI_ARB_BLOCK_GRANT_MASK (3 << 12)
792#define MI_ARB_BLOCK_GRANT_8 (0 << 12) /* for 3 display planes */
793#define MI_ARB_BLOCK_GRANT_4 (1 << 12) /* for 2 display planes */
794#define MI_ARB_BLOCK_GRANT_2 (2 << 12) /* for 1 display plane */
795#define MI_ARB_BLOCK_GRANT_0 (3 << 12) /* don't use */
796
797/* Enable render writes to complete in C2/C3/C4 power states.
798 * If this isn't enabled, render writes are prevented in low
799 * power states. That seems bad to me.
800 */
801#define MI_ARB_C3_LP_WRITE_ENABLE (1 << 11)
802
803/* This acknowledges an async flip immediately instead
804 * of waiting for 2TLB fetches.
805 */
806#define MI_ARB_ASYNC_FLIP_ACK_IMMEDIATE (1 << 10)
807
808/* Enables non-sequential data reads through arbiter
809 */
0206e353 810#define MI_ARB_DUAL_DATA_PHASE_DISABLE (1 << 9)
45503ded
KP
811
812/* Disable FSB snooping of cacheable write cycles from binner/render
813 * command stream
814 */
815#define MI_ARB_CACHE_SNOOP_DISABLE (1 << 8)
816
817/* Arbiter time slice for non-isoch streams */
818#define MI_ARB_TIME_SLICE_MASK (7 << 5)
819#define MI_ARB_TIME_SLICE_1 (0 << 5)
820#define MI_ARB_TIME_SLICE_2 (1 << 5)
821#define MI_ARB_TIME_SLICE_4 (2 << 5)
822#define MI_ARB_TIME_SLICE_6 (3 << 5)
823#define MI_ARB_TIME_SLICE_8 (4 << 5)
824#define MI_ARB_TIME_SLICE_10 (5 << 5)
825#define MI_ARB_TIME_SLICE_14 (6 << 5)
826#define MI_ARB_TIME_SLICE_16 (7 << 5)
827
828/* Low priority grace period page size */
829#define MI_ARB_LOW_PRIORITY_GRACE_4KB (0 << 4) /* default */
830#define MI_ARB_LOW_PRIORITY_GRACE_8KB (1 << 4)
831
832/* Disable display A/B trickle feed */
833#define MI_ARB_DISPLAY_TRICKLE_FEED_DISABLE (1 << 2)
834
835/* Set display plane priority */
836#define MI_ARB_DISPLAY_PRIORITY_A_B (0 << 0) /* display A > display B */
837#define MI_ARB_DISPLAY_PRIORITY_B_A (1 << 0) /* display B > display A */
838
585fb111 839#define CACHE_MODE_0 0x02120 /* 915+ only */
4358a374 840#define CM0_PIPELINED_RENDER_FLUSH_DISABLE (1<<8)
585fb111
JB
841#define CM0_IZ_OPT_DISABLE (1<<6)
842#define CM0_ZR_OPT_DISABLE (1<<5)
009be664 843#define CM0_STC_EVICT_DISABLE_LRA_SNB (1<<5)
585fb111
JB
844#define CM0_DEPTH_EVICT_DISABLE (1<<4)
845#define CM0_COLOR_EVICT_DISABLE (1<<3)
846#define CM0_DEPTH_WRITE_DISABLE (1<<1)
847#define CM0_RC_OP_FLUSH_DISABLE (1<<0)
9df30794 848#define BB_ADDR 0x02140 /* 8 bytes */
585fb111 849#define GFX_FLSH_CNTL 0x02170 /* 915+ only */
0f9b91c7
BW
850#define GFX_FLSH_CNTL_GEN6 0x101008
851#define GFX_FLSH_CNTL_EN (1<<0)
1afe3e9d
JB
852#define ECOSKPD 0x021d0
853#define ECO_GATING_CX_ONLY (1<<3)
854#define ECO_FLIP_DONE (1<<0)
585fb111 855
fb046853
JB
856#define CACHE_MODE_1 0x7004 /* IVB+ */
857#define PIXEL_SUBSPAN_COLLECT_OPT_DISABLE (1<<6)
858
e2a1e2f0
BW
859/* GEN6 interrupt control
860 * Note that the per-ring interrupt bits do alias with the global interrupt bits
861 * in GTIMR. */
a1786bd2
ZW
862#define GEN6_RENDER_HWSTAM 0x2098
863#define GEN6_RENDER_IMR 0x20a8
864#define GEN6_RENDER_CONTEXT_SWITCH_INTERRUPT (1 << 8)
865#define GEN6_RENDER_PPGTT_PAGE_FAULT (1 << 7)
7aa69d2e 866#define GEN6_RENDER_TIMEOUT_COUNTER_EXPIRED (1 << 6)
a1786bd2
ZW
867#define GEN6_RENDER_L3_PARITY_ERROR (1 << 5)
868#define GEN6_RENDER_PIPE_CONTROL_NOTIFY_INTERRUPT (1 << 4)
869#define GEN6_RENDER_COMMAND_PARSER_MASTER_ERROR (1 << 3)
870#define GEN6_RENDER_SYNC_STATUS (1 << 2)
871#define GEN6_RENDER_DEBUG_INTERRUPT (1 << 1)
872#define GEN6_RENDER_USER_INTERRUPT (1 << 0)
873
874#define GEN6_BLITTER_HWSTAM 0x22098
875#define GEN6_BLITTER_IMR 0x220a8
876#define GEN6_BLITTER_MI_FLUSH_DW_NOTIFY_INTERRUPT (1 << 26)
877#define GEN6_BLITTER_COMMAND_PARSER_MASTER_ERROR (1 << 25)
878#define GEN6_BLITTER_SYNC_STATUS (1 << 24)
879#define GEN6_BLITTER_USER_INTERRUPT (1 << 22)
881f47b6 880
4efe0708
JB
881#define GEN6_BLITTER_ECOSKPD 0x221d0
882#define GEN6_BLITTER_LOCK_SHIFT 16
883#define GEN6_BLITTER_FBC_NOTIFY (1<<3)
884
881f47b6 885#define GEN6_BSD_SLEEP_PSMI_CONTROL 0x12050
12f55818
CW
886#define GEN6_BSD_SLEEP_MSG_DISABLE (1 << 0)
887#define GEN6_BSD_SLEEP_FLUSH_DISABLE (1 << 2)
888#define GEN6_BSD_SLEEP_INDICATOR (1 << 3)
889#define GEN6_BSD_GO_INDICATOR (1 << 4)
881f47b6 890
ec6a890d 891#define GEN6_BSD_HWSTAM 0x12098
881f47b6 892#define GEN6_BSD_IMR 0x120a8
1ec14ad3 893#define GEN6_BSD_USER_INTERRUPT (1 << 12)
881f47b6
XH
894
895#define GEN6_BSD_RNCID 0x12198
896
a1e969e0
BW
897#define GEN7_FF_THREAD_MODE 0x20a0
898#define GEN7_FF_SCHED_MASK 0x0077070
899#define GEN7_FF_TS_SCHED_HS1 (0x5<<16)
900#define GEN7_FF_TS_SCHED_HS0 (0x3<<16)
901#define GEN7_FF_TS_SCHED_LOAD_BALANCE (0x1<<16)
902#define GEN7_FF_TS_SCHED_HW (0x0<<16) /* Default */
41c0b3a8 903#define GEN7_FF_VS_REF_CNT_FFME (1 << 15)
a1e969e0
BW
904#define GEN7_FF_VS_SCHED_HS1 (0x5<<12)
905#define GEN7_FF_VS_SCHED_HS0 (0x3<<12)
906#define GEN7_FF_VS_SCHED_LOAD_BALANCE (0x1<<12) /* Default */
907#define GEN7_FF_VS_SCHED_HW (0x0<<12)
908#define GEN7_FF_DS_SCHED_HS1 (0x5<<4)
909#define GEN7_FF_DS_SCHED_HS0 (0x3<<4)
910#define GEN7_FF_DS_SCHED_LOAD_BALANCE (0x1<<4) /* Default */
911#define GEN7_FF_DS_SCHED_HW (0x0<<4)
912
585fb111
JB
913/*
914 * Framebuffer compression (915+ only)
915 */
916
917#define FBC_CFB_BASE 0x03200 /* 4k page aligned */
918#define FBC_LL_BASE 0x03204 /* 4k page aligned */
919#define FBC_CONTROL 0x03208
920#define FBC_CTL_EN (1<<31)
921#define FBC_CTL_PERIODIC (1<<30)
922#define FBC_CTL_INTERVAL_SHIFT (16)
923#define FBC_CTL_UNCOMPRESSIBLE (1<<14)
49677901 924#define FBC_CTL_C3_IDLE (1<<13)
585fb111
JB
925#define FBC_CTL_STRIDE_SHIFT (5)
926#define FBC_CTL_FENCENO (1<<0)
927#define FBC_COMMAND 0x0320c
928#define FBC_CMD_COMPRESS (1<<0)
929#define FBC_STATUS 0x03210
930#define FBC_STAT_COMPRESSING (1<<31)
931#define FBC_STAT_COMPRESSED (1<<30)
932#define FBC_STAT_MODIFIED (1<<29)
933#define FBC_STAT_CURRENT_LINE (1<<0)
934#define FBC_CONTROL2 0x03214
935#define FBC_CTL_FENCE_DBL (0<<4)
936#define FBC_CTL_IDLE_IMM (0<<2)
937#define FBC_CTL_IDLE_FULL (1<<2)
938#define FBC_CTL_IDLE_LINE (2<<2)
939#define FBC_CTL_IDLE_DEBUG (3<<2)
940#define FBC_CTL_CPU_FENCE (1<<1)
941#define FBC_CTL_PLANEA (0<<0)
942#define FBC_CTL_PLANEB (1<<0)
943#define FBC_FENCE_OFF 0x0321b
80824003 944#define FBC_TAG 0x03300
585fb111
JB
945
946#define FBC_LL_SIZE (1536)
947
74dff282
JB
948/* Framebuffer compression for GM45+ */
949#define DPFC_CB_BASE 0x3200
950#define DPFC_CONTROL 0x3208
951#define DPFC_CTL_EN (1<<31)
952#define DPFC_CTL_PLANEA (0<<30)
953#define DPFC_CTL_PLANEB (1<<30)
abe959c7 954#define IVB_DPFC_CTL_PLANE_SHIFT (29)
74dff282 955#define DPFC_CTL_FENCE_EN (1<<29)
abe959c7 956#define IVB_DPFC_CTL_FENCE_EN (1<<28)
9ce9d069 957#define DPFC_CTL_PERSISTENT_MODE (1<<25)
74dff282
JB
958#define DPFC_SR_EN (1<<10)
959#define DPFC_CTL_LIMIT_1X (0<<6)
960#define DPFC_CTL_LIMIT_2X (1<<6)
961#define DPFC_CTL_LIMIT_4X (2<<6)
962#define DPFC_RECOMP_CTL 0x320c
963#define DPFC_RECOMP_STALL_EN (1<<27)
964#define DPFC_RECOMP_STALL_WM_SHIFT (16)
965#define DPFC_RECOMP_STALL_WM_MASK (0x07ff0000)
966#define DPFC_RECOMP_TIMER_COUNT_SHIFT (0)
967#define DPFC_RECOMP_TIMER_COUNT_MASK (0x0000003f)
968#define DPFC_STATUS 0x3210
969#define DPFC_INVAL_SEG_SHIFT (16)
970#define DPFC_INVAL_SEG_MASK (0x07ff0000)
971#define DPFC_COMP_SEG_SHIFT (0)
972#define DPFC_COMP_SEG_MASK (0x000003ff)
973#define DPFC_STATUS2 0x3214
974#define DPFC_FENCE_YOFF 0x3218
975#define DPFC_CHICKEN 0x3224
976#define DPFC_HT_MODIFY (1<<31)
977
b52eb4dc
ZY
978/* Framebuffer compression for Ironlake */
979#define ILK_DPFC_CB_BASE 0x43200
980#define ILK_DPFC_CONTROL 0x43208
981/* The bit 28-8 is reserved */
982#define DPFC_RESERVED (0x1FFFFF00)
983#define ILK_DPFC_RECOMP_CTL 0x4320c
984#define ILK_DPFC_STATUS 0x43210
985#define ILK_DPFC_FENCE_YOFF 0x43218
986#define ILK_DPFC_CHICKEN 0x43224
987#define ILK_FBC_RT_BASE 0x2128
988#define ILK_FBC_RT_VALID (1<<0)
abe959c7 989#define SNB_FBC_FRONT_BUFFER (1<<1)
b52eb4dc
ZY
990
991#define ILK_DISPLAY_CHICKEN1 0x42000
992#define ILK_FBCQ_DIS (1<<22)
0206e353 993#define ILK_PABSTRETCH_DIS (1<<21)
1398261a 994
b52eb4dc 995
9c04f015
YL
996/*
997 * Framebuffer compression for Sandybridge
998 *
999 * The following two registers are of type GTTMMADR
1000 */
1001#define SNB_DPFC_CTL_SA 0x100100
1002#define SNB_CPU_FENCE_ENABLE (1<<29)
1003#define DPFC_CPU_FENCE_OFFSET 0x100104
1004
abe959c7
RV
1005/* Framebuffer compression for Ivybridge */
1006#define IVB_FBC_RT_BASE 0x7020
1007
9c04f015 1008
28554164
RV
1009#define _HSW_PIPE_SLICE_CHICKEN_1_A 0x420B0
1010#define _HSW_PIPE_SLICE_CHICKEN_1_B 0x420B4
1011#define HSW_BYPASS_FBC_QUEUE (1<<22)
1012#define HSW_PIPE_SLICE_CHICKEN_1(pipe) _PIPE(pipe, + \
1013 _HSW_PIPE_SLICE_CHICKEN_1_A, + \
1014 _HSW_PIPE_SLICE_CHICKEN_1_B)
1015
d89f2071
RV
1016#define HSW_CLKGATE_DISABLE_PART_1 0x46500
1017#define HSW_DPFC_GATING_DISABLE (1<<23)
1018
585fb111
JB
1019/*
1020 * GPIO regs
1021 */
1022#define GPIOA 0x5010
1023#define GPIOB 0x5014
1024#define GPIOC 0x5018
1025#define GPIOD 0x501c
1026#define GPIOE 0x5020
1027#define GPIOF 0x5024
1028#define GPIOG 0x5028
1029#define GPIOH 0x502c
1030# define GPIO_CLOCK_DIR_MASK (1 << 0)
1031# define GPIO_CLOCK_DIR_IN (0 << 1)
1032# define GPIO_CLOCK_DIR_OUT (1 << 1)
1033# define GPIO_CLOCK_VAL_MASK (1 << 2)
1034# define GPIO_CLOCK_VAL_OUT (1 << 3)
1035# define GPIO_CLOCK_VAL_IN (1 << 4)
1036# define GPIO_CLOCK_PULLUP_DISABLE (1 << 5)
1037# define GPIO_DATA_DIR_MASK (1 << 8)
1038# define GPIO_DATA_DIR_IN (0 << 9)
1039# define GPIO_DATA_DIR_OUT (1 << 9)
1040# define GPIO_DATA_VAL_MASK (1 << 10)
1041# define GPIO_DATA_VAL_OUT (1 << 11)
1042# define GPIO_DATA_VAL_IN (1 << 12)
1043# define GPIO_DATA_PULLUP_DISABLE (1 << 13)
1044
f899fc64
CW
1045#define GMBUS0 0x5100 /* clock/port select */
1046#define GMBUS_RATE_100KHZ (0<<8)
1047#define GMBUS_RATE_50KHZ (1<<8)
1048#define GMBUS_RATE_400KHZ (2<<8) /* reserved on Pineview */
1049#define GMBUS_RATE_1MHZ (3<<8) /* reserved on Pineview */
1050#define GMBUS_HOLD_EXT (1<<7) /* 300ns hold time, rsvd on Pineview */
1051#define GMBUS_PORT_DISABLED 0
1052#define GMBUS_PORT_SSC 1
1053#define GMBUS_PORT_VGADDC 2
1054#define GMBUS_PORT_PANEL 3
1055#define GMBUS_PORT_DPC 4 /* HDMIC */
1056#define GMBUS_PORT_DPB 5 /* SDVO, HDMIB */
e4fd17af
DK
1057#define GMBUS_PORT_DPD 6 /* HDMID */
1058#define GMBUS_PORT_RESERVED 7 /* 7 reserved */
2ed06c93 1059#define GMBUS_NUM_PORTS (GMBUS_PORT_DPD - GMBUS_PORT_SSC + 1)
f899fc64
CW
1060#define GMBUS1 0x5104 /* command/status */
1061#define GMBUS_SW_CLR_INT (1<<31)
1062#define GMBUS_SW_RDY (1<<30)
1063#define GMBUS_ENT (1<<29) /* enable timeout */
1064#define GMBUS_CYCLE_NONE (0<<25)
1065#define GMBUS_CYCLE_WAIT (1<<25)
1066#define GMBUS_CYCLE_INDEX (2<<25)
1067#define GMBUS_CYCLE_STOP (4<<25)
1068#define GMBUS_BYTE_COUNT_SHIFT 16
1069#define GMBUS_SLAVE_INDEX_SHIFT 8
1070#define GMBUS_SLAVE_ADDR_SHIFT 1
1071#define GMBUS_SLAVE_READ (1<<0)
1072#define GMBUS_SLAVE_WRITE (0<<0)
1073#define GMBUS2 0x5108 /* status */
1074#define GMBUS_INUSE (1<<15)
1075#define GMBUS_HW_WAIT_PHASE (1<<14)
1076#define GMBUS_STALL_TIMEOUT (1<<13)
1077#define GMBUS_INT (1<<12)
1078#define GMBUS_HW_RDY (1<<11)
1079#define GMBUS_SATOER (1<<10)
1080#define GMBUS_ACTIVE (1<<9)
1081#define GMBUS3 0x510c /* data buffer bytes 3-0 */
1082#define GMBUS4 0x5110 /* interrupt mask (Pineview+) */
1083#define GMBUS_SLAVE_TIMEOUT_EN (1<<4)
1084#define GMBUS_NAK_EN (1<<3)
1085#define GMBUS_IDLE_EN (1<<2)
1086#define GMBUS_HW_WAIT_EN (1<<1)
1087#define GMBUS_HW_RDY_EN (1<<0)
1088#define GMBUS5 0x5120 /* byte index */
1089#define GMBUS_2BYTE_INDEX_EN (1<<31)
f0217c42 1090
585fb111
JB
1091/*
1092 * Clock control & power management
1093 */
1094
1095#define VGA0 0x6000
1096#define VGA1 0x6004
1097#define VGA_PD 0x6010
1098#define VGA0_PD_P2_DIV_4 (1 << 7)
1099#define VGA0_PD_P1_DIV_2 (1 << 5)
1100#define VGA0_PD_P1_SHIFT 0
1101#define VGA0_PD_P1_MASK (0x1f << 0)
1102#define VGA1_PD_P2_DIV_4 (1 << 15)
1103#define VGA1_PD_P1_DIV_2 (1 << 13)
1104#define VGA1_PD_P1_SHIFT 8
1105#define VGA1_PD_P1_MASK (0x1f << 8)
fc2de409
VS
1106#define _DPLL_A (dev_priv->info->display_mmio_offset + 0x6014)
1107#define _DPLL_B (dev_priv->info->display_mmio_offset + 0x6018)
9db4a9c7 1108#define DPLL(pipe) _PIPE(pipe, _DPLL_A, _DPLL_B)
585fb111
JB
1109#define DPLL_VCO_ENABLE (1 << 31)
1110#define DPLL_DVO_HIGH_SPEED (1 << 30)
25eb05fc 1111#define DPLL_EXT_BUFFER_ENABLE_VLV (1 << 30)
585fb111 1112#define DPLL_SYNCLOCK_ENABLE (1 << 29)
25eb05fc 1113#define DPLL_REFA_CLK_ENABLE_VLV (1 << 29)
585fb111
JB
1114#define DPLL_VGA_MODE_DIS (1 << 28)
1115#define DPLLB_MODE_DAC_SERIAL (1 << 26) /* i915 */
1116#define DPLLB_MODE_LVDS (2 << 26) /* i915 */
1117#define DPLL_MODE_MASK (3 << 26)
1118#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_10 (0 << 24) /* i915 */
1119#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_5 (1 << 24) /* i915 */
1120#define DPLLB_LVDS_P2_CLOCK_DIV_14 (0 << 24) /* i915 */
1121#define DPLLB_LVDS_P2_CLOCK_DIV_7 (1 << 24) /* i915 */
1122#define DPLL_P2_CLOCK_DIV_MASK 0x03000000 /* i915 */
1123#define DPLL_FPA01_P1_POST_DIV_MASK 0x00ff0000 /* i915 */
f2b115e6 1124#define DPLL_FPA01_P1_POST_DIV_MASK_PINEVIEW 0x00ff8000 /* Pineview */
a0c4da24 1125#define DPLL_LOCK_VLV (1<<15)
598fac6b 1126#define DPLL_INTEGRATED_CRI_CLK_VLV (1<<14)
25eb05fc 1127#define DPLL_INTEGRATED_CLOCK_VLV (1<<13)
598fac6b
DV
1128#define DPLL_PORTC_READY_MASK (0xf << 4)
1129#define DPLL_PORTB_READY_MASK (0xf)
585fb111 1130
585fb111
JB
1131#define DPLL_FPA01_P1_POST_DIV_MASK_I830 0x001f0000
1132/*
1133 * The i830 generation, in LVDS mode, defines P1 as the bit number set within
1134 * this field (only one bit may be set).
1135 */
1136#define DPLL_FPA01_P1_POST_DIV_MASK_I830_LVDS 0x003f0000
1137#define DPLL_FPA01_P1_POST_DIV_SHIFT 16
f2b115e6 1138#define DPLL_FPA01_P1_POST_DIV_SHIFT_PINEVIEW 15
585fb111
JB
1139/* i830, required in DVO non-gang */
1140#define PLL_P2_DIVIDE_BY_4 (1 << 23)
1141#define PLL_P1_DIVIDE_BY_TWO (1 << 21) /* i830 */
1142#define PLL_REF_INPUT_DREFCLK (0 << 13)
1143#define PLL_REF_INPUT_TVCLKINA (1 << 13) /* i830 */
1144#define PLL_REF_INPUT_TVCLKINBC (2 << 13) /* SDVO TVCLKIN */
1145#define PLLB_REF_INPUT_SPREADSPECTRUMIN (3 << 13)
1146#define PLL_REF_INPUT_MASK (3 << 13)
1147#define PLL_LOAD_PULSE_PHASE_SHIFT 9
f2b115e6 1148/* Ironlake */
b9055052
ZW
1149# define PLL_REF_SDVO_HDMI_MULTIPLIER_SHIFT 9
1150# define PLL_REF_SDVO_HDMI_MULTIPLIER_MASK (7 << 9)
1151# define PLL_REF_SDVO_HDMI_MULTIPLIER(x) (((x)-1) << 9)
1152# define DPLL_FPA1_P1_POST_DIV_SHIFT 0
1153# define DPLL_FPA1_P1_POST_DIV_MASK 0xff
1154
585fb111
JB
1155/*
1156 * Parallel to Serial Load Pulse phase selection.
1157 * Selects the phase for the 10X DPLL clock for the PCIe
1158 * digital display port. The range is 4 to 13; 10 or more
1159 * is just a flip delay. The default is 6
1160 */
1161#define PLL_LOAD_PULSE_PHASE_MASK (0xf << PLL_LOAD_PULSE_PHASE_SHIFT)
1162#define DISPLAY_RATE_SELECT_FPA1 (1 << 8)
1163/*
1164 * SDVO multiplier for 945G/GM. Not used on 965.
1165 */
1166#define SDVO_MULTIPLIER_MASK 0x000000ff
1167#define SDVO_MULTIPLIER_SHIFT_HIRES 4
1168#define SDVO_MULTIPLIER_SHIFT_VGA 0
fc2de409 1169#define _DPLL_A_MD (dev_priv->info->display_mmio_offset + 0x601c) /* 965+ only */
585fb111
JB
1170/*
1171 * UDI pixel divider, controlling how many pixels are stuffed into a packet.
1172 *
1173 * Value is pixels minus 1. Must be set to 1 pixel for SDVO.
1174 */
1175#define DPLL_MD_UDI_DIVIDER_MASK 0x3f000000
1176#define DPLL_MD_UDI_DIVIDER_SHIFT 24
1177/* UDI pixel divider for VGA, same as DPLL_MD_UDI_DIVIDER_MASK. */
1178#define DPLL_MD_VGA_UDI_DIVIDER_MASK 0x003f0000
1179#define DPLL_MD_VGA_UDI_DIVIDER_SHIFT 16
1180/*
1181 * SDVO/UDI pixel multiplier.
1182 *
1183 * SDVO requires that the bus clock rate be between 1 and 2 Ghz, and the bus
1184 * clock rate is 10 times the DPLL clock. At low resolution/refresh rate
1185 * modes, the bus rate would be below the limits, so SDVO allows for stuffing
1186 * dummy bytes in the datastream at an increased clock rate, with both sides of
1187 * the link knowing how many bytes are fill.
1188 *
1189 * So, for a mode with a dotclock of 65Mhz, we would want to double the clock
1190 * rate to 130Mhz to get a bus rate of 1.30Ghz. The DPLL clock rate would be
1191 * set to 130Mhz, and the SDVO multiplier set to 2x in this register and
1192 * through an SDVO command.
1193 *
1194 * This register field has values of multiplication factor minus 1, with
1195 * a maximum multiplier of 5 for SDVO.
1196 */
1197#define DPLL_MD_UDI_MULTIPLIER_MASK 0x00003f00
1198#define DPLL_MD_UDI_MULTIPLIER_SHIFT 8
1199/*
1200 * SDVO/UDI pixel multiplier for VGA, same as DPLL_MD_UDI_MULTIPLIER_MASK.
1201 * This best be set to the default value (3) or the CRT won't work. No,
1202 * I don't entirely understand what this does...
1203 */
1204#define DPLL_MD_VGA_UDI_MULTIPLIER_MASK 0x0000003f
1205#define DPLL_MD_VGA_UDI_MULTIPLIER_SHIFT 0
fc2de409 1206#define _DPLL_B_MD (dev_priv->info->display_mmio_offset + 0x6020) /* 965+ only */
9db4a9c7 1207#define DPLL_MD(pipe) _PIPE(pipe, _DPLL_A_MD, _DPLL_B_MD)
25eb05fc 1208
9db4a9c7
JB
1209#define _FPA0 0x06040
1210#define _FPA1 0x06044
1211#define _FPB0 0x06048
1212#define _FPB1 0x0604c
1213#define FP0(pipe) _PIPE(pipe, _FPA0, _FPB0)
1214#define FP1(pipe) _PIPE(pipe, _FPA1, _FPB1)
585fb111 1215#define FP_N_DIV_MASK 0x003f0000
f2b115e6 1216#define FP_N_PINEVIEW_DIV_MASK 0x00ff0000
585fb111
JB
1217#define FP_N_DIV_SHIFT 16
1218#define FP_M1_DIV_MASK 0x00003f00
1219#define FP_M1_DIV_SHIFT 8
1220#define FP_M2_DIV_MASK 0x0000003f
f2b115e6 1221#define FP_M2_PINEVIEW_DIV_MASK 0x000000ff
585fb111
JB
1222#define FP_M2_DIV_SHIFT 0
1223#define DPLL_TEST 0x606c
1224#define DPLLB_TEST_SDVO_DIV_1 (0 << 22)
1225#define DPLLB_TEST_SDVO_DIV_2 (1 << 22)
1226#define DPLLB_TEST_SDVO_DIV_4 (2 << 22)
1227#define DPLLB_TEST_SDVO_DIV_MASK (3 << 22)
1228#define DPLLB_TEST_N_BYPASS (1 << 19)
1229#define DPLLB_TEST_M_BYPASS (1 << 18)
1230#define DPLLB_INPUT_BUFFER_ENABLE (1 << 16)
1231#define DPLLA_TEST_N_BYPASS (1 << 3)
1232#define DPLLA_TEST_M_BYPASS (1 << 2)
1233#define DPLLA_INPUT_BUFFER_ENABLE (1 << 0)
1234#define D_STATE 0x6104
dc96e9b8 1235#define DSTATE_GFX_RESET_I830 (1<<6)
652c393a
JB
1236#define DSTATE_PLL_D3_OFF (1<<3)
1237#define DSTATE_GFX_CLOCK_GATING (1<<1)
1238#define DSTATE_DOT_CLOCK_GATING (1<<0)
1239#define DSPCLK_GATE_D 0x6200
1240# define DPUNIT_B_CLOCK_GATE_DISABLE (1 << 30) /* 965 */
1241# define VSUNIT_CLOCK_GATE_DISABLE (1 << 29) /* 965 */
1242# define VRHUNIT_CLOCK_GATE_DISABLE (1 << 28) /* 965 */
1243# define VRDUNIT_CLOCK_GATE_DISABLE (1 << 27) /* 965 */
1244# define AUDUNIT_CLOCK_GATE_DISABLE (1 << 26) /* 965 */
1245# define DPUNIT_A_CLOCK_GATE_DISABLE (1 << 25) /* 965 */
1246# define DPCUNIT_CLOCK_GATE_DISABLE (1 << 24) /* 965 */
1247# define TVRUNIT_CLOCK_GATE_DISABLE (1 << 23) /* 915-945 */
1248# define TVCUNIT_CLOCK_GATE_DISABLE (1 << 22) /* 915-945 */
1249# define TVFUNIT_CLOCK_GATE_DISABLE (1 << 21) /* 915-945 */
1250# define TVEUNIT_CLOCK_GATE_DISABLE (1 << 20) /* 915-945 */
1251# define DVSUNIT_CLOCK_GATE_DISABLE (1 << 19) /* 915-945 */
1252# define DSSUNIT_CLOCK_GATE_DISABLE (1 << 18) /* 915-945 */
1253# define DDBUNIT_CLOCK_GATE_DISABLE (1 << 17) /* 915-945 */
1254# define DPRUNIT_CLOCK_GATE_DISABLE (1 << 16) /* 915-945 */
1255# define DPFUNIT_CLOCK_GATE_DISABLE (1 << 15) /* 915-945 */
1256# define DPBMUNIT_CLOCK_GATE_DISABLE (1 << 14) /* 915-945 */
1257# define DPLSUNIT_CLOCK_GATE_DISABLE (1 << 13) /* 915-945 */
1258# define DPLUNIT_CLOCK_GATE_DISABLE (1 << 12) /* 915-945 */
1259# define DPOUNIT_CLOCK_GATE_DISABLE (1 << 11)
1260# define DPBUNIT_CLOCK_GATE_DISABLE (1 << 10)
1261# define DCUNIT_CLOCK_GATE_DISABLE (1 << 9)
1262# define DPUNIT_CLOCK_GATE_DISABLE (1 << 8)
1263# define VRUNIT_CLOCK_GATE_DISABLE (1 << 7) /* 915+: reserved */
1264# define OVHUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 830-865 */
1265# define DPIOUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 915-945 */
1266# define OVFUNIT_CLOCK_GATE_DISABLE (1 << 5)
1267# define OVBUNIT_CLOCK_GATE_DISABLE (1 << 4)
1268/**
1269 * This bit must be set on the 830 to prevent hangs when turning off the
1270 * overlay scaler.
1271 */
1272# define OVRUNIT_CLOCK_GATE_DISABLE (1 << 3)
1273# define OVCUNIT_CLOCK_GATE_DISABLE (1 << 2)
1274# define OVUUNIT_CLOCK_GATE_DISABLE (1 << 1)
1275# define ZVUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 830 */
1276# define OVLUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 845,865 */
1277
1278#define RENCLK_GATE_D1 0x6204
1279# define BLITTER_CLOCK_GATE_DISABLE (1 << 13) /* 945GM only */
1280# define MPEG_CLOCK_GATE_DISABLE (1 << 12) /* 945GM only */
1281# define PC_FE_CLOCK_GATE_DISABLE (1 << 11)
1282# define PC_BE_CLOCK_GATE_DISABLE (1 << 10)
1283# define WINDOWER_CLOCK_GATE_DISABLE (1 << 9)
1284# define INTERPOLATOR_CLOCK_GATE_DISABLE (1 << 8)
1285# define COLOR_CALCULATOR_CLOCK_GATE_DISABLE (1 << 7)
1286# define MOTION_COMP_CLOCK_GATE_DISABLE (1 << 6)
1287# define MAG_CLOCK_GATE_DISABLE (1 << 5)
1288/** This bit must be unset on 855,865 */
1289# define MECI_CLOCK_GATE_DISABLE (1 << 4)
1290# define DCMP_CLOCK_GATE_DISABLE (1 << 3)
1291# define MEC_CLOCK_GATE_DISABLE (1 << 2)
1292# define MECO_CLOCK_GATE_DISABLE (1 << 1)
1293/** This bit must be set on 855,865. */
1294# define SV_CLOCK_GATE_DISABLE (1 << 0)
1295# define I915_MPEG_CLOCK_GATE_DISABLE (1 << 16)
1296# define I915_VLD_IP_PR_CLOCK_GATE_DISABLE (1 << 15)
1297# define I915_MOTION_COMP_CLOCK_GATE_DISABLE (1 << 14)
1298# define I915_BD_BF_CLOCK_GATE_DISABLE (1 << 13)
1299# define I915_SF_SE_CLOCK_GATE_DISABLE (1 << 12)
1300# define I915_WM_CLOCK_GATE_DISABLE (1 << 11)
1301# define I915_IZ_CLOCK_GATE_DISABLE (1 << 10)
1302# define I915_PI_CLOCK_GATE_DISABLE (1 << 9)
1303# define I915_DI_CLOCK_GATE_DISABLE (1 << 8)
1304# define I915_SH_SV_CLOCK_GATE_DISABLE (1 << 7)
1305# define I915_PL_DG_QC_FT_CLOCK_GATE_DISABLE (1 << 6)
1306# define I915_SC_CLOCK_GATE_DISABLE (1 << 5)
1307# define I915_FL_CLOCK_GATE_DISABLE (1 << 4)
1308# define I915_DM_CLOCK_GATE_DISABLE (1 << 3)
1309# define I915_PS_CLOCK_GATE_DISABLE (1 << 2)
1310# define I915_CC_CLOCK_GATE_DISABLE (1 << 1)
1311# define I915_BY_CLOCK_GATE_DISABLE (1 << 0)
1312
1313# define I965_RCZ_CLOCK_GATE_DISABLE (1 << 30)
1314/** This bit must always be set on 965G/965GM */
1315# define I965_RCC_CLOCK_GATE_DISABLE (1 << 29)
1316# define I965_RCPB_CLOCK_GATE_DISABLE (1 << 28)
1317# define I965_DAP_CLOCK_GATE_DISABLE (1 << 27)
1318# define I965_ROC_CLOCK_GATE_DISABLE (1 << 26)
1319# define I965_GW_CLOCK_GATE_DISABLE (1 << 25)
1320# define I965_TD_CLOCK_GATE_DISABLE (1 << 24)
1321/** This bit must always be set on 965G */
1322# define I965_ISC_CLOCK_GATE_DISABLE (1 << 23)
1323# define I965_IC_CLOCK_GATE_DISABLE (1 << 22)
1324# define I965_EU_CLOCK_GATE_DISABLE (1 << 21)
1325# define I965_IF_CLOCK_GATE_DISABLE (1 << 20)
1326# define I965_TC_CLOCK_GATE_DISABLE (1 << 19)
1327# define I965_SO_CLOCK_GATE_DISABLE (1 << 17)
1328# define I965_FBC_CLOCK_GATE_DISABLE (1 << 16)
1329# define I965_MARI_CLOCK_GATE_DISABLE (1 << 15)
1330# define I965_MASF_CLOCK_GATE_DISABLE (1 << 14)
1331# define I965_MAWB_CLOCK_GATE_DISABLE (1 << 13)
1332# define I965_EM_CLOCK_GATE_DISABLE (1 << 12)
1333# define I965_UC_CLOCK_GATE_DISABLE (1 << 11)
1334# define I965_SI_CLOCK_GATE_DISABLE (1 << 6)
1335# define I965_MT_CLOCK_GATE_DISABLE (1 << 5)
1336# define I965_PL_CLOCK_GATE_DISABLE (1 << 4)
1337# define I965_DG_CLOCK_GATE_DISABLE (1 << 3)
1338# define I965_QC_CLOCK_GATE_DISABLE (1 << 2)
1339# define I965_FT_CLOCK_GATE_DISABLE (1 << 1)
1340# define I965_DM_CLOCK_GATE_DISABLE (1 << 0)
1341
1342#define RENCLK_GATE_D2 0x6208
1343#define VF_UNIT_CLOCK_GATE_DISABLE (1 << 9)
1344#define GS_UNIT_CLOCK_GATE_DISABLE (1 << 7)
1345#define CL_UNIT_CLOCK_GATE_DISABLE (1 << 6)
1346#define RAMCLK_GATE_D 0x6210 /* CRL only */
1347#define DEUC 0x6214 /* CRL only */
585fb111 1348
d88b2270 1349#define FW_BLC_SELF_VLV (VLV_DISPLAY_BASE + 0x6500)
ceb04246
JB
1350#define FW_CSPWRDWNEN (1<<15)
1351
585fb111
JB
1352/*
1353 * Palette regs
1354 */
1355
4b059985
VS
1356#define _PALETTE_A (dev_priv->info->display_mmio_offset + 0xa000)
1357#define _PALETTE_B (dev_priv->info->display_mmio_offset + 0xa800)
9db4a9c7 1358#define PALETTE(pipe) _PIPE(pipe, _PALETTE_A, _PALETTE_B)
585fb111 1359
673a394b
EA
1360/* MCH MMIO space */
1361
1362/*
1363 * MCHBAR mirror.
1364 *
1365 * This mirrors the MCHBAR MMIO space whose location is determined by
1366 * device 0 function 0's pci config register 0x44 or 0x48 and matches it in
1367 * every way. It is not accessible from the CP register read instructions.
1368 *
1369 */
1370#define MCHBAR_MIRROR_BASE 0x10000
1371
1398261a
YL
1372#define MCHBAR_MIRROR_BASE_SNB 0x140000
1373
3ebecd07
CW
1374/* Memory controller frequency in MCHBAR for Haswell (possible SNB+) */
1375#define DCLK 0x5e04
1376
673a394b
EA
1377/** 915-945 and GM965 MCH register controlling DRAM channel access */
1378#define DCC 0x10200
1379#define DCC_ADDRESSING_MODE_SINGLE_CHANNEL (0 << 0)
1380#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_ASYMMETRIC (1 << 0)
1381#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_INTERLEAVED (2 << 0)
1382#define DCC_ADDRESSING_MODE_MASK (3 << 0)
1383#define DCC_CHANNEL_XOR_DISABLE (1 << 10)
a7f014f2 1384#define DCC_CHANNEL_XOR_BIT_17 (1 << 9)
673a394b 1385
95534263
LP
1386/** Pineview MCH register contains DDR3 setting */
1387#define CSHRDDR3CTL 0x101a8
1388#define CSHRDDR3CTL_DDR3 (1 << 2)
1389
673a394b
EA
1390/** 965 MCH register controlling DRAM channel configuration */
1391#define C0DRB3 0x10206
1392#define C1DRB3 0x10606
1393
f691e2f4
DV
1394/** snb MCH registers for reading the DRAM channel configuration */
1395#define MAD_DIMM_C0 (MCHBAR_MIRROR_BASE_SNB + 0x5004)
1396#define MAD_DIMM_C1 (MCHBAR_MIRROR_BASE_SNB + 0x5008)
1397#define MAD_DIMM_C2 (MCHBAR_MIRROR_BASE_SNB + 0x500C)
1398#define MAD_DIMM_ECC_MASK (0x3 << 24)
1399#define MAD_DIMM_ECC_OFF (0x0 << 24)
1400#define MAD_DIMM_ECC_IO_ON_LOGIC_OFF (0x1 << 24)
1401#define MAD_DIMM_ECC_IO_OFF_LOGIC_ON (0x2 << 24)
1402#define MAD_DIMM_ECC_ON (0x3 << 24)
1403#define MAD_DIMM_ENH_INTERLEAVE (0x1 << 22)
1404#define MAD_DIMM_RANK_INTERLEAVE (0x1 << 21)
1405#define MAD_DIMM_B_WIDTH_X16 (0x1 << 20) /* X8 chips if unset */
1406#define MAD_DIMM_A_WIDTH_X16 (0x1 << 19) /* X8 chips if unset */
1407#define MAD_DIMM_B_DUAL_RANK (0x1 << 18)
1408#define MAD_DIMM_A_DUAL_RANK (0x1 << 17)
1409#define MAD_DIMM_A_SELECT (0x1 << 16)
1410/* DIMM sizes are in multiples of 256mb. */
1411#define MAD_DIMM_B_SIZE_SHIFT 8
1412#define MAD_DIMM_B_SIZE_MASK (0xff << MAD_DIMM_B_SIZE_SHIFT)
1413#define MAD_DIMM_A_SIZE_SHIFT 0
1414#define MAD_DIMM_A_SIZE_MASK (0xff << MAD_DIMM_A_SIZE_SHIFT)
1415
1d7aaa0c
DV
1416/** snb MCH registers for priority tuning */
1417#define MCH_SSKPD (MCHBAR_MIRROR_BASE_SNB + 0x5d10)
1418#define MCH_SSKPD_WM0_MASK 0x3f
1419#define MCH_SSKPD_WM0_VAL 0xc
f691e2f4 1420
b11248df
KP
1421/* Clocking configuration register */
1422#define CLKCFG 0x10c00
7662c8bd 1423#define CLKCFG_FSB_400 (5 << 0) /* hrawclk 100 */
b11248df
KP
1424#define CLKCFG_FSB_533 (1 << 0) /* hrawclk 133 */
1425#define CLKCFG_FSB_667 (3 << 0) /* hrawclk 166 */
1426#define CLKCFG_FSB_800 (2 << 0) /* hrawclk 200 */
1427#define CLKCFG_FSB_1067 (6 << 0) /* hrawclk 266 */
1428#define CLKCFG_FSB_1333 (7 << 0) /* hrawclk 333 */
7662c8bd 1429/* Note, below two are guess */
b11248df 1430#define CLKCFG_FSB_1600 (4 << 0) /* hrawclk 400 */
7662c8bd 1431#define CLKCFG_FSB_1600_ALT (0 << 0) /* hrawclk 400 */
b11248df 1432#define CLKCFG_FSB_MASK (7 << 0)
7662c8bd
SL
1433#define CLKCFG_MEM_533 (1 << 4)
1434#define CLKCFG_MEM_667 (2 << 4)
1435#define CLKCFG_MEM_800 (3 << 4)
1436#define CLKCFG_MEM_MASK (7 << 4)
1437
ea056c14
JB
1438#define TSC1 0x11001
1439#define TSE (1<<0)
7648fa99
JB
1440#define TR1 0x11006
1441#define TSFS 0x11020
1442#define TSFS_SLOPE_MASK 0x0000ff00
1443#define TSFS_SLOPE_SHIFT 8
1444#define TSFS_INTR_MASK 0x000000ff
1445
f97108d1
JB
1446#define CRSTANDVID 0x11100
1447#define PXVFREQ_BASE 0x11110 /* P[0-15]VIDFREQ (0x1114c) (Ironlake) */
1448#define PXVFREQ_PX_MASK 0x7f000000
1449#define PXVFREQ_PX_SHIFT 24
1450#define VIDFREQ_BASE 0x11110
1451#define VIDFREQ1 0x11110 /* VIDFREQ1-4 (0x1111c) (Cantiga) */
1452#define VIDFREQ2 0x11114
1453#define VIDFREQ3 0x11118
1454#define VIDFREQ4 0x1111c
1455#define VIDFREQ_P0_MASK 0x1f000000
1456#define VIDFREQ_P0_SHIFT 24
1457#define VIDFREQ_P0_CSCLK_MASK 0x00f00000
1458#define VIDFREQ_P0_CSCLK_SHIFT 20
1459#define VIDFREQ_P0_CRCLK_MASK 0x000f0000
1460#define VIDFREQ_P0_CRCLK_SHIFT 16
1461#define VIDFREQ_P1_MASK 0x00001f00
1462#define VIDFREQ_P1_SHIFT 8
1463#define VIDFREQ_P1_CSCLK_MASK 0x000000f0
1464#define VIDFREQ_P1_CSCLK_SHIFT 4
1465#define VIDFREQ_P1_CRCLK_MASK 0x0000000f
1466#define INTTOEXT_BASE_ILK 0x11300
1467#define INTTOEXT_BASE 0x11120 /* INTTOEXT1-8 (0x1113c) */
1468#define INTTOEXT_MAP3_SHIFT 24
1469#define INTTOEXT_MAP3_MASK (0x1f << INTTOEXT_MAP3_SHIFT)
1470#define INTTOEXT_MAP2_SHIFT 16
1471#define INTTOEXT_MAP2_MASK (0x1f << INTTOEXT_MAP2_SHIFT)
1472#define INTTOEXT_MAP1_SHIFT 8
1473#define INTTOEXT_MAP1_MASK (0x1f << INTTOEXT_MAP1_SHIFT)
1474#define INTTOEXT_MAP0_SHIFT 0
1475#define INTTOEXT_MAP0_MASK (0x1f << INTTOEXT_MAP0_SHIFT)
1476#define MEMSWCTL 0x11170 /* Ironlake only */
1477#define MEMCTL_CMD_MASK 0xe000
1478#define MEMCTL_CMD_SHIFT 13
1479#define MEMCTL_CMD_RCLK_OFF 0
1480#define MEMCTL_CMD_RCLK_ON 1
1481#define MEMCTL_CMD_CHFREQ 2
1482#define MEMCTL_CMD_CHVID 3
1483#define MEMCTL_CMD_VMMOFF 4
1484#define MEMCTL_CMD_VMMON 5
1485#define MEMCTL_CMD_STS (1<<12) /* write 1 triggers command, clears
1486 when command complete */
1487#define MEMCTL_FREQ_MASK 0x0f00 /* jitter, from 0-15 */
1488#define MEMCTL_FREQ_SHIFT 8
1489#define MEMCTL_SFCAVM (1<<7)
1490#define MEMCTL_TGT_VID_MASK 0x007f
1491#define MEMIHYST 0x1117c
1492#define MEMINTREN 0x11180 /* 16 bits */
1493#define MEMINT_RSEXIT_EN (1<<8)
1494#define MEMINT_CX_SUPR_EN (1<<7)
1495#define MEMINT_CONT_BUSY_EN (1<<6)
1496#define MEMINT_AVG_BUSY_EN (1<<5)
1497#define MEMINT_EVAL_CHG_EN (1<<4)
1498#define MEMINT_MON_IDLE_EN (1<<3)
1499#define MEMINT_UP_EVAL_EN (1<<2)
1500#define MEMINT_DOWN_EVAL_EN (1<<1)
1501#define MEMINT_SW_CMD_EN (1<<0)
1502#define MEMINTRSTR 0x11182 /* 16 bits */
1503#define MEM_RSEXIT_MASK 0xc000
1504#define MEM_RSEXIT_SHIFT 14
1505#define MEM_CONT_BUSY_MASK 0x3000
1506#define MEM_CONT_BUSY_SHIFT 12
1507#define MEM_AVG_BUSY_MASK 0x0c00
1508#define MEM_AVG_BUSY_SHIFT 10
1509#define MEM_EVAL_CHG_MASK 0x0300
1510#define MEM_EVAL_BUSY_SHIFT 8
1511#define MEM_MON_IDLE_MASK 0x00c0
1512#define MEM_MON_IDLE_SHIFT 6
1513#define MEM_UP_EVAL_MASK 0x0030
1514#define MEM_UP_EVAL_SHIFT 4
1515#define MEM_DOWN_EVAL_MASK 0x000c
1516#define MEM_DOWN_EVAL_SHIFT 2
1517#define MEM_SW_CMD_MASK 0x0003
1518#define MEM_INT_STEER_GFX 0
1519#define MEM_INT_STEER_CMR 1
1520#define MEM_INT_STEER_SMI 2
1521#define MEM_INT_STEER_SCI 3
1522#define MEMINTRSTS 0x11184
1523#define MEMINT_RSEXIT (1<<7)
1524#define MEMINT_CONT_BUSY (1<<6)
1525#define MEMINT_AVG_BUSY (1<<5)
1526#define MEMINT_EVAL_CHG (1<<4)
1527#define MEMINT_MON_IDLE (1<<3)
1528#define MEMINT_UP_EVAL (1<<2)
1529#define MEMINT_DOWN_EVAL (1<<1)
1530#define MEMINT_SW_CMD (1<<0)
1531#define MEMMODECTL 0x11190
1532#define MEMMODE_BOOST_EN (1<<31)
1533#define MEMMODE_BOOST_FREQ_MASK 0x0f000000 /* jitter for boost, 0-15 */
1534#define MEMMODE_BOOST_FREQ_SHIFT 24
1535#define MEMMODE_IDLE_MODE_MASK 0x00030000
1536#define MEMMODE_IDLE_MODE_SHIFT 16
1537#define MEMMODE_IDLE_MODE_EVAL 0
1538#define MEMMODE_IDLE_MODE_CONT 1
1539#define MEMMODE_HWIDLE_EN (1<<15)
1540#define MEMMODE_SWMODE_EN (1<<14)
1541#define MEMMODE_RCLK_GATE (1<<13)
1542#define MEMMODE_HW_UPDATE (1<<12)
1543#define MEMMODE_FSTART_MASK 0x00000f00 /* starting jitter, 0-15 */
1544#define MEMMODE_FSTART_SHIFT 8
1545#define MEMMODE_FMAX_MASK 0x000000f0 /* max jitter, 0-15 */
1546#define MEMMODE_FMAX_SHIFT 4
1547#define MEMMODE_FMIN_MASK 0x0000000f /* min jitter, 0-15 */
1548#define RCBMAXAVG 0x1119c
1549#define MEMSWCTL2 0x1119e /* Cantiga only */
1550#define SWMEMCMD_RENDER_OFF (0 << 13)
1551#define SWMEMCMD_RENDER_ON (1 << 13)
1552#define SWMEMCMD_SWFREQ (2 << 13)
1553#define SWMEMCMD_TARVID (3 << 13)
1554#define SWMEMCMD_VRM_OFF (4 << 13)
1555#define SWMEMCMD_VRM_ON (5 << 13)
1556#define CMDSTS (1<<12)
1557#define SFCAVM (1<<11)
1558#define SWFREQ_MASK 0x0380 /* P0-7 */
1559#define SWFREQ_SHIFT 7
1560#define TARVID_MASK 0x001f
1561#define MEMSTAT_CTG 0x111a0
1562#define RCBMINAVG 0x111a0
1563#define RCUPEI 0x111b0
1564#define RCDNEI 0x111b4
88271da3
JB
1565#define RSTDBYCTL 0x111b8
1566#define RS1EN (1<<31)
1567#define RS2EN (1<<30)
1568#define RS3EN (1<<29)
1569#define D3RS3EN (1<<28) /* Display D3 imlies RS3 */
1570#define SWPROMORSX (1<<27) /* RSx promotion timers ignored */
1571#define RCWAKERW (1<<26) /* Resetwarn from PCH causes wakeup */
1572#define DPRSLPVREN (1<<25) /* Fast voltage ramp enable */
1573#define GFXTGHYST (1<<24) /* Hysteresis to allow trunk gating */
1574#define RCX_SW_EXIT (1<<23) /* Leave RSx and prevent re-entry */
1575#define RSX_STATUS_MASK (7<<20)
1576#define RSX_STATUS_ON (0<<20)
1577#define RSX_STATUS_RC1 (1<<20)
1578#define RSX_STATUS_RC1E (2<<20)
1579#define RSX_STATUS_RS1 (3<<20)
1580#define RSX_STATUS_RS2 (4<<20) /* aka rc6 */
1581#define RSX_STATUS_RSVD (5<<20) /* deep rc6 unsupported on ilk */
1582#define RSX_STATUS_RS3 (6<<20) /* rs3 unsupported on ilk */
1583#define RSX_STATUS_RSVD2 (7<<20)
1584#define UWRCRSXE (1<<19) /* wake counter limit prevents rsx */
1585#define RSCRP (1<<18) /* rs requests control on rs1/2 reqs */
1586#define JRSC (1<<17) /* rsx coupled to cpu c-state */
1587#define RS2INC0 (1<<16) /* allow rs2 in cpu c0 */
1588#define RS1CONTSAV_MASK (3<<14)
1589#define RS1CONTSAV_NO_RS1 (0<<14) /* rs1 doesn't save/restore context */
1590#define RS1CONTSAV_RSVD (1<<14)
1591#define RS1CONTSAV_SAVE_RS1 (2<<14) /* rs1 saves context */
1592#define RS1CONTSAV_FULL_RS1 (3<<14) /* rs1 saves and restores context */
1593#define NORMSLEXLAT_MASK (3<<12)
1594#define SLOW_RS123 (0<<12)
1595#define SLOW_RS23 (1<<12)
1596#define SLOW_RS3 (2<<12)
1597#define NORMAL_RS123 (3<<12)
1598#define RCMODE_TIMEOUT (1<<11) /* 0 is eval interval method */
1599#define IMPROMOEN (1<<10) /* promo is immediate or delayed until next idle interval (only for timeout method above) */
1600#define RCENTSYNC (1<<9) /* rs coupled to cpu c-state (3/6/7) */
1601#define STATELOCK (1<<7) /* locked to rs_cstate if 0 */
1602#define RS_CSTATE_MASK (3<<4)
1603#define RS_CSTATE_C367_RS1 (0<<4)
1604#define RS_CSTATE_C36_RS1_C7_RS2 (1<<4)
1605#define RS_CSTATE_RSVD (2<<4)
1606#define RS_CSTATE_C367_RS2 (3<<4)
1607#define REDSAVES (1<<3) /* no context save if was idle during rs0 */
1608#define REDRESTORES (1<<2) /* no restore if was idle during rs0 */
f97108d1
JB
1609#define VIDCTL 0x111c0
1610#define VIDSTS 0x111c8
1611#define VIDSTART 0x111cc /* 8 bits */
1612#define MEMSTAT_ILK 0x111f8
1613#define MEMSTAT_VID_MASK 0x7f00
1614#define MEMSTAT_VID_SHIFT 8
1615#define MEMSTAT_PSTATE_MASK 0x00f8
1616#define MEMSTAT_PSTATE_SHIFT 3
1617#define MEMSTAT_MON_ACTV (1<<2)
1618#define MEMSTAT_SRC_CTL_MASK 0x0003
1619#define MEMSTAT_SRC_CTL_CORE 0
1620#define MEMSTAT_SRC_CTL_TRB 1
1621#define MEMSTAT_SRC_CTL_THM 2
1622#define MEMSTAT_SRC_CTL_STDBY 3
1623#define RCPREVBSYTUPAVG 0x113b8
1624#define RCPREVBSYTDNAVG 0x113bc
ea056c14
JB
1625#define PMMISC 0x11214
1626#define MCPPCE_EN (1<<0) /* enable PM_MSG from PCH->MPC */
7648fa99
JB
1627#define SDEW 0x1124c
1628#define CSIEW0 0x11250
1629#define CSIEW1 0x11254
1630#define CSIEW2 0x11258
1631#define PEW 0x1125c
1632#define DEW 0x11270
1633#define MCHAFE 0x112c0
1634#define CSIEC 0x112e0
1635#define DMIEC 0x112e4
1636#define DDREC 0x112e8
1637#define PEG0EC 0x112ec
1638#define PEG1EC 0x112f0
1639#define GFXEC 0x112f4
1640#define RPPREVBSYTUPAVG 0x113b8
1641#define RPPREVBSYTDNAVG 0x113bc
1642#define ECR 0x11600
1643#define ECR_GPFE (1<<31)
1644#define ECR_IMONE (1<<30)
1645#define ECR_CAP_MASK 0x0000001f /* Event range, 0-31 */
1646#define OGW0 0x11608
1647#define OGW1 0x1160c
1648#define EG0 0x11610
1649#define EG1 0x11614
1650#define EG2 0x11618
1651#define EG3 0x1161c
1652#define EG4 0x11620
1653#define EG5 0x11624
1654#define EG6 0x11628
1655#define EG7 0x1162c
1656#define PXW 0x11664
1657#define PXWL 0x11680
1658#define LCFUSE02 0x116c0
1659#define LCFUSE_HIV_MASK 0x000000ff
1660#define CSIPLL0 0x12c10
1661#define DDRMPLL1 0X12c20
7d57382e
EA
1662#define PEG_BAND_GAP_DATA 0x14d68
1663
c4de7b0f
CW
1664#define GEN6_GT_THREAD_STATUS_REG 0x13805c
1665#define GEN6_GT_THREAD_STATUS_CORE_MASK 0x7
1666#define GEN6_GT_THREAD_STATUS_CORE_MASK_HSW (0x7 | (0x07 << 16))
1667
3b8d8d91
JB
1668#define GEN6_GT_PERF_STATUS 0x145948
1669#define GEN6_RP_STATE_LIMITS 0x145994
1670#define GEN6_RP_STATE_CAP 0x145998
1671
aa40d6bb
ZN
1672/*
1673 * Logical Context regs
1674 */
1675#define CCID 0x2180
1676#define CCID_EN (1<<0)
fe1cc68f
BW
1677#define CXT_SIZE 0x21a0
1678#define GEN6_CXT_POWER_SIZE(cxt_reg) ((cxt_reg >> 24) & 0x3f)
1679#define GEN6_CXT_RING_SIZE(cxt_reg) ((cxt_reg >> 18) & 0x3f)
1680#define GEN6_CXT_RENDER_SIZE(cxt_reg) ((cxt_reg >> 12) & 0x3f)
1681#define GEN6_CXT_EXTENDED_SIZE(cxt_reg) ((cxt_reg >> 6) & 0x3f)
1682#define GEN6_CXT_PIPELINE_SIZE(cxt_reg) ((cxt_reg >> 0) & 0x3f)
1683#define GEN6_CXT_TOTAL_SIZE(cxt_reg) (GEN6_CXT_POWER_SIZE(cxt_reg) + \
1684 GEN6_CXT_RING_SIZE(cxt_reg) + \
1685 GEN6_CXT_RENDER_SIZE(cxt_reg) + \
1686 GEN6_CXT_EXTENDED_SIZE(cxt_reg) + \
1687 GEN6_CXT_PIPELINE_SIZE(cxt_reg))
4f91dd6f 1688#define GEN7_CXT_SIZE 0x21a8
6a4ea124
BW
1689#define GEN7_CXT_POWER_SIZE(ctx_reg) ((ctx_reg >> 25) & 0x7f)
1690#define GEN7_CXT_RING_SIZE(ctx_reg) ((ctx_reg >> 22) & 0x7)
4f91dd6f
BW
1691#define GEN7_CXT_RENDER_SIZE(ctx_reg) ((ctx_reg >> 16) & 0x3f)
1692#define GEN7_CXT_EXTENDED_SIZE(ctx_reg) ((ctx_reg >> 9) & 0x7f)
1693#define GEN7_CXT_GT1_SIZE(ctx_reg) ((ctx_reg >> 6) & 0x7)
1694#define GEN7_CXT_VFSTATE_SIZE(ctx_reg) ((ctx_reg >> 0) & 0x3f)
6a4ea124
BW
1695#define GEN7_CXT_TOTAL_SIZE(ctx_reg) (GEN7_CXT_POWER_SIZE(ctx_reg) + \
1696 GEN7_CXT_RING_SIZE(ctx_reg) + \
1697 GEN7_CXT_RENDER_SIZE(ctx_reg) + \
4f91dd6f
BW
1698 GEN7_CXT_EXTENDED_SIZE(ctx_reg) + \
1699 GEN7_CXT_GT1_SIZE(ctx_reg) + \
1700 GEN7_CXT_VFSTATE_SIZE(ctx_reg))
2e4291e0
BW
1701#define HSW_CXT_POWER_SIZE(ctx_reg) ((ctx_reg >> 26) & 0x3f)
1702#define HSW_CXT_RING_SIZE(ctx_reg) ((ctx_reg >> 23) & 0x7)
1703#define HSW_CXT_RENDER_SIZE(ctx_reg) ((ctx_reg >> 15) & 0xff)
1704#define HSW_CXT_TOTAL_SIZE(ctx_reg) (HSW_CXT_POWER_SIZE(ctx_reg) + \
1705 HSW_CXT_RING_SIZE(ctx_reg) + \
1706 HSW_CXT_RENDER_SIZE(ctx_reg) + \
1707 GEN7_CXT_VFSTATE_SIZE(ctx_reg))
1708
fe1cc68f 1709
585fb111
JB
1710/*
1711 * Overlay regs
1712 */
1713
1714#define OVADD 0x30000
1715#define DOVSTA 0x30008
1716#define OC_BUF (0x3<<20)
1717#define OGAMC5 0x30010
1718#define OGAMC4 0x30014
1719#define OGAMC3 0x30018
1720#define OGAMC2 0x3001c
1721#define OGAMC1 0x30020
1722#define OGAMC0 0x30024
1723
1724/*
1725 * Display engine regs
1726 */
1727
1728/* Pipe A timing regs */
4e8e7eb7
VS
1729#define _HTOTAL_A (dev_priv->info->display_mmio_offset + 0x60000)
1730#define _HBLANK_A (dev_priv->info->display_mmio_offset + 0x60004)
1731#define _HSYNC_A (dev_priv->info->display_mmio_offset + 0x60008)
1732#define _VTOTAL_A (dev_priv->info->display_mmio_offset + 0x6000c)
1733#define _VBLANK_A (dev_priv->info->display_mmio_offset + 0x60010)
1734#define _VSYNC_A (dev_priv->info->display_mmio_offset + 0x60014)
1735#define _PIPEASRC (dev_priv->info->display_mmio_offset + 0x6001c)
1736#define _BCLRPAT_A (dev_priv->info->display_mmio_offset + 0x60020)
1737#define _VSYNCSHIFT_A (dev_priv->info->display_mmio_offset + 0x60028)
585fb111
JB
1738
1739/* Pipe B timing regs */
4e8e7eb7
VS
1740#define _HTOTAL_B (dev_priv->info->display_mmio_offset + 0x61000)
1741#define _HBLANK_B (dev_priv->info->display_mmio_offset + 0x61004)
1742#define _HSYNC_B (dev_priv->info->display_mmio_offset + 0x61008)
1743#define _VTOTAL_B (dev_priv->info->display_mmio_offset + 0x6100c)
1744#define _VBLANK_B (dev_priv->info->display_mmio_offset + 0x61010)
1745#define _VSYNC_B (dev_priv->info->display_mmio_offset + 0x61014)
1746#define _PIPEBSRC (dev_priv->info->display_mmio_offset + 0x6101c)
1747#define _BCLRPAT_B (dev_priv->info->display_mmio_offset + 0x61020)
1748#define _VSYNCSHIFT_B (dev_priv->info->display_mmio_offset + 0x61028)
0529a0d9 1749
9db4a9c7 1750
fe2b8f9d
PZ
1751#define HTOTAL(trans) _TRANSCODER(trans, _HTOTAL_A, _HTOTAL_B)
1752#define HBLANK(trans) _TRANSCODER(trans, _HBLANK_A, _HBLANK_B)
1753#define HSYNC(trans) _TRANSCODER(trans, _HSYNC_A, _HSYNC_B)
1754#define VTOTAL(trans) _TRANSCODER(trans, _VTOTAL_A, _VTOTAL_B)
1755#define VBLANK(trans) _TRANSCODER(trans, _VBLANK_A, _VBLANK_B)
1756#define VSYNC(trans) _TRANSCODER(trans, _VSYNC_A, _VSYNC_B)
9db4a9c7 1757#define BCLRPAT(pipe) _PIPE(pipe, _BCLRPAT_A, _BCLRPAT_B)
fe2b8f9d 1758#define VSYNCSHIFT(trans) _TRANSCODER(trans, _VSYNCSHIFT_A, _VSYNCSHIFT_B)
5eddb70b 1759
585fb111
JB
1760/* VGA port control */
1761#define ADPA 0x61100
ebc0fd88 1762#define PCH_ADPA 0xe1100
540a8950 1763#define VLV_ADPA (VLV_DISPLAY_BASE + ADPA)
ebc0fd88 1764
585fb111
JB
1765#define ADPA_DAC_ENABLE (1<<31)
1766#define ADPA_DAC_DISABLE 0
1767#define ADPA_PIPE_SELECT_MASK (1<<30)
1768#define ADPA_PIPE_A_SELECT 0
1769#define ADPA_PIPE_B_SELECT (1<<30)
1519b995 1770#define ADPA_PIPE_SELECT(pipe) ((pipe) << 30)
ebc0fd88
DV
1771/* CPT uses bits 29:30 for pch transcoder select */
1772#define ADPA_CRT_HOTPLUG_MASK 0x03ff0000 /* bit 25-16 */
1773#define ADPA_CRT_HOTPLUG_MONITOR_NONE (0<<24)
1774#define ADPA_CRT_HOTPLUG_MONITOR_MASK (3<<24)
1775#define ADPA_CRT_HOTPLUG_MONITOR_COLOR (3<<24)
1776#define ADPA_CRT_HOTPLUG_MONITOR_MONO (2<<24)
1777#define ADPA_CRT_HOTPLUG_ENABLE (1<<23)
1778#define ADPA_CRT_HOTPLUG_PERIOD_64 (0<<22)
1779#define ADPA_CRT_HOTPLUG_PERIOD_128 (1<<22)
1780#define ADPA_CRT_HOTPLUG_WARMUP_5MS (0<<21)
1781#define ADPA_CRT_HOTPLUG_WARMUP_10MS (1<<21)
1782#define ADPA_CRT_HOTPLUG_SAMPLE_2S (0<<20)
1783#define ADPA_CRT_HOTPLUG_SAMPLE_4S (1<<20)
1784#define ADPA_CRT_HOTPLUG_VOLTAGE_40 (0<<18)
1785#define ADPA_CRT_HOTPLUG_VOLTAGE_50 (1<<18)
1786#define ADPA_CRT_HOTPLUG_VOLTAGE_60 (2<<18)
1787#define ADPA_CRT_HOTPLUG_VOLTAGE_70 (3<<18)
1788#define ADPA_CRT_HOTPLUG_VOLREF_325MV (0<<17)
1789#define ADPA_CRT_HOTPLUG_VOLREF_475MV (1<<17)
1790#define ADPA_CRT_HOTPLUG_FORCE_TRIGGER (1<<16)
585fb111
JB
1791#define ADPA_USE_VGA_HVPOLARITY (1<<15)
1792#define ADPA_SETS_HVPOLARITY 0
60222c0c 1793#define ADPA_VSYNC_CNTL_DISABLE (1<<10)
585fb111 1794#define ADPA_VSYNC_CNTL_ENABLE 0
60222c0c 1795#define ADPA_HSYNC_CNTL_DISABLE (1<<11)
585fb111
JB
1796#define ADPA_HSYNC_CNTL_ENABLE 0
1797#define ADPA_VSYNC_ACTIVE_HIGH (1<<4)
1798#define ADPA_VSYNC_ACTIVE_LOW 0
1799#define ADPA_HSYNC_ACTIVE_HIGH (1<<3)
1800#define ADPA_HSYNC_ACTIVE_LOW 0
1801#define ADPA_DPMS_MASK (~(3<<10))
1802#define ADPA_DPMS_ON (0<<10)
1803#define ADPA_DPMS_SUSPEND (1<<10)
1804#define ADPA_DPMS_STANDBY (2<<10)
1805#define ADPA_DPMS_OFF (3<<10)
1806
939fe4d7 1807
585fb111 1808/* Hotplug control (945+ only) */
67d62c57 1809#define PORT_HOTPLUG_EN (dev_priv->info->display_mmio_offset + 0x61110)
26739f12
DV
1810#define PORTB_HOTPLUG_INT_EN (1 << 29)
1811#define PORTC_HOTPLUG_INT_EN (1 << 28)
1812#define PORTD_HOTPLUG_INT_EN (1 << 27)
585fb111
JB
1813#define SDVOB_HOTPLUG_INT_EN (1 << 26)
1814#define SDVOC_HOTPLUG_INT_EN (1 << 25)
1815#define TV_HOTPLUG_INT_EN (1 << 18)
1816#define CRT_HOTPLUG_INT_EN (1 << 9)
e5868a31
EE
1817#define HOTPLUG_INT_EN_MASK (PORTB_HOTPLUG_INT_EN | \
1818 PORTC_HOTPLUG_INT_EN | \
1819 PORTD_HOTPLUG_INT_EN | \
1820 SDVOC_HOTPLUG_INT_EN | \
1821 SDVOB_HOTPLUG_INT_EN | \
1822 CRT_HOTPLUG_INT_EN)
585fb111 1823#define CRT_HOTPLUG_FORCE_DETECT (1 << 3)
771cb081
ZY
1824#define CRT_HOTPLUG_ACTIVATION_PERIOD_32 (0 << 8)
1825/* must use period 64 on GM45 according to docs */
1826#define CRT_HOTPLUG_ACTIVATION_PERIOD_64 (1 << 8)
1827#define CRT_HOTPLUG_DAC_ON_TIME_2M (0 << 7)
1828#define CRT_HOTPLUG_DAC_ON_TIME_4M (1 << 7)
1829#define CRT_HOTPLUG_VOLTAGE_COMPARE_40 (0 << 5)
1830#define CRT_HOTPLUG_VOLTAGE_COMPARE_50 (1 << 5)
1831#define CRT_HOTPLUG_VOLTAGE_COMPARE_60 (2 << 5)
1832#define CRT_HOTPLUG_VOLTAGE_COMPARE_70 (3 << 5)
1833#define CRT_HOTPLUG_VOLTAGE_COMPARE_MASK (3 << 5)
1834#define CRT_HOTPLUG_DETECT_DELAY_1G (0 << 4)
1835#define CRT_HOTPLUG_DETECT_DELAY_2G (1 << 4)
1836#define CRT_HOTPLUG_DETECT_VOLTAGE_325MV (0 << 2)
1837#define CRT_HOTPLUG_DETECT_VOLTAGE_475MV (1 << 2)
585fb111 1838
67d62c57 1839#define PORT_HOTPLUG_STAT (dev_priv->info->display_mmio_offset + 0x61114)
10f76a38 1840/* HDMI/DP bits are gen4+ */
26739f12
DV
1841#define PORTB_HOTPLUG_LIVE_STATUS (1 << 29)
1842#define PORTC_HOTPLUG_LIVE_STATUS (1 << 28)
1843#define PORTD_HOTPLUG_LIVE_STATUS (1 << 27)
1844#define PORTD_HOTPLUG_INT_STATUS (3 << 21)
1845#define PORTC_HOTPLUG_INT_STATUS (3 << 19)
1846#define PORTB_HOTPLUG_INT_STATUS (3 << 17)
084b612e 1847/* CRT/TV common between gen3+ */
585fb111
JB
1848#define CRT_HOTPLUG_INT_STATUS (1 << 11)
1849#define TV_HOTPLUG_INT_STATUS (1 << 10)
1850#define CRT_HOTPLUG_MONITOR_MASK (3 << 8)
1851#define CRT_HOTPLUG_MONITOR_COLOR (3 << 8)
1852#define CRT_HOTPLUG_MONITOR_MONO (2 << 8)
1853#define CRT_HOTPLUG_MONITOR_NONE (0 << 8)
084b612e
CW
1854/* SDVO is different across gen3/4 */
1855#define SDVOC_HOTPLUG_INT_STATUS_G4X (1 << 3)
1856#define SDVOB_HOTPLUG_INT_STATUS_G4X (1 << 2)
1857#define SDVOC_HOTPLUG_INT_STATUS_I965 (3 << 4)
1858#define SDVOB_HOTPLUG_INT_STATUS_I965 (3 << 2)
1859#define SDVOC_HOTPLUG_INT_STATUS_I915 (1 << 7)
1860#define SDVOB_HOTPLUG_INT_STATUS_I915 (1 << 6)
e5868a31
EE
1861#define HOTPLUG_INT_STATUS_G4X (CRT_HOTPLUG_INT_STATUS | \
1862 SDVOB_HOTPLUG_INT_STATUS_G4X | \
1863 SDVOC_HOTPLUG_INT_STATUS_G4X | \
1864 PORTB_HOTPLUG_INT_STATUS | \
1865 PORTC_HOTPLUG_INT_STATUS | \
1866 PORTD_HOTPLUG_INT_STATUS)
1867
1868#define HOTPLUG_INT_STATUS_I965 (CRT_HOTPLUG_INT_STATUS | \
1869 SDVOB_HOTPLUG_INT_STATUS_I965 | \
1870 SDVOC_HOTPLUG_INT_STATUS_I965 | \
1871 PORTB_HOTPLUG_INT_STATUS | \
1872 PORTC_HOTPLUG_INT_STATUS | \
1873 PORTD_HOTPLUG_INT_STATUS)
1874
1875#define HOTPLUG_INT_STATUS_I915 (CRT_HOTPLUG_INT_STATUS | \
1876 SDVOB_HOTPLUG_INT_STATUS_I915 | \
1877 SDVOC_HOTPLUG_INT_STATUS_I915 | \
1878 PORTB_HOTPLUG_INT_STATUS | \
1879 PORTC_HOTPLUG_INT_STATUS | \
1880 PORTD_HOTPLUG_INT_STATUS)
585fb111 1881
c20cd312
PZ
1882/* SDVO and HDMI port control.
1883 * The same register may be used for SDVO or HDMI */
1884#define GEN3_SDVOB 0x61140
1885#define GEN3_SDVOC 0x61160
1886#define GEN4_HDMIB GEN3_SDVOB
1887#define GEN4_HDMIC GEN3_SDVOC
1888#define PCH_SDVOB 0xe1140
1889#define PCH_HDMIB PCH_SDVOB
1890#define PCH_HDMIC 0xe1150
1891#define PCH_HDMID 0xe1160
1892
1893/* Gen 3 SDVO bits: */
1894#define SDVO_ENABLE (1 << 31)
dc0fa718
PZ
1895#define SDVO_PIPE_SEL(pipe) ((pipe) << 30)
1896#define SDVO_PIPE_SEL_MASK (1 << 30)
c20cd312
PZ
1897#define SDVO_PIPE_B_SELECT (1 << 30)
1898#define SDVO_STALL_SELECT (1 << 29)
1899#define SDVO_INTERRUPT_ENABLE (1 << 26)
585fb111
JB
1900/**
1901 * 915G/GM SDVO pixel multiplier.
585fb111 1902 * Programmed value is multiplier - 1, up to 5x.
585fb111
JB
1903 * \sa DPLL_MD_UDI_MULTIPLIER_MASK
1904 */
c20cd312 1905#define SDVO_PORT_MULTIPLY_MASK (7 << 23)
585fb111 1906#define SDVO_PORT_MULTIPLY_SHIFT 23
c20cd312
PZ
1907#define SDVO_PHASE_SELECT_MASK (15 << 19)
1908#define SDVO_PHASE_SELECT_DEFAULT (6 << 19)
1909#define SDVO_CLOCK_OUTPUT_INVERT (1 << 18)
1910#define SDVOC_GANG_MODE (1 << 16) /* Port C only */
1911#define SDVO_BORDER_ENABLE (1 << 7) /* SDVO only */
1912#define SDVOB_PCIE_CONCURRENCY (1 << 3) /* Port B only */
1913#define SDVO_DETECTED (1 << 2)
585fb111 1914/* Bits to be preserved when writing */
c20cd312
PZ
1915#define SDVOB_PRESERVE_MASK ((1 << 17) | (1 << 16) | (1 << 14) | \
1916 SDVO_INTERRUPT_ENABLE)
1917#define SDVOC_PRESERVE_MASK ((1 << 17) | SDVO_INTERRUPT_ENABLE)
1918
1919/* Gen 4 SDVO/HDMI bits: */
4f3a8bc7 1920#define SDVO_COLOR_FORMAT_8bpc (0 << 26)
c20cd312
PZ
1921#define SDVO_ENCODING_SDVO (0 << 10)
1922#define SDVO_ENCODING_HDMI (2 << 10)
dc0fa718
PZ
1923#define HDMI_MODE_SELECT_HDMI (1 << 9) /* HDMI only */
1924#define HDMI_MODE_SELECT_DVI (0 << 9) /* HDMI only */
4f3a8bc7 1925#define HDMI_COLOR_RANGE_16_235 (1 << 8) /* HDMI only */
c20cd312
PZ
1926#define SDVO_AUDIO_ENABLE (1 << 6)
1927/* VSYNC/HSYNC bits new with 965, default is to be set */
1928#define SDVO_VSYNC_ACTIVE_HIGH (1 << 4)
1929#define SDVO_HSYNC_ACTIVE_HIGH (1 << 3)
1930
1931/* Gen 5 (IBX) SDVO/HDMI bits: */
4f3a8bc7 1932#define HDMI_COLOR_FORMAT_12bpc (3 << 26) /* HDMI only */
c20cd312
PZ
1933#define SDVOB_HOTPLUG_ENABLE (1 << 23) /* SDVO only */
1934
1935/* Gen 6 (CPT) SDVO/HDMI bits: */
dc0fa718
PZ
1936#define SDVO_PIPE_SEL_CPT(pipe) ((pipe) << 29)
1937#define SDVO_PIPE_SEL_MASK_CPT (3 << 29)
c20cd312 1938
585fb111
JB
1939
1940/* DVO port control */
1941#define DVOA 0x61120
1942#define DVOB 0x61140
1943#define DVOC 0x61160
1944#define DVO_ENABLE (1 << 31)
1945#define DVO_PIPE_B_SELECT (1 << 30)
1946#define DVO_PIPE_STALL_UNUSED (0 << 28)
1947#define DVO_PIPE_STALL (1 << 28)
1948#define DVO_PIPE_STALL_TV (2 << 28)
1949#define DVO_PIPE_STALL_MASK (3 << 28)
1950#define DVO_USE_VGA_SYNC (1 << 15)
1951#define DVO_DATA_ORDER_I740 (0 << 14)
1952#define DVO_DATA_ORDER_FP (1 << 14)
1953#define DVO_VSYNC_DISABLE (1 << 11)
1954#define DVO_HSYNC_DISABLE (1 << 10)
1955#define DVO_VSYNC_TRISTATE (1 << 9)
1956#define DVO_HSYNC_TRISTATE (1 << 8)
1957#define DVO_BORDER_ENABLE (1 << 7)
1958#define DVO_DATA_ORDER_GBRG (1 << 6)
1959#define DVO_DATA_ORDER_RGGB (0 << 6)
1960#define DVO_DATA_ORDER_GBRG_ERRATA (0 << 6)
1961#define DVO_DATA_ORDER_RGGB_ERRATA (1 << 6)
1962#define DVO_VSYNC_ACTIVE_HIGH (1 << 4)
1963#define DVO_HSYNC_ACTIVE_HIGH (1 << 3)
1964#define DVO_BLANK_ACTIVE_HIGH (1 << 2)
1965#define DVO_OUTPUT_CSTATE_PIXELS (1 << 1) /* SDG only */
1966#define DVO_OUTPUT_SOURCE_SIZE_PIXELS (1 << 0) /* SDG only */
1967#define DVO_PRESERVE_MASK (0x7<<24)
1968#define DVOA_SRCDIM 0x61124
1969#define DVOB_SRCDIM 0x61144
1970#define DVOC_SRCDIM 0x61164
1971#define DVO_SRCDIM_HORIZONTAL_SHIFT 12
1972#define DVO_SRCDIM_VERTICAL_SHIFT 0
1973
1974/* LVDS port control */
1975#define LVDS 0x61180
1976/*
1977 * Enables the LVDS port. This bit must be set before DPLLs are enabled, as
1978 * the DPLL semantics change when the LVDS is assigned to that pipe.
1979 */
1980#define LVDS_PORT_EN (1 << 31)
1981/* Selects pipe B for LVDS data. Must be set on pre-965. */
1982#define LVDS_PIPEB_SELECT (1 << 30)
47a05eca 1983#define LVDS_PIPE_MASK (1 << 30)
1519b995 1984#define LVDS_PIPE(pipe) ((pipe) << 30)
898822ce
ZY
1985/* LVDS dithering flag on 965/g4x platform */
1986#define LVDS_ENABLE_DITHER (1 << 25)
aa9b500d
BF
1987/* LVDS sync polarity flags. Set to invert (i.e. negative) */
1988#define LVDS_VSYNC_POLARITY (1 << 21)
1989#define LVDS_HSYNC_POLARITY (1 << 20)
1990
a3e17eb8
ZY
1991/* Enable border for unscaled (or aspect-scaled) display */
1992#define LVDS_BORDER_ENABLE (1 << 15)
585fb111
JB
1993/*
1994 * Enables the A0-A2 data pairs and CLKA, containing 18 bits of color data per
1995 * pixel.
1996 */
1997#define LVDS_A0A2_CLKA_POWER_MASK (3 << 8)
1998#define LVDS_A0A2_CLKA_POWER_DOWN (0 << 8)
1999#define LVDS_A0A2_CLKA_POWER_UP (3 << 8)
2000/*
2001 * Controls the A3 data pair, which contains the additional LSBs for 24 bit
2002 * mode. Only enabled if LVDS_A0A2_CLKA_POWER_UP also indicates it should be
2003 * on.
2004 */
2005#define LVDS_A3_POWER_MASK (3 << 6)
2006#define LVDS_A3_POWER_DOWN (0 << 6)
2007#define LVDS_A3_POWER_UP (3 << 6)
2008/*
2009 * Controls the CLKB pair. This should only be set when LVDS_B0B3_POWER_UP
2010 * is set.
2011 */
2012#define LVDS_CLKB_POWER_MASK (3 << 4)
2013#define LVDS_CLKB_POWER_DOWN (0 << 4)
2014#define LVDS_CLKB_POWER_UP (3 << 4)
2015/*
2016 * Controls the B0-B3 data pairs. This must be set to match the DPLL p2
2017 * setting for whether we are in dual-channel mode. The B3 pair will
2018 * additionally only be powered up when LVDS_A3_POWER_UP is set.
2019 */
2020#define LVDS_B0B3_POWER_MASK (3 << 2)
2021#define LVDS_B0B3_POWER_DOWN (0 << 2)
2022#define LVDS_B0B3_POWER_UP (3 << 2)
2023
3c17fe4b
DH
2024/* Video Data Island Packet control */
2025#define VIDEO_DIP_DATA 0x61178
adf00b26
PZ
2026/* Read the description of VIDEO_DIP_DATA (before Haswel) or VIDEO_DIP_ECC
2027 * (Haswell and newer) to see which VIDEO_DIP_DATA byte corresponds to each byte
2028 * of the infoframe structure specified by CEA-861. */
2029#define VIDEO_DIP_DATA_SIZE 32
3c17fe4b 2030#define VIDEO_DIP_CTL 0x61170
2da8af54 2031/* Pre HSW: */
3c17fe4b
DH
2032#define VIDEO_DIP_ENABLE (1 << 31)
2033#define VIDEO_DIP_PORT_B (1 << 29)
2034#define VIDEO_DIP_PORT_C (2 << 29)
4e89ee17 2035#define VIDEO_DIP_PORT_D (3 << 29)
3e6e6395 2036#define VIDEO_DIP_PORT_MASK (3 << 29)
0dd87d20 2037#define VIDEO_DIP_ENABLE_GCP (1 << 25)
3c17fe4b
DH
2038#define VIDEO_DIP_ENABLE_AVI (1 << 21)
2039#define VIDEO_DIP_ENABLE_VENDOR (2 << 21)
0dd87d20 2040#define VIDEO_DIP_ENABLE_GAMUT (4 << 21)
3c17fe4b
DH
2041#define VIDEO_DIP_ENABLE_SPD (8 << 21)
2042#define VIDEO_DIP_SELECT_AVI (0 << 19)
2043#define VIDEO_DIP_SELECT_VENDOR (1 << 19)
2044#define VIDEO_DIP_SELECT_SPD (3 << 19)
45187ace 2045#define VIDEO_DIP_SELECT_MASK (3 << 19)
3c17fe4b
DH
2046#define VIDEO_DIP_FREQ_ONCE (0 << 16)
2047#define VIDEO_DIP_FREQ_VSYNC (1 << 16)
2048#define VIDEO_DIP_FREQ_2VSYNC (2 << 16)
60c5ea2d 2049#define VIDEO_DIP_FREQ_MASK (3 << 16)
2da8af54 2050/* HSW and later: */
0dd87d20
PZ
2051#define VIDEO_DIP_ENABLE_VSC_HSW (1 << 20)
2052#define VIDEO_DIP_ENABLE_GCP_HSW (1 << 16)
2da8af54 2053#define VIDEO_DIP_ENABLE_AVI_HSW (1 << 12)
0dd87d20
PZ
2054#define VIDEO_DIP_ENABLE_VS_HSW (1 << 8)
2055#define VIDEO_DIP_ENABLE_GMP_HSW (1 << 4)
2da8af54 2056#define VIDEO_DIP_ENABLE_SPD_HSW (1 << 0)
3c17fe4b 2057
585fb111
JB
2058/* Panel power sequencing */
2059#define PP_STATUS 0x61200
2060#define PP_ON (1 << 31)
2061/*
2062 * Indicates that all dependencies of the panel are on:
2063 *
2064 * - PLL enabled
2065 * - pipe enabled
2066 * - LVDS/DVOB/DVOC on
2067 */
2068#define PP_READY (1 << 30)
2069#define PP_SEQUENCE_NONE (0 << 28)
99ea7127
KP
2070#define PP_SEQUENCE_POWER_UP (1 << 28)
2071#define PP_SEQUENCE_POWER_DOWN (2 << 28)
2072#define PP_SEQUENCE_MASK (3 << 28)
2073#define PP_SEQUENCE_SHIFT 28
01cb9ea6 2074#define PP_CYCLE_DELAY_ACTIVE (1 << 27)
01cb9ea6 2075#define PP_SEQUENCE_STATE_MASK 0x0000000f
99ea7127
KP
2076#define PP_SEQUENCE_STATE_OFF_IDLE (0x0 << 0)
2077#define PP_SEQUENCE_STATE_OFF_S0_1 (0x1 << 0)
2078#define PP_SEQUENCE_STATE_OFF_S0_2 (0x2 << 0)
2079#define PP_SEQUENCE_STATE_OFF_S0_3 (0x3 << 0)
2080#define PP_SEQUENCE_STATE_ON_IDLE (0x8 << 0)
2081#define PP_SEQUENCE_STATE_ON_S1_0 (0x9 << 0)
2082#define PP_SEQUENCE_STATE_ON_S1_2 (0xa << 0)
2083#define PP_SEQUENCE_STATE_ON_S1_3 (0xb << 0)
2084#define PP_SEQUENCE_STATE_RESET (0xf << 0)
585fb111
JB
2085#define PP_CONTROL 0x61204
2086#define POWER_TARGET_ON (1 << 0)
2087#define PP_ON_DELAYS 0x61208
2088#define PP_OFF_DELAYS 0x6120c
2089#define PP_DIVISOR 0x61210
2090
2091/* Panel fitting */
7e470abf 2092#define PFIT_CONTROL (dev_priv->info->display_mmio_offset + 0x61230)
585fb111
JB
2093#define PFIT_ENABLE (1 << 31)
2094#define PFIT_PIPE_MASK (3 << 29)
2095#define PFIT_PIPE_SHIFT 29
2096#define VERT_INTERP_DISABLE (0 << 10)
2097#define VERT_INTERP_BILINEAR (1 << 10)
2098#define VERT_INTERP_MASK (3 << 10)
2099#define VERT_AUTO_SCALE (1 << 9)
2100#define HORIZ_INTERP_DISABLE (0 << 6)
2101#define HORIZ_INTERP_BILINEAR (1 << 6)
2102#define HORIZ_INTERP_MASK (3 << 6)
2103#define HORIZ_AUTO_SCALE (1 << 5)
2104#define PANEL_8TO6_DITHER_ENABLE (1 << 3)
3fbe18d6
ZY
2105#define PFIT_FILTER_FUZZY (0 << 24)
2106#define PFIT_SCALING_AUTO (0 << 26)
2107#define PFIT_SCALING_PROGRAMMED (1 << 26)
2108#define PFIT_SCALING_PILLAR (2 << 26)
2109#define PFIT_SCALING_LETTER (3 << 26)
7e470abf 2110#define PFIT_PGM_RATIOS (dev_priv->info->display_mmio_offset + 0x61234)
3fbe18d6
ZY
2111/* Pre-965 */
2112#define PFIT_VERT_SCALE_SHIFT 20
2113#define PFIT_VERT_SCALE_MASK 0xfff00000
2114#define PFIT_HORIZ_SCALE_SHIFT 4
2115#define PFIT_HORIZ_SCALE_MASK 0x0000fff0
2116/* 965+ */
2117#define PFIT_VERT_SCALE_SHIFT_965 16
2118#define PFIT_VERT_SCALE_MASK_965 0x1fff0000
2119#define PFIT_HORIZ_SCALE_SHIFT_965 0
2120#define PFIT_HORIZ_SCALE_MASK_965 0x00001fff
2121
7e470abf 2122#define PFIT_AUTO_RATIOS (dev_priv->info->display_mmio_offset + 0x61238)
585fb111
JB
2123
2124/* Backlight control */
12569ad6 2125#define BLC_PWM_CTL2 (dev_priv->info->display_mmio_offset + 0x61250) /* 965+ only */
7cf41601
DV
2126#define BLM_PWM_ENABLE (1 << 31)
2127#define BLM_COMBINATION_MODE (1 << 30) /* gen4 only */
2128#define BLM_PIPE_SELECT (1 << 29)
2129#define BLM_PIPE_SELECT_IVB (3 << 29)
2130#define BLM_PIPE_A (0 << 29)
2131#define BLM_PIPE_B (1 << 29)
2132#define BLM_PIPE_C (2 << 29) /* ivb + */
35ffda48
JN
2133#define BLM_TRANSCODER_A BLM_PIPE_A /* hsw */
2134#define BLM_TRANSCODER_B BLM_PIPE_B
2135#define BLM_TRANSCODER_C BLM_PIPE_C
2136#define BLM_TRANSCODER_EDP (3 << 29)
7cf41601
DV
2137#define BLM_PIPE(pipe) ((pipe) << 29)
2138#define BLM_POLARITY_I965 (1 << 28) /* gen4 only */
2139#define BLM_PHASE_IN_INTERUPT_STATUS (1 << 26)
2140#define BLM_PHASE_IN_ENABLE (1 << 25)
2141#define BLM_PHASE_IN_INTERUPT_ENABL (1 << 24)
2142#define BLM_PHASE_IN_TIME_BASE_SHIFT (16)
2143#define BLM_PHASE_IN_TIME_BASE_MASK (0xff << 16)
2144#define BLM_PHASE_IN_COUNT_SHIFT (8)
2145#define BLM_PHASE_IN_COUNT_MASK (0xff << 8)
2146#define BLM_PHASE_IN_INCR_SHIFT (0)
2147#define BLM_PHASE_IN_INCR_MASK (0xff << 0)
12569ad6 2148#define BLC_PWM_CTL (dev_priv->info->display_mmio_offset + 0x61254)
ba3820ad
TI
2149/*
2150 * This is the most significant 15 bits of the number of backlight cycles in a
2151 * complete cycle of the modulated backlight control.
2152 *
2153 * The actual value is this field multiplied by two.
2154 */
7cf41601
DV
2155#define BACKLIGHT_MODULATION_FREQ_SHIFT (17)
2156#define BACKLIGHT_MODULATION_FREQ_MASK (0x7fff << 17)
2157#define BLM_LEGACY_MODE (1 << 16) /* gen2 only */
585fb111
JB
2158/*
2159 * This is the number of cycles out of the backlight modulation cycle for which
2160 * the backlight is on.
2161 *
2162 * This field must be no greater than the number of cycles in the complete
2163 * backlight modulation cycle.
2164 */
2165#define BACKLIGHT_DUTY_CYCLE_SHIFT (0)
2166#define BACKLIGHT_DUTY_CYCLE_MASK (0xffff)
534b5a53
DV
2167#define BACKLIGHT_DUTY_CYCLE_MASK_PNV (0xfffe)
2168#define BLM_POLARITY_PNV (1 << 0) /* pnv only */
585fb111 2169
12569ad6 2170#define BLC_HIST_CTL (dev_priv->info->display_mmio_offset + 0x61260)
0eb96d6e 2171
7cf41601
DV
2172/* New registers for PCH-split platforms. Safe where new bits show up, the
2173 * register layout machtes with gen4 BLC_PWM_CTL[12]. */
2174#define BLC_PWM_CPU_CTL2 0x48250
2175#define BLC_PWM_CPU_CTL 0x48254
2176
2177/* PCH CTL1 is totally different, all but the below bits are reserved. CTL2 is
2178 * like the normal CTL from gen4 and earlier. Hooray for confusing naming. */
2179#define BLC_PWM_PCH_CTL1 0xc8250
4b4147c3 2180#define BLM_PCH_PWM_ENABLE (1 << 31)
7cf41601
DV
2181#define BLM_PCH_OVERRIDE_ENABLE (1 << 30)
2182#define BLM_PCH_POLARITY (1 << 29)
2183#define BLC_PWM_PCH_CTL2 0xc8254
2184
585fb111
JB
2185/* TV port control */
2186#define TV_CTL 0x68000
2187/** Enables the TV encoder */
2188# define TV_ENC_ENABLE (1 << 31)
2189/** Sources the TV encoder input from pipe B instead of A. */
2190# define TV_ENC_PIPEB_SELECT (1 << 30)
2191/** Outputs composite video (DAC A only) */
2192# define TV_ENC_OUTPUT_COMPOSITE (0 << 28)
2193/** Outputs SVideo video (DAC B/C) */
2194# define TV_ENC_OUTPUT_SVIDEO (1 << 28)
2195/** Outputs Component video (DAC A/B/C) */
2196# define TV_ENC_OUTPUT_COMPONENT (2 << 28)
2197/** Outputs Composite and SVideo (DAC A/B/C) */
2198# define TV_ENC_OUTPUT_SVIDEO_COMPOSITE (3 << 28)
2199# define TV_TRILEVEL_SYNC (1 << 21)
2200/** Enables slow sync generation (945GM only) */
2201# define TV_SLOW_SYNC (1 << 20)
2202/** Selects 4x oversampling for 480i and 576p */
2203# define TV_OVERSAMPLE_4X (0 << 18)
2204/** Selects 2x oversampling for 720p and 1080i */
2205# define TV_OVERSAMPLE_2X (1 << 18)
2206/** Selects no oversampling for 1080p */
2207# define TV_OVERSAMPLE_NONE (2 << 18)
2208/** Selects 8x oversampling */
2209# define TV_OVERSAMPLE_8X (3 << 18)
2210/** Selects progressive mode rather than interlaced */
2211# define TV_PROGRESSIVE (1 << 17)
2212/** Sets the colorburst to PAL mode. Required for non-M PAL modes. */
2213# define TV_PAL_BURST (1 << 16)
2214/** Field for setting delay of Y compared to C */
2215# define TV_YC_SKEW_MASK (7 << 12)
2216/** Enables a fix for 480p/576p standard definition modes on the 915GM only */
2217# define TV_ENC_SDP_FIX (1 << 11)
2218/**
2219 * Enables a fix for the 915GM only.
2220 *
2221 * Not sure what it does.
2222 */
2223# define TV_ENC_C0_FIX (1 << 10)
2224/** Bits that must be preserved by software */
d2d9f232 2225# define TV_CTL_SAVE ((1 << 11) | (3 << 9) | (7 << 6) | 0xf)
585fb111
JB
2226# define TV_FUSE_STATE_MASK (3 << 4)
2227/** Read-only state that reports all features enabled */
2228# define TV_FUSE_STATE_ENABLED (0 << 4)
2229/** Read-only state that reports that Macrovision is disabled in hardware*/
2230# define TV_FUSE_STATE_NO_MACROVISION (1 << 4)
2231/** Read-only state that reports that TV-out is disabled in hardware. */
2232# define TV_FUSE_STATE_DISABLED (2 << 4)
2233/** Normal operation */
2234# define TV_TEST_MODE_NORMAL (0 << 0)
2235/** Encoder test pattern 1 - combo pattern */
2236# define TV_TEST_MODE_PATTERN_1 (1 << 0)
2237/** Encoder test pattern 2 - full screen vertical 75% color bars */
2238# define TV_TEST_MODE_PATTERN_2 (2 << 0)
2239/** Encoder test pattern 3 - full screen horizontal 75% color bars */
2240# define TV_TEST_MODE_PATTERN_3 (3 << 0)
2241/** Encoder test pattern 4 - random noise */
2242# define TV_TEST_MODE_PATTERN_4 (4 << 0)
2243/** Encoder test pattern 5 - linear color ramps */
2244# define TV_TEST_MODE_PATTERN_5 (5 << 0)
2245/**
2246 * This test mode forces the DACs to 50% of full output.
2247 *
2248 * This is used for load detection in combination with TVDAC_SENSE_MASK
2249 */
2250# define TV_TEST_MODE_MONITOR_DETECT (7 << 0)
2251# define TV_TEST_MODE_MASK (7 << 0)
2252
2253#define TV_DAC 0x68004
b8ed2a4f 2254# define TV_DAC_SAVE 0x00ffff00
585fb111
JB
2255/**
2256 * Reports that DAC state change logic has reported change (RO).
2257 *
2258 * This gets cleared when TV_DAC_STATE_EN is cleared
2259*/
2260# define TVDAC_STATE_CHG (1 << 31)
2261# define TVDAC_SENSE_MASK (7 << 28)
2262/** Reports that DAC A voltage is above the detect threshold */
2263# define TVDAC_A_SENSE (1 << 30)
2264/** Reports that DAC B voltage is above the detect threshold */
2265# define TVDAC_B_SENSE (1 << 29)
2266/** Reports that DAC C voltage is above the detect threshold */
2267# define TVDAC_C_SENSE (1 << 28)
2268/**
2269 * Enables DAC state detection logic, for load-based TV detection.
2270 *
2271 * The PLL of the chosen pipe (in TV_CTL) must be running, and the encoder set
2272 * to off, for load detection to work.
2273 */
2274# define TVDAC_STATE_CHG_EN (1 << 27)
2275/** Sets the DAC A sense value to high */
2276# define TVDAC_A_SENSE_CTL (1 << 26)
2277/** Sets the DAC B sense value to high */
2278# define TVDAC_B_SENSE_CTL (1 << 25)
2279/** Sets the DAC C sense value to high */
2280# define TVDAC_C_SENSE_CTL (1 << 24)
2281/** Overrides the ENC_ENABLE and DAC voltage levels */
2282# define DAC_CTL_OVERRIDE (1 << 7)
2283/** Sets the slew rate. Must be preserved in software */
2284# define ENC_TVDAC_SLEW_FAST (1 << 6)
2285# define DAC_A_1_3_V (0 << 4)
2286# define DAC_A_1_1_V (1 << 4)
2287# define DAC_A_0_7_V (2 << 4)
cb66c692 2288# define DAC_A_MASK (3 << 4)
585fb111
JB
2289# define DAC_B_1_3_V (0 << 2)
2290# define DAC_B_1_1_V (1 << 2)
2291# define DAC_B_0_7_V (2 << 2)
cb66c692 2292# define DAC_B_MASK (3 << 2)
585fb111
JB
2293# define DAC_C_1_3_V (0 << 0)
2294# define DAC_C_1_1_V (1 << 0)
2295# define DAC_C_0_7_V (2 << 0)
cb66c692 2296# define DAC_C_MASK (3 << 0)
585fb111
JB
2297
2298/**
2299 * CSC coefficients are stored in a floating point format with 9 bits of
2300 * mantissa and 2 or 3 bits of exponent. The exponent is represented as 2**-n,
2301 * where 2-bit exponents are unsigned n, and 3-bit exponents are signed n with
2302 * -1 (0x3) being the only legal negative value.
2303 */
2304#define TV_CSC_Y 0x68010
2305# define TV_RY_MASK 0x07ff0000
2306# define TV_RY_SHIFT 16
2307# define TV_GY_MASK 0x00000fff
2308# define TV_GY_SHIFT 0
2309
2310#define TV_CSC_Y2 0x68014
2311# define TV_BY_MASK 0x07ff0000
2312# define TV_BY_SHIFT 16
2313/**
2314 * Y attenuation for component video.
2315 *
2316 * Stored in 1.9 fixed point.
2317 */
2318# define TV_AY_MASK 0x000003ff
2319# define TV_AY_SHIFT 0
2320
2321#define TV_CSC_U 0x68018
2322# define TV_RU_MASK 0x07ff0000
2323# define TV_RU_SHIFT 16
2324# define TV_GU_MASK 0x000007ff
2325# define TV_GU_SHIFT 0
2326
2327#define TV_CSC_U2 0x6801c
2328# define TV_BU_MASK 0x07ff0000
2329# define TV_BU_SHIFT 16
2330/**
2331 * U attenuation for component video.
2332 *
2333 * Stored in 1.9 fixed point.
2334 */
2335# define TV_AU_MASK 0x000003ff
2336# define TV_AU_SHIFT 0
2337
2338#define TV_CSC_V 0x68020
2339# define TV_RV_MASK 0x0fff0000
2340# define TV_RV_SHIFT 16
2341# define TV_GV_MASK 0x000007ff
2342# define TV_GV_SHIFT 0
2343
2344#define TV_CSC_V2 0x68024
2345# define TV_BV_MASK 0x07ff0000
2346# define TV_BV_SHIFT 16
2347/**
2348 * V attenuation for component video.
2349 *
2350 * Stored in 1.9 fixed point.
2351 */
2352# define TV_AV_MASK 0x000007ff
2353# define TV_AV_SHIFT 0
2354
2355#define TV_CLR_KNOBS 0x68028
2356/** 2s-complement brightness adjustment */
2357# define TV_BRIGHTNESS_MASK 0xff000000
2358# define TV_BRIGHTNESS_SHIFT 24
2359/** Contrast adjustment, as a 2.6 unsigned floating point number */
2360# define TV_CONTRAST_MASK 0x00ff0000
2361# define TV_CONTRAST_SHIFT 16
2362/** Saturation adjustment, as a 2.6 unsigned floating point number */
2363# define TV_SATURATION_MASK 0x0000ff00
2364# define TV_SATURATION_SHIFT 8
2365/** Hue adjustment, as an integer phase angle in degrees */
2366# define TV_HUE_MASK 0x000000ff
2367# define TV_HUE_SHIFT 0
2368
2369#define TV_CLR_LEVEL 0x6802c
2370/** Controls the DAC level for black */
2371# define TV_BLACK_LEVEL_MASK 0x01ff0000
2372# define TV_BLACK_LEVEL_SHIFT 16
2373/** Controls the DAC level for blanking */
2374# define TV_BLANK_LEVEL_MASK 0x000001ff
2375# define TV_BLANK_LEVEL_SHIFT 0
2376
2377#define TV_H_CTL_1 0x68030
2378/** Number of pixels in the hsync. */
2379# define TV_HSYNC_END_MASK 0x1fff0000
2380# define TV_HSYNC_END_SHIFT 16
2381/** Total number of pixels minus one in the line (display and blanking). */
2382# define TV_HTOTAL_MASK 0x00001fff
2383# define TV_HTOTAL_SHIFT 0
2384
2385#define TV_H_CTL_2 0x68034
2386/** Enables the colorburst (needed for non-component color) */
2387# define TV_BURST_ENA (1 << 31)
2388/** Offset of the colorburst from the start of hsync, in pixels minus one. */
2389# define TV_HBURST_START_SHIFT 16
2390# define TV_HBURST_START_MASK 0x1fff0000
2391/** Length of the colorburst */
2392# define TV_HBURST_LEN_SHIFT 0
2393# define TV_HBURST_LEN_MASK 0x0001fff
2394
2395#define TV_H_CTL_3 0x68038
2396/** End of hblank, measured in pixels minus one from start of hsync */
2397# define TV_HBLANK_END_SHIFT 16
2398# define TV_HBLANK_END_MASK 0x1fff0000
2399/** Start of hblank, measured in pixels minus one from start of hsync */
2400# define TV_HBLANK_START_SHIFT 0
2401# define TV_HBLANK_START_MASK 0x0001fff
2402
2403#define TV_V_CTL_1 0x6803c
2404/** XXX */
2405# define TV_NBR_END_SHIFT 16
2406# define TV_NBR_END_MASK 0x07ff0000
2407/** XXX */
2408# define TV_VI_END_F1_SHIFT 8
2409# define TV_VI_END_F1_MASK 0x00003f00
2410/** XXX */
2411# define TV_VI_END_F2_SHIFT 0
2412# define TV_VI_END_F2_MASK 0x0000003f
2413
2414#define TV_V_CTL_2 0x68040
2415/** Length of vsync, in half lines */
2416# define TV_VSYNC_LEN_MASK 0x07ff0000
2417# define TV_VSYNC_LEN_SHIFT 16
2418/** Offset of the start of vsync in field 1, measured in one less than the
2419 * number of half lines.
2420 */
2421# define TV_VSYNC_START_F1_MASK 0x00007f00
2422# define TV_VSYNC_START_F1_SHIFT 8
2423/**
2424 * Offset of the start of vsync in field 2, measured in one less than the
2425 * number of half lines.
2426 */
2427# define TV_VSYNC_START_F2_MASK 0x0000007f
2428# define TV_VSYNC_START_F2_SHIFT 0
2429
2430#define TV_V_CTL_3 0x68044
2431/** Enables generation of the equalization signal */
2432# define TV_EQUAL_ENA (1 << 31)
2433/** Length of vsync, in half lines */
2434# define TV_VEQ_LEN_MASK 0x007f0000
2435# define TV_VEQ_LEN_SHIFT 16
2436/** Offset of the start of equalization in field 1, measured in one less than
2437 * the number of half lines.
2438 */
2439# define TV_VEQ_START_F1_MASK 0x0007f00
2440# define TV_VEQ_START_F1_SHIFT 8
2441/**
2442 * Offset of the start of equalization in field 2, measured in one less than
2443 * the number of half lines.
2444 */
2445# define TV_VEQ_START_F2_MASK 0x000007f
2446# define TV_VEQ_START_F2_SHIFT 0
2447
2448#define TV_V_CTL_4 0x68048
2449/**
2450 * Offset to start of vertical colorburst, measured in one less than the
2451 * number of lines from vertical start.
2452 */
2453# define TV_VBURST_START_F1_MASK 0x003f0000
2454# define TV_VBURST_START_F1_SHIFT 16
2455/**
2456 * Offset to the end of vertical colorburst, measured in one less than the
2457 * number of lines from the start of NBR.
2458 */
2459# define TV_VBURST_END_F1_MASK 0x000000ff
2460# define TV_VBURST_END_F1_SHIFT 0
2461
2462#define TV_V_CTL_5 0x6804c
2463/**
2464 * Offset to start of vertical colorburst, measured in one less than the
2465 * number of lines from vertical start.
2466 */
2467# define TV_VBURST_START_F2_MASK 0x003f0000
2468# define TV_VBURST_START_F2_SHIFT 16
2469/**
2470 * Offset to the end of vertical colorburst, measured in one less than the
2471 * number of lines from the start of NBR.
2472 */
2473# define TV_VBURST_END_F2_MASK 0x000000ff
2474# define TV_VBURST_END_F2_SHIFT 0
2475
2476#define TV_V_CTL_6 0x68050
2477/**
2478 * Offset to start of vertical colorburst, measured in one less than the
2479 * number of lines from vertical start.
2480 */
2481# define TV_VBURST_START_F3_MASK 0x003f0000
2482# define TV_VBURST_START_F3_SHIFT 16
2483/**
2484 * Offset to the end of vertical colorburst, measured in one less than the
2485 * number of lines from the start of NBR.
2486 */
2487# define TV_VBURST_END_F3_MASK 0x000000ff
2488# define TV_VBURST_END_F3_SHIFT 0
2489
2490#define TV_V_CTL_7 0x68054
2491/**
2492 * Offset to start of vertical colorburst, measured in one less than the
2493 * number of lines from vertical start.
2494 */
2495# define TV_VBURST_START_F4_MASK 0x003f0000
2496# define TV_VBURST_START_F4_SHIFT 16
2497/**
2498 * Offset to the end of vertical colorburst, measured in one less than the
2499 * number of lines from the start of NBR.
2500 */
2501# define TV_VBURST_END_F4_MASK 0x000000ff
2502# define TV_VBURST_END_F4_SHIFT 0
2503
2504#define TV_SC_CTL_1 0x68060
2505/** Turns on the first subcarrier phase generation DDA */
2506# define TV_SC_DDA1_EN (1 << 31)
2507/** Turns on the first subcarrier phase generation DDA */
2508# define TV_SC_DDA2_EN (1 << 30)
2509/** Turns on the first subcarrier phase generation DDA */
2510# define TV_SC_DDA3_EN (1 << 29)
2511/** Sets the subcarrier DDA to reset frequency every other field */
2512# define TV_SC_RESET_EVERY_2 (0 << 24)
2513/** Sets the subcarrier DDA to reset frequency every fourth field */
2514# define TV_SC_RESET_EVERY_4 (1 << 24)
2515/** Sets the subcarrier DDA to reset frequency every eighth field */
2516# define TV_SC_RESET_EVERY_8 (2 << 24)
2517/** Sets the subcarrier DDA to never reset the frequency */
2518# define TV_SC_RESET_NEVER (3 << 24)
2519/** Sets the peak amplitude of the colorburst.*/
2520# define TV_BURST_LEVEL_MASK 0x00ff0000
2521# define TV_BURST_LEVEL_SHIFT 16
2522/** Sets the increment of the first subcarrier phase generation DDA */
2523# define TV_SCDDA1_INC_MASK 0x00000fff
2524# define TV_SCDDA1_INC_SHIFT 0
2525
2526#define TV_SC_CTL_2 0x68064
2527/** Sets the rollover for the second subcarrier phase generation DDA */
2528# define TV_SCDDA2_SIZE_MASK 0x7fff0000
2529# define TV_SCDDA2_SIZE_SHIFT 16
2530/** Sets the increent of the second subcarrier phase generation DDA */
2531# define TV_SCDDA2_INC_MASK 0x00007fff
2532# define TV_SCDDA2_INC_SHIFT 0
2533
2534#define TV_SC_CTL_3 0x68068
2535/** Sets the rollover for the third subcarrier phase generation DDA */
2536# define TV_SCDDA3_SIZE_MASK 0x7fff0000
2537# define TV_SCDDA3_SIZE_SHIFT 16
2538/** Sets the increent of the third subcarrier phase generation DDA */
2539# define TV_SCDDA3_INC_MASK 0x00007fff
2540# define TV_SCDDA3_INC_SHIFT 0
2541
2542#define TV_WIN_POS 0x68070
2543/** X coordinate of the display from the start of horizontal active */
2544# define TV_XPOS_MASK 0x1fff0000
2545# define TV_XPOS_SHIFT 16
2546/** Y coordinate of the display from the start of vertical active (NBR) */
2547# define TV_YPOS_MASK 0x00000fff
2548# define TV_YPOS_SHIFT 0
2549
2550#define TV_WIN_SIZE 0x68074
2551/** Horizontal size of the display window, measured in pixels*/
2552# define TV_XSIZE_MASK 0x1fff0000
2553# define TV_XSIZE_SHIFT 16
2554/**
2555 * Vertical size of the display window, measured in pixels.
2556 *
2557 * Must be even for interlaced modes.
2558 */
2559# define TV_YSIZE_MASK 0x00000fff
2560# define TV_YSIZE_SHIFT 0
2561
2562#define TV_FILTER_CTL_1 0x68080
2563/**
2564 * Enables automatic scaling calculation.
2565 *
2566 * If set, the rest of the registers are ignored, and the calculated values can
2567 * be read back from the register.
2568 */
2569# define TV_AUTO_SCALE (1 << 31)
2570/**
2571 * Disables the vertical filter.
2572 *
2573 * This is required on modes more than 1024 pixels wide */
2574# define TV_V_FILTER_BYPASS (1 << 29)
2575/** Enables adaptive vertical filtering */
2576# define TV_VADAPT (1 << 28)
2577# define TV_VADAPT_MODE_MASK (3 << 26)
2578/** Selects the least adaptive vertical filtering mode */
2579# define TV_VADAPT_MODE_LEAST (0 << 26)
2580/** Selects the moderately adaptive vertical filtering mode */
2581# define TV_VADAPT_MODE_MODERATE (1 << 26)
2582/** Selects the most adaptive vertical filtering mode */
2583# define TV_VADAPT_MODE_MOST (3 << 26)
2584/**
2585 * Sets the horizontal scaling factor.
2586 *
2587 * This should be the fractional part of the horizontal scaling factor divided
2588 * by the oversampling rate. TV_HSCALE should be less than 1, and set to:
2589 *
2590 * (src width - 1) / ((oversample * dest width) - 1)
2591 */
2592# define TV_HSCALE_FRAC_MASK 0x00003fff
2593# define TV_HSCALE_FRAC_SHIFT 0
2594
2595#define TV_FILTER_CTL_2 0x68084
2596/**
2597 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
2598 *
2599 * TV_VSCALE should be (src height - 1) / ((interlace * dest height) - 1)
2600 */
2601# define TV_VSCALE_INT_MASK 0x00038000
2602# define TV_VSCALE_INT_SHIFT 15
2603/**
2604 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
2605 *
2606 * \sa TV_VSCALE_INT_MASK
2607 */
2608# define TV_VSCALE_FRAC_MASK 0x00007fff
2609# define TV_VSCALE_FRAC_SHIFT 0
2610
2611#define TV_FILTER_CTL_3 0x68088
2612/**
2613 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
2614 *
2615 * TV_VSCALE should be (src height - 1) / (1/4 * (dest height - 1))
2616 *
2617 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
2618 */
2619# define TV_VSCALE_IP_INT_MASK 0x00038000
2620# define TV_VSCALE_IP_INT_SHIFT 15
2621/**
2622 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
2623 *
2624 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
2625 *
2626 * \sa TV_VSCALE_IP_INT_MASK
2627 */
2628# define TV_VSCALE_IP_FRAC_MASK 0x00007fff
2629# define TV_VSCALE_IP_FRAC_SHIFT 0
2630
2631#define TV_CC_CONTROL 0x68090
2632# define TV_CC_ENABLE (1 << 31)
2633/**
2634 * Specifies which field to send the CC data in.
2635 *
2636 * CC data is usually sent in field 0.
2637 */
2638# define TV_CC_FID_MASK (1 << 27)
2639# define TV_CC_FID_SHIFT 27
2640/** Sets the horizontal position of the CC data. Usually 135. */
2641# define TV_CC_HOFF_MASK 0x03ff0000
2642# define TV_CC_HOFF_SHIFT 16
2643/** Sets the vertical position of the CC data. Usually 21 */
2644# define TV_CC_LINE_MASK 0x0000003f
2645# define TV_CC_LINE_SHIFT 0
2646
2647#define TV_CC_DATA 0x68094
2648# define TV_CC_RDY (1 << 31)
2649/** Second word of CC data to be transmitted. */
2650# define TV_CC_DATA_2_MASK 0x007f0000
2651# define TV_CC_DATA_2_SHIFT 16
2652/** First word of CC data to be transmitted. */
2653# define TV_CC_DATA_1_MASK 0x0000007f
2654# define TV_CC_DATA_1_SHIFT 0
2655
2656#define TV_H_LUMA_0 0x68100
2657#define TV_H_LUMA_59 0x681ec
2658#define TV_H_CHROMA_0 0x68200
2659#define TV_H_CHROMA_59 0x682ec
2660#define TV_V_LUMA_0 0x68300
2661#define TV_V_LUMA_42 0x683a8
2662#define TV_V_CHROMA_0 0x68400
2663#define TV_V_CHROMA_42 0x684a8
2664
040d87f1 2665/* Display Port */
32f9d658 2666#define DP_A 0x64000 /* eDP */
040d87f1
KP
2667#define DP_B 0x64100
2668#define DP_C 0x64200
2669#define DP_D 0x64300
2670
2671#define DP_PORT_EN (1 << 31)
2672#define DP_PIPEB_SELECT (1 << 30)
47a05eca
JB
2673#define DP_PIPE_MASK (1 << 30)
2674
040d87f1
KP
2675/* Link training mode - select a suitable mode for each stage */
2676#define DP_LINK_TRAIN_PAT_1 (0 << 28)
2677#define DP_LINK_TRAIN_PAT_2 (1 << 28)
2678#define DP_LINK_TRAIN_PAT_IDLE (2 << 28)
2679#define DP_LINK_TRAIN_OFF (3 << 28)
2680#define DP_LINK_TRAIN_MASK (3 << 28)
2681#define DP_LINK_TRAIN_SHIFT 28
2682
8db9d77b
ZW
2683/* CPT Link training mode */
2684#define DP_LINK_TRAIN_PAT_1_CPT (0 << 8)
2685#define DP_LINK_TRAIN_PAT_2_CPT (1 << 8)
2686#define DP_LINK_TRAIN_PAT_IDLE_CPT (2 << 8)
2687#define DP_LINK_TRAIN_OFF_CPT (3 << 8)
2688#define DP_LINK_TRAIN_MASK_CPT (7 << 8)
2689#define DP_LINK_TRAIN_SHIFT_CPT 8
2690
040d87f1
KP
2691/* Signal voltages. These are mostly controlled by the other end */
2692#define DP_VOLTAGE_0_4 (0 << 25)
2693#define DP_VOLTAGE_0_6 (1 << 25)
2694#define DP_VOLTAGE_0_8 (2 << 25)
2695#define DP_VOLTAGE_1_2 (3 << 25)
2696#define DP_VOLTAGE_MASK (7 << 25)
2697#define DP_VOLTAGE_SHIFT 25
2698
2699/* Signal pre-emphasis levels, like voltages, the other end tells us what
2700 * they want
2701 */
2702#define DP_PRE_EMPHASIS_0 (0 << 22)
2703#define DP_PRE_EMPHASIS_3_5 (1 << 22)
2704#define DP_PRE_EMPHASIS_6 (2 << 22)
2705#define DP_PRE_EMPHASIS_9_5 (3 << 22)
2706#define DP_PRE_EMPHASIS_MASK (7 << 22)
2707#define DP_PRE_EMPHASIS_SHIFT 22
2708
2709/* How many wires to use. I guess 3 was too hard */
17aa6be9 2710#define DP_PORT_WIDTH(width) (((width) - 1) << 19)
040d87f1
KP
2711#define DP_PORT_WIDTH_MASK (7 << 19)
2712
2713/* Mystic DPCD version 1.1 special mode */
2714#define DP_ENHANCED_FRAMING (1 << 18)
2715
32f9d658
ZW
2716/* eDP */
2717#define DP_PLL_FREQ_270MHZ (0 << 16)
2718#define DP_PLL_FREQ_160MHZ (1 << 16)
2719#define DP_PLL_FREQ_MASK (3 << 16)
2720
040d87f1
KP
2721/** locked once port is enabled */
2722#define DP_PORT_REVERSAL (1 << 15)
2723
32f9d658
ZW
2724/* eDP */
2725#define DP_PLL_ENABLE (1 << 14)
2726
040d87f1
KP
2727/** sends the clock on lane 15 of the PEG for debug */
2728#define DP_CLOCK_OUTPUT_ENABLE (1 << 13)
2729
2730#define DP_SCRAMBLING_DISABLE (1 << 12)
f2b115e6 2731#define DP_SCRAMBLING_DISABLE_IRONLAKE (1 << 7)
040d87f1
KP
2732
2733/** limit RGB values to avoid confusing TVs */
2734#define DP_COLOR_RANGE_16_235 (1 << 8)
2735
2736/** Turn on the audio link */
2737#define DP_AUDIO_OUTPUT_ENABLE (1 << 6)
2738
2739/** vs and hs sync polarity */
2740#define DP_SYNC_VS_HIGH (1 << 4)
2741#define DP_SYNC_HS_HIGH (1 << 3)
2742
2743/** A fantasy */
2744#define DP_DETECTED (1 << 2)
2745
2746/** The aux channel provides a way to talk to the
2747 * signal sink for DDC etc. Max packet size supported
2748 * is 20 bytes in each direction, hence the 5 fixed
2749 * data registers
2750 */
32f9d658
ZW
2751#define DPA_AUX_CH_CTL 0x64010
2752#define DPA_AUX_CH_DATA1 0x64014
2753#define DPA_AUX_CH_DATA2 0x64018
2754#define DPA_AUX_CH_DATA3 0x6401c
2755#define DPA_AUX_CH_DATA4 0x64020
2756#define DPA_AUX_CH_DATA5 0x64024
2757
040d87f1
KP
2758#define DPB_AUX_CH_CTL 0x64110
2759#define DPB_AUX_CH_DATA1 0x64114
2760#define DPB_AUX_CH_DATA2 0x64118
2761#define DPB_AUX_CH_DATA3 0x6411c
2762#define DPB_AUX_CH_DATA4 0x64120
2763#define DPB_AUX_CH_DATA5 0x64124
2764
2765#define DPC_AUX_CH_CTL 0x64210
2766#define DPC_AUX_CH_DATA1 0x64214
2767#define DPC_AUX_CH_DATA2 0x64218
2768#define DPC_AUX_CH_DATA3 0x6421c
2769#define DPC_AUX_CH_DATA4 0x64220
2770#define DPC_AUX_CH_DATA5 0x64224
2771
2772#define DPD_AUX_CH_CTL 0x64310
2773#define DPD_AUX_CH_DATA1 0x64314
2774#define DPD_AUX_CH_DATA2 0x64318
2775#define DPD_AUX_CH_DATA3 0x6431c
2776#define DPD_AUX_CH_DATA4 0x64320
2777#define DPD_AUX_CH_DATA5 0x64324
2778
2779#define DP_AUX_CH_CTL_SEND_BUSY (1 << 31)
2780#define DP_AUX_CH_CTL_DONE (1 << 30)
2781#define DP_AUX_CH_CTL_INTERRUPT (1 << 29)
2782#define DP_AUX_CH_CTL_TIME_OUT_ERROR (1 << 28)
2783#define DP_AUX_CH_CTL_TIME_OUT_400us (0 << 26)
2784#define DP_AUX_CH_CTL_TIME_OUT_600us (1 << 26)
2785#define DP_AUX_CH_CTL_TIME_OUT_800us (2 << 26)
2786#define DP_AUX_CH_CTL_TIME_OUT_1600us (3 << 26)
2787#define DP_AUX_CH_CTL_TIME_OUT_MASK (3 << 26)
2788#define DP_AUX_CH_CTL_RECEIVE_ERROR (1 << 25)
2789#define DP_AUX_CH_CTL_MESSAGE_SIZE_MASK (0x1f << 20)
2790#define DP_AUX_CH_CTL_MESSAGE_SIZE_SHIFT 20
2791#define DP_AUX_CH_CTL_PRECHARGE_2US_MASK (0xf << 16)
2792#define DP_AUX_CH_CTL_PRECHARGE_2US_SHIFT 16
2793#define DP_AUX_CH_CTL_AUX_AKSV_SELECT (1 << 15)
2794#define DP_AUX_CH_CTL_MANCHESTER_TEST (1 << 14)
2795#define DP_AUX_CH_CTL_SYNC_TEST (1 << 13)
2796#define DP_AUX_CH_CTL_DEGLITCH_TEST (1 << 12)
2797#define DP_AUX_CH_CTL_PRECHARGE_TEST (1 << 11)
2798#define DP_AUX_CH_CTL_BIT_CLOCK_2X_MASK (0x7ff)
2799#define DP_AUX_CH_CTL_BIT_CLOCK_2X_SHIFT 0
2800
2801/*
2802 * Computing GMCH M and N values for the Display Port link
2803 *
2804 * GMCH M/N = dot clock * bytes per pixel / ls_clk * # of lanes
2805 *
2806 * ls_clk (we assume) is the DP link clock (1.62 or 2.7 GHz)
2807 *
2808 * The GMCH value is used internally
2809 *
2810 * bytes_per_pixel is the number of bytes coming out of the plane,
2811 * which is after the LUTs, so we want the bytes for our color format.
2812 * For our current usage, this is always 3, one byte for R, G and B.
2813 */
e3b95f1e
DV
2814#define _PIPEA_DATA_M_G4X 0x70050
2815#define _PIPEB_DATA_M_G4X 0x71050
040d87f1
KP
2816
2817/* Transfer unit size for display port - 1, default is 0x3f (for TU size 64) */
a65851af 2818#define TU_SIZE(x) (((x)-1) << 25) /* default size 64 */
72419203 2819#define TU_SIZE_SHIFT 25
a65851af 2820#define TU_SIZE_MASK (0x3f << 25)
040d87f1 2821
a65851af
VS
2822#define DATA_LINK_M_N_MASK (0xffffff)
2823#define DATA_LINK_N_MAX (0x800000)
040d87f1 2824
e3b95f1e
DV
2825#define _PIPEA_DATA_N_G4X 0x70054
2826#define _PIPEB_DATA_N_G4X 0x71054
040d87f1
KP
2827#define PIPE_GMCH_DATA_N_MASK (0xffffff)
2828
2829/*
2830 * Computing Link M and N values for the Display Port link
2831 *
2832 * Link M / N = pixel_clock / ls_clk
2833 *
2834 * (the DP spec calls pixel_clock the 'strm_clk')
2835 *
2836 * The Link value is transmitted in the Main Stream
2837 * Attributes and VB-ID.
2838 */
2839
e3b95f1e
DV
2840#define _PIPEA_LINK_M_G4X 0x70060
2841#define _PIPEB_LINK_M_G4X 0x71060
040d87f1
KP
2842#define PIPEA_DP_LINK_M_MASK (0xffffff)
2843
e3b95f1e
DV
2844#define _PIPEA_LINK_N_G4X 0x70064
2845#define _PIPEB_LINK_N_G4X 0x71064
040d87f1
KP
2846#define PIPEA_DP_LINK_N_MASK (0xffffff)
2847
e3b95f1e
DV
2848#define PIPE_DATA_M_G4X(pipe) _PIPE(pipe, _PIPEA_DATA_M_G4X, _PIPEB_DATA_M_G4X)
2849#define PIPE_DATA_N_G4X(pipe) _PIPE(pipe, _PIPEA_DATA_N_G4X, _PIPEB_DATA_N_G4X)
2850#define PIPE_LINK_M_G4X(pipe) _PIPE(pipe, _PIPEA_LINK_M_G4X, _PIPEB_LINK_M_G4X)
2851#define PIPE_LINK_N_G4X(pipe) _PIPE(pipe, _PIPEA_LINK_N_G4X, _PIPEB_LINK_N_G4X)
9db4a9c7 2852
585fb111
JB
2853/* Display & cursor control */
2854
2855/* Pipe A */
0c3870ee 2856#define _PIPEADSL (dev_priv->info->display_mmio_offset + 0x70000)
837ba00f
PZ
2857#define DSL_LINEMASK_GEN2 0x00000fff
2858#define DSL_LINEMASK_GEN3 0x00001fff
0c3870ee 2859#define _PIPEACONF (dev_priv->info->display_mmio_offset + 0x70008)
5eddb70b
CW
2860#define PIPECONF_ENABLE (1<<31)
2861#define PIPECONF_DISABLE 0
2862#define PIPECONF_DOUBLE_WIDE (1<<30)
585fb111 2863#define I965_PIPECONF_ACTIVE (1<<30)
f47166d2 2864#define PIPECONF_FRAME_START_DELAY_MASK (3<<27)
5eddb70b
CW
2865#define PIPECONF_SINGLE_WIDE 0
2866#define PIPECONF_PIPE_UNLOCKED 0
2867#define PIPECONF_PIPE_LOCKED (1<<25)
2868#define PIPECONF_PALETTE 0
2869#define PIPECONF_GAMMA (1<<24)
585fb111 2870#define PIPECONF_FORCE_BORDER (1<<25)
59df7b17 2871#define PIPECONF_INTERLACE_MASK (7 << 21)
ee2b0b38 2872#define PIPECONF_INTERLACE_MASK_HSW (3 << 21)
d442ae18
DV
2873/* Note that pre-gen3 does not support interlaced display directly. Panel
2874 * fitting must be disabled on pre-ilk for interlaced. */
2875#define PIPECONF_PROGRESSIVE (0 << 21)
2876#define PIPECONF_INTERLACE_W_SYNC_SHIFT_PANEL (4 << 21) /* gen4 only */
2877#define PIPECONF_INTERLACE_W_SYNC_SHIFT (5 << 21) /* gen4 only */
2878#define PIPECONF_INTERLACE_W_FIELD_INDICATION (6 << 21)
2879#define PIPECONF_INTERLACE_FIELD_0_ONLY (7 << 21) /* gen3 only */
2880/* Ironlake and later have a complete new set of values for interlaced. PFIT
2881 * means panel fitter required, PF means progressive fetch, DBL means power
2882 * saving pixel doubling. */
2883#define PIPECONF_PFIT_PF_INTERLACED_ILK (1 << 21)
2884#define PIPECONF_INTERLACED_ILK (3 << 21)
2885#define PIPECONF_INTERLACED_DBL_ILK (4 << 21) /* ilk/snb only */
2886#define PIPECONF_PFIT_PF_INTERLACED_DBL_ILK (5 << 21) /* ilk/snb only */
1bd1bd80 2887#define PIPECONF_INTERLACE_MODE_MASK (7 << 21)
652c393a 2888#define PIPECONF_CXSR_DOWNCLOCK (1<<16)
3685a8f3 2889#define PIPECONF_COLOR_RANGE_SELECT (1 << 13)
dfd07d72
DV
2890#define PIPECONF_BPC_MASK (0x7 << 5)
2891#define PIPECONF_8BPC (0<<5)
2892#define PIPECONF_10BPC (1<<5)
2893#define PIPECONF_6BPC (2<<5)
2894#define PIPECONF_12BPC (3<<5)
4f0d1aff
JB
2895#define PIPECONF_DITHER_EN (1<<4)
2896#define PIPECONF_DITHER_TYPE_MASK (0x0000000c)
2897#define PIPECONF_DITHER_TYPE_SP (0<<2)
2898#define PIPECONF_DITHER_TYPE_ST1 (1<<2)
2899#define PIPECONF_DITHER_TYPE_ST2 (2<<2)
2900#define PIPECONF_DITHER_TYPE_TEMP (3<<2)
0c3870ee 2901#define _PIPEASTAT (dev_priv->info->display_mmio_offset + 0x70024)
585fb111 2902#define PIPE_FIFO_UNDERRUN_STATUS (1UL<<31)
c46ce4d7 2903#define SPRITE1_FLIPDONE_INT_EN_VLV (1UL<<30)
585fb111
JB
2904#define PIPE_CRC_ERROR_ENABLE (1UL<<29)
2905#define PIPE_CRC_DONE_ENABLE (1UL<<28)
2906#define PIPE_GMBUS_EVENT_ENABLE (1UL<<27)
c46ce4d7 2907#define PLANE_FLIP_DONE_INT_EN_VLV (1UL<<26)
585fb111
JB
2908#define PIPE_HOTPLUG_INTERRUPT_ENABLE (1UL<<26)
2909#define PIPE_VSYNC_INTERRUPT_ENABLE (1UL<<25)
2910#define PIPE_DISPLAY_LINE_COMPARE_ENABLE (1UL<<24)
2911#define PIPE_DPST_EVENT_ENABLE (1UL<<23)
c70af1e4 2912#define SPRITE0_FLIP_DONE_INT_EN_VLV (1UL<<22)
585fb111
JB
2913#define PIPE_LEGACY_BLC_EVENT_ENABLE (1UL<<22)
2914#define PIPE_ODD_FIELD_INTERRUPT_ENABLE (1UL<<21)
2915#define PIPE_EVEN_FIELD_INTERRUPT_ENABLE (1UL<<20)
2916#define PIPE_HOTPLUG_TV_INTERRUPT_ENABLE (1UL<<18) /* pre-965 */
2917#define PIPE_START_VBLANK_INTERRUPT_ENABLE (1UL<<18) /* 965 or later */
2918#define PIPE_VBLANK_INTERRUPT_ENABLE (1UL<<17)
c46ce4d7 2919#define PIPEA_HBLANK_INT_EN_VLV (1UL<<16)
585fb111 2920#define PIPE_OVERLAY_UPDATED_ENABLE (1UL<<16)
c46ce4d7 2921#define SPRITE1_FLIPDONE_INT_STATUS_VLV (1UL<<15)
c70af1e4 2922#define SPRITE0_FLIPDONE_INT_STATUS_VLV (1UL<<14)
585fb111
JB
2923#define PIPE_CRC_ERROR_INTERRUPT_STATUS (1UL<<13)
2924#define PIPE_CRC_DONE_INTERRUPT_STATUS (1UL<<12)
2925#define PIPE_GMBUS_INTERRUPT_STATUS (1UL<<11)
c46ce4d7 2926#define PLANE_FLIPDONE_INT_STATUS_VLV (1UL<<10)
585fb111
JB
2927#define PIPE_HOTPLUG_INTERRUPT_STATUS (1UL<<10)
2928#define PIPE_VSYNC_INTERRUPT_STATUS (1UL<<9)
2929#define PIPE_DISPLAY_LINE_COMPARE_STATUS (1UL<<8)
2930#define PIPE_DPST_EVENT_STATUS (1UL<<7)
2931#define PIPE_LEGACY_BLC_EVENT_STATUS (1UL<<6)
2932#define PIPE_ODD_FIELD_INTERRUPT_STATUS (1UL<<5)
2933#define PIPE_EVEN_FIELD_INTERRUPT_STATUS (1UL<<4)
2934#define PIPE_HOTPLUG_TV_INTERRUPT_STATUS (1UL<<2) /* pre-965 */
2935#define PIPE_START_VBLANK_INTERRUPT_STATUS (1UL<<2) /* 965 or later */
2936#define PIPE_VBLANK_INTERRUPT_STATUS (1UL<<1)
2937#define PIPE_OVERLAY_UPDATED_STATUS (1UL<<0)
2938
9db4a9c7 2939#define PIPESRC(pipe) _PIPE(pipe, _PIPEASRC, _PIPEBSRC)
702e7a56 2940#define PIPECONF(tran) _TRANSCODER(tran, _PIPEACONF, _PIPEBCONF)
9db4a9c7
JB
2941#define PIPEDSL(pipe) _PIPE(pipe, _PIPEADSL, _PIPEBDSL)
2942#define PIPEFRAME(pipe) _PIPE(pipe, _PIPEAFRAMEHIGH, _PIPEBFRAMEHIGH)
2943#define PIPEFRAMEPIXEL(pipe) _PIPE(pipe, _PIPEAFRAMEPIXEL, _PIPEBFRAMEPIXEL)
2944#define PIPESTAT(pipe) _PIPE(pipe, _PIPEASTAT, _PIPEBSTAT)
5eddb70b 2945
b41fbda1 2946#define VLV_DPFLIPSTAT (VLV_DISPLAY_BASE + 0x70028)
7983117f 2947#define PIPEB_LINE_COMPARE_INT_EN (1<<29)
c46ce4d7
JB
2948#define PIPEB_HLINE_INT_EN (1<<28)
2949#define PIPEB_VBLANK_INT_EN (1<<27)
2950#define SPRITED_FLIPDONE_INT_EN (1<<26)
2951#define SPRITEC_FLIPDONE_INT_EN (1<<25)
2952#define PLANEB_FLIPDONE_INT_EN (1<<24)
7983117f 2953#define PIPEA_LINE_COMPARE_INT_EN (1<<21)
c46ce4d7
JB
2954#define PIPEA_HLINE_INT_EN (1<<20)
2955#define PIPEA_VBLANK_INT_EN (1<<19)
2956#define SPRITEB_FLIPDONE_INT_EN (1<<18)
2957#define SPRITEA_FLIPDONE_INT_EN (1<<17)
2958#define PLANEA_FLIPDONE_INT_EN (1<<16)
2959
b41fbda1 2960#define DPINVGTT (VLV_DISPLAY_BASE + 0x7002c) /* VLV only */
c46ce4d7
JB
2961#define CURSORB_INVALID_GTT_INT_EN (1<<23)
2962#define CURSORA_INVALID_GTT_INT_EN (1<<22)
2963#define SPRITED_INVALID_GTT_INT_EN (1<<21)
2964#define SPRITEC_INVALID_GTT_INT_EN (1<<20)
2965#define PLANEB_INVALID_GTT_INT_EN (1<<19)
2966#define SPRITEB_INVALID_GTT_INT_EN (1<<18)
2967#define SPRITEA_INVALID_GTT_INT_EN (1<<17)
2968#define PLANEA_INVALID_GTT_INT_EN (1<<16)
2969#define DPINVGTT_EN_MASK 0xff0000
2970#define CURSORB_INVALID_GTT_STATUS (1<<7)
2971#define CURSORA_INVALID_GTT_STATUS (1<<6)
2972#define SPRITED_INVALID_GTT_STATUS (1<<5)
2973#define SPRITEC_INVALID_GTT_STATUS (1<<4)
2974#define PLANEB_INVALID_GTT_STATUS (1<<3)
2975#define SPRITEB_INVALID_GTT_STATUS (1<<2)
2976#define SPRITEA_INVALID_GTT_STATUS (1<<1)
2977#define PLANEA_INVALID_GTT_STATUS (1<<0)
2978#define DPINVGTT_STATUS_MASK 0xff
2979
585fb111
JB
2980#define DSPARB 0x70030
2981#define DSPARB_CSTART_MASK (0x7f << 7)
2982#define DSPARB_CSTART_SHIFT 7
2983#define DSPARB_BSTART_MASK (0x7f)
2984#define DSPARB_BSTART_SHIFT 0
7662c8bd
SL
2985#define DSPARB_BEND_SHIFT 9 /* on 855 */
2986#define DSPARB_AEND_SHIFT 0
2987
90f7da3f 2988#define DSPFW1 (dev_priv->info->display_mmio_offset + 0x70034)
0e442c60 2989#define DSPFW_SR_SHIFT 23
0206e353 2990#define DSPFW_SR_MASK (0x1ff<<23)
0e442c60 2991#define DSPFW_CURSORB_SHIFT 16
d4294342 2992#define DSPFW_CURSORB_MASK (0x3f<<16)
0e442c60 2993#define DSPFW_PLANEB_SHIFT 8
d4294342
ZY
2994#define DSPFW_PLANEB_MASK (0x7f<<8)
2995#define DSPFW_PLANEA_MASK (0x7f)
90f7da3f 2996#define DSPFW2 (dev_priv->info->display_mmio_offset + 0x70038)
0e442c60 2997#define DSPFW_CURSORA_MASK 0x00003f00
21bd770b 2998#define DSPFW_CURSORA_SHIFT 8
d4294342 2999#define DSPFW_PLANEC_MASK (0x7f)
90f7da3f 3000#define DSPFW3 (dev_priv->info->display_mmio_offset + 0x7003c)
0e442c60
JB
3001#define DSPFW_HPLL_SR_EN (1<<31)
3002#define DSPFW_CURSOR_SR_SHIFT 24
f2b115e6 3003#define PINEVIEW_SELF_REFRESH_EN (1<<30)
d4294342
ZY
3004#define DSPFW_CURSOR_SR_MASK (0x3f<<24)
3005#define DSPFW_HPLL_CURSOR_SHIFT 16
3006#define DSPFW_HPLL_CURSOR_MASK (0x3f<<16)
3007#define DSPFW_HPLL_SR_MASK (0x1ff)
12569ad6
JB
3008#define DSPFW4 (dev_priv->info->display_mmio_offset + 0x70070)
3009#define DSPFW7 (dev_priv->info->display_mmio_offset + 0x7007c)
7662c8bd 3010
12a3c055
GB
3011/* drain latency register values*/
3012#define DRAIN_LATENCY_PRECISION_32 32
3013#define DRAIN_LATENCY_PRECISION_16 16
8f6d8ee9 3014#define VLV_DDL1 (VLV_DISPLAY_BASE + 0x70050)
12a3c055
GB
3015#define DDL_CURSORA_PRECISION_32 (1<<31)
3016#define DDL_CURSORA_PRECISION_16 (0<<31)
3017#define DDL_CURSORA_SHIFT 24
3018#define DDL_PLANEA_PRECISION_32 (1<<7)
3019#define DDL_PLANEA_PRECISION_16 (0<<7)
8f6d8ee9 3020#define VLV_DDL2 (VLV_DISPLAY_BASE + 0x70054)
12a3c055
GB
3021#define DDL_CURSORB_PRECISION_32 (1<<31)
3022#define DDL_CURSORB_PRECISION_16 (0<<31)
3023#define DDL_CURSORB_SHIFT 24
3024#define DDL_PLANEB_PRECISION_32 (1<<7)
3025#define DDL_PLANEB_PRECISION_16 (0<<7)
3026
7662c8bd 3027/* FIFO watermark sizes etc */
0e442c60 3028#define G4X_FIFO_LINE_SIZE 64
7662c8bd
SL
3029#define I915_FIFO_LINE_SIZE 64
3030#define I830_FIFO_LINE_SIZE 32
0e442c60 3031
ceb04246 3032#define VALLEYVIEW_FIFO_SIZE 255
0e442c60 3033#define G4X_FIFO_SIZE 127
1b07e04e
ZY
3034#define I965_FIFO_SIZE 512
3035#define I945_FIFO_SIZE 127
7662c8bd 3036#define I915_FIFO_SIZE 95
dff33cfc 3037#define I855GM_FIFO_SIZE 127 /* In cachelines */
7662c8bd 3038#define I830_FIFO_SIZE 95
0e442c60 3039
ceb04246 3040#define VALLEYVIEW_MAX_WM 0xff
0e442c60 3041#define G4X_MAX_WM 0x3f
7662c8bd
SL
3042#define I915_MAX_WM 0x3f
3043
f2b115e6
AJ
3044#define PINEVIEW_DISPLAY_FIFO 512 /* in 64byte unit */
3045#define PINEVIEW_FIFO_LINE_SIZE 64
3046#define PINEVIEW_MAX_WM 0x1ff
3047#define PINEVIEW_DFT_WM 0x3f
3048#define PINEVIEW_DFT_HPLLOFF_WM 0
3049#define PINEVIEW_GUARD_WM 10
3050#define PINEVIEW_CURSOR_FIFO 64
3051#define PINEVIEW_CURSOR_MAX_WM 0x3f
3052#define PINEVIEW_CURSOR_DFT_WM 0
3053#define PINEVIEW_CURSOR_GUARD_WM 5
7662c8bd 3054
ceb04246 3055#define VALLEYVIEW_CURSOR_MAX_WM 64
4fe5e611
ZY
3056#define I965_CURSOR_FIFO 64
3057#define I965_CURSOR_MAX_WM 32
3058#define I965_CURSOR_DFT_WM 8
7f8a8569
ZW
3059
3060/* define the Watermark register on Ironlake */
3061#define WM0_PIPEA_ILK 0x45100
3062#define WM0_PIPE_PLANE_MASK (0x7f<<16)
3063#define WM0_PIPE_PLANE_SHIFT 16
3064#define WM0_PIPE_SPRITE_MASK (0x3f<<8)
3065#define WM0_PIPE_SPRITE_SHIFT 8
3066#define WM0_PIPE_CURSOR_MASK (0x1f)
3067
3068#define WM0_PIPEB_ILK 0x45104
d6c892df 3069#define WM0_PIPEC_IVB 0x45200
7f8a8569
ZW
3070#define WM1_LP_ILK 0x45108
3071#define WM1_LP_SR_EN (1<<31)
3072#define WM1_LP_LATENCY_SHIFT 24
3073#define WM1_LP_LATENCY_MASK (0x7f<<24)
4ed765f9
CW
3074#define WM1_LP_FBC_MASK (0xf<<20)
3075#define WM1_LP_FBC_SHIFT 20
7f8a8569
ZW
3076#define WM1_LP_SR_MASK (0x1ff<<8)
3077#define WM1_LP_SR_SHIFT 8
3078#define WM1_LP_CURSOR_MASK (0x3f)
dd8849c8
JB
3079#define WM2_LP_ILK 0x4510c
3080#define WM2_LP_EN (1<<31)
3081#define WM3_LP_ILK 0x45110
3082#define WM3_LP_EN (1<<31)
3083#define WM1S_LP_ILK 0x45120
b840d907
JB
3084#define WM2S_LP_IVB 0x45124
3085#define WM3S_LP_IVB 0x45128
dd8849c8 3086#define WM1S_LP_EN (1<<31)
7f8a8569
ZW
3087
3088/* Memory latency timer register */
3089#define MLTR_ILK 0x11222
b79d4990
JB
3090#define MLTR_WM1_SHIFT 0
3091#define MLTR_WM2_SHIFT 8
7f8a8569
ZW
3092/* the unit of memory self-refresh latency time is 0.5us */
3093#define ILK_SRLT_MASK 0x3f
b79d4990
JB
3094#define ILK_LATENCY(shift) (I915_READ(MLTR_ILK) >> (shift) & ILK_SRLT_MASK)
3095#define ILK_READ_WM1_LATENCY() ILK_LATENCY(MLTR_WM1_SHIFT)
3096#define ILK_READ_WM2_LATENCY() ILK_LATENCY(MLTR_WM2_SHIFT)
7f8a8569
ZW
3097
3098/* define the fifo size on Ironlake */
3099#define ILK_DISPLAY_FIFO 128
3100#define ILK_DISPLAY_MAXWM 64
3101#define ILK_DISPLAY_DFTWM 8
c936f44d
ZY
3102#define ILK_CURSOR_FIFO 32
3103#define ILK_CURSOR_MAXWM 16
3104#define ILK_CURSOR_DFTWM 8
7f8a8569
ZW
3105
3106#define ILK_DISPLAY_SR_FIFO 512
3107#define ILK_DISPLAY_MAX_SRWM 0x1ff
3108#define ILK_DISPLAY_DFT_SRWM 0x3f
3109#define ILK_CURSOR_SR_FIFO 64
3110#define ILK_CURSOR_MAX_SRWM 0x3f
3111#define ILK_CURSOR_DFT_SRWM 8
3112
3113#define ILK_FIFO_LINE_SIZE 64
3114
1398261a
YL
3115/* define the WM info on Sandybridge */
3116#define SNB_DISPLAY_FIFO 128
3117#define SNB_DISPLAY_MAXWM 0x7f /* bit 16:22 */
3118#define SNB_DISPLAY_DFTWM 8
3119#define SNB_CURSOR_FIFO 32
3120#define SNB_CURSOR_MAXWM 0x1f /* bit 4:0 */
3121#define SNB_CURSOR_DFTWM 8
3122
3123#define SNB_DISPLAY_SR_FIFO 512
3124#define SNB_DISPLAY_MAX_SRWM 0x1ff /* bit 16:8 */
3125#define SNB_DISPLAY_DFT_SRWM 0x3f
3126#define SNB_CURSOR_SR_FIFO 64
3127#define SNB_CURSOR_MAX_SRWM 0x3f /* bit 5:0 */
3128#define SNB_CURSOR_DFT_SRWM 8
3129
3130#define SNB_FBC_MAX_SRWM 0xf /* bit 23:20 */
3131
3132#define SNB_FIFO_LINE_SIZE 64
3133
3134
3135/* the address where we get all kinds of latency value */
3136#define SSKPD 0x5d10
3137#define SSKPD_WM_MASK 0x3f
3138#define SSKPD_WM0_SHIFT 0
3139#define SSKPD_WM1_SHIFT 8
3140#define SSKPD_WM2_SHIFT 16
3141#define SSKPD_WM3_SHIFT 24
3142
3143#define SNB_LATENCY(shift) (I915_READ(MCHBAR_MIRROR_BASE_SNB + SSKPD) >> (shift) & SSKPD_WM_MASK)
3144#define SNB_READ_WM0_LATENCY() SNB_LATENCY(SSKPD_WM0_SHIFT)
3145#define SNB_READ_WM1_LATENCY() SNB_LATENCY(SSKPD_WM1_SHIFT)
3146#define SNB_READ_WM2_LATENCY() SNB_LATENCY(SSKPD_WM2_SHIFT)
3147#define SNB_READ_WM3_LATENCY() SNB_LATENCY(SSKPD_WM3_SHIFT)
3148
585fb111
JB
3149/*
3150 * The two pipe frame counter registers are not synchronized, so
3151 * reading a stable value is somewhat tricky. The following code
3152 * should work:
3153 *
3154 * do {
3155 * high1 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
3156 * PIPE_FRAME_HIGH_SHIFT;
3157 * low1 = ((INREG(PIPEAFRAMEPIXEL) & PIPE_FRAME_LOW_MASK) >>
3158 * PIPE_FRAME_LOW_SHIFT);
3159 * high2 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
3160 * PIPE_FRAME_HIGH_SHIFT);
3161 * } while (high1 != high2);
3162 * frame = (high1 << 8) | low1;
3163 */
0c3870ee 3164#define _PIPEAFRAMEHIGH (dev_priv->info->display_mmio_offset + 0x70040)
585fb111
JB
3165#define PIPE_FRAME_HIGH_MASK 0x0000ffff
3166#define PIPE_FRAME_HIGH_SHIFT 0
0c3870ee 3167#define _PIPEAFRAMEPIXEL (dev_priv->info->display_mmio_offset + 0x70044)
585fb111
JB
3168#define PIPE_FRAME_LOW_MASK 0xff000000
3169#define PIPE_FRAME_LOW_SHIFT 24
3170#define PIPE_PIXEL_MASK 0x00ffffff
3171#define PIPE_PIXEL_SHIFT 0
9880b7a5 3172/* GM45+ just has to be different */
9db4a9c7
JB
3173#define _PIPEA_FRMCOUNT_GM45 0x70040
3174#define _PIPEA_FLIPCOUNT_GM45 0x70044
3175#define PIPE_FRMCOUNT_GM45(pipe) _PIPE(pipe, _PIPEA_FRMCOUNT_GM45, _PIPEB_FRMCOUNT_GM45)
585fb111
JB
3176
3177/* Cursor A & B regs */
9dc33f31 3178#define _CURACNTR (dev_priv->info->display_mmio_offset + 0x70080)
14b60391
JB
3179/* Old style CUR*CNTR flags (desktop 8xx) */
3180#define CURSOR_ENABLE 0x80000000
3181#define CURSOR_GAMMA_ENABLE 0x40000000
3182#define CURSOR_STRIDE_MASK 0x30000000
86d3efce 3183#define CURSOR_PIPE_CSC_ENABLE (1<<24)
14b60391
JB
3184#define CURSOR_FORMAT_SHIFT 24
3185#define CURSOR_FORMAT_MASK (0x07 << CURSOR_FORMAT_SHIFT)
3186#define CURSOR_FORMAT_2C (0x00 << CURSOR_FORMAT_SHIFT)
3187#define CURSOR_FORMAT_3C (0x01 << CURSOR_FORMAT_SHIFT)
3188#define CURSOR_FORMAT_4C (0x02 << CURSOR_FORMAT_SHIFT)
3189#define CURSOR_FORMAT_ARGB (0x04 << CURSOR_FORMAT_SHIFT)
3190#define CURSOR_FORMAT_XRGB (0x05 << CURSOR_FORMAT_SHIFT)
3191/* New style CUR*CNTR flags */
3192#define CURSOR_MODE 0x27
585fb111
JB
3193#define CURSOR_MODE_DISABLE 0x00
3194#define CURSOR_MODE_64_32B_AX 0x07
3195#define CURSOR_MODE_64_ARGB_AX ((1 << 5) | CURSOR_MODE_64_32B_AX)
14b60391
JB
3196#define MCURSOR_PIPE_SELECT (1 << 28)
3197#define MCURSOR_PIPE_A 0x00
3198#define MCURSOR_PIPE_B (1 << 28)
585fb111 3199#define MCURSOR_GAMMA_ENABLE (1 << 26)
9dc33f31
VS
3200#define _CURABASE (dev_priv->info->display_mmio_offset + 0x70084)
3201#define _CURAPOS (dev_priv->info->display_mmio_offset + 0x70088)
585fb111
JB
3202#define CURSOR_POS_MASK 0x007FF
3203#define CURSOR_POS_SIGN 0x8000
3204#define CURSOR_X_SHIFT 0
3205#define CURSOR_Y_SHIFT 16
14b60391 3206#define CURSIZE 0x700a0
9dc33f31
VS
3207#define _CURBCNTR (dev_priv->info->display_mmio_offset + 0x700c0)
3208#define _CURBBASE (dev_priv->info->display_mmio_offset + 0x700c4)
3209#define _CURBPOS (dev_priv->info->display_mmio_offset + 0x700c8)
585fb111 3210
65a21cd6
JB
3211#define _CURBCNTR_IVB 0x71080
3212#define _CURBBASE_IVB 0x71084
3213#define _CURBPOS_IVB 0x71088
3214
9db4a9c7
JB
3215#define CURCNTR(pipe) _PIPE(pipe, _CURACNTR, _CURBCNTR)
3216#define CURBASE(pipe) _PIPE(pipe, _CURABASE, _CURBBASE)
3217#define CURPOS(pipe) _PIPE(pipe, _CURAPOS, _CURBPOS)
c4a1d9e4 3218
65a21cd6
JB
3219#define CURCNTR_IVB(pipe) _PIPE(pipe, _CURACNTR, _CURBCNTR_IVB)
3220#define CURBASE_IVB(pipe) _PIPE(pipe, _CURABASE, _CURBBASE_IVB)
3221#define CURPOS_IVB(pipe) _PIPE(pipe, _CURAPOS, _CURBPOS_IVB)
3222
585fb111 3223/* Display A control */
895abf0c 3224#define _DSPACNTR (dev_priv->info->display_mmio_offset + 0x70180)
585fb111
JB
3225#define DISPLAY_PLANE_ENABLE (1<<31)
3226#define DISPLAY_PLANE_DISABLE 0
3227#define DISPPLANE_GAMMA_ENABLE (1<<30)
3228#define DISPPLANE_GAMMA_DISABLE 0
3229#define DISPPLANE_PIXFORMAT_MASK (0xf<<26)
57779d06 3230#define DISPPLANE_YUV422 (0x0<<26)
585fb111 3231#define DISPPLANE_8BPP (0x2<<26)
57779d06
VS
3232#define DISPPLANE_BGRA555 (0x3<<26)
3233#define DISPPLANE_BGRX555 (0x4<<26)
3234#define DISPPLANE_BGRX565 (0x5<<26)
3235#define DISPPLANE_BGRX888 (0x6<<26)
3236#define DISPPLANE_BGRA888 (0x7<<26)
3237#define DISPPLANE_RGBX101010 (0x8<<26)
3238#define DISPPLANE_RGBA101010 (0x9<<26)
3239#define DISPPLANE_BGRX101010 (0xa<<26)
3240#define DISPPLANE_RGBX161616 (0xc<<26)
3241#define DISPPLANE_RGBX888 (0xe<<26)
3242#define DISPPLANE_RGBA888 (0xf<<26)
585fb111
JB
3243#define DISPPLANE_STEREO_ENABLE (1<<25)
3244#define DISPPLANE_STEREO_DISABLE 0
86d3efce 3245#define DISPPLANE_PIPE_CSC_ENABLE (1<<24)
b24e7179
JB
3246#define DISPPLANE_SEL_PIPE_SHIFT 24
3247#define DISPPLANE_SEL_PIPE_MASK (3<<DISPPLANE_SEL_PIPE_SHIFT)
585fb111 3248#define DISPPLANE_SEL_PIPE_A 0
b24e7179 3249#define DISPPLANE_SEL_PIPE_B (1<<DISPPLANE_SEL_PIPE_SHIFT)
585fb111
JB
3250#define DISPPLANE_SRC_KEY_ENABLE (1<<22)
3251#define DISPPLANE_SRC_KEY_DISABLE 0
3252#define DISPPLANE_LINE_DOUBLE (1<<20)
3253#define DISPPLANE_NO_LINE_DOUBLE 0
3254#define DISPPLANE_STEREO_POLARITY_FIRST 0
3255#define DISPPLANE_STEREO_POLARITY_SECOND (1<<18)
f2b115e6 3256#define DISPPLANE_TRICKLE_FEED_DISABLE (1<<14) /* Ironlake */
f544847f 3257#define DISPPLANE_TILED (1<<10)
895abf0c
VS
3258#define _DSPAADDR (dev_priv->info->display_mmio_offset + 0x70184)
3259#define _DSPASTRIDE (dev_priv->info->display_mmio_offset + 0x70188)
3260#define _DSPAPOS (dev_priv->info->display_mmio_offset + 0x7018C) /* reserved */
3261#define _DSPASIZE (dev_priv->info->display_mmio_offset + 0x70190)
3262#define _DSPASURF (dev_priv->info->display_mmio_offset + 0x7019C) /* 965+ only */
3263#define _DSPATILEOFF (dev_priv->info->display_mmio_offset + 0x701A4) /* 965+ only */
3264#define _DSPAOFFSET (dev_priv->info->display_mmio_offset + 0x701A4) /* HSW */
3265#define _DSPASURFLIVE (dev_priv->info->display_mmio_offset + 0x701AC)
9db4a9c7
JB
3266
3267#define DSPCNTR(plane) _PIPE(plane, _DSPACNTR, _DSPBCNTR)
3268#define DSPADDR(plane) _PIPE(plane, _DSPAADDR, _DSPBADDR)
3269#define DSPSTRIDE(plane) _PIPE(plane, _DSPASTRIDE, _DSPBSTRIDE)
3270#define DSPPOS(plane) _PIPE(plane, _DSPAPOS, _DSPBPOS)
3271#define DSPSIZE(plane) _PIPE(plane, _DSPASIZE, _DSPBSIZE)
3272#define DSPSURF(plane) _PIPE(plane, _DSPASURF, _DSPBSURF)
3273#define DSPTILEOFF(plane) _PIPE(plane, _DSPATILEOFF, _DSPBTILEOFF)
e506a0c6 3274#define DSPLINOFF(plane) DSPADDR(plane)
bc1c91eb 3275#define DSPOFFSET(plane) _PIPE(plane, _DSPAOFFSET, _DSPBOFFSET)
32ae46bf 3276#define DSPSURFLIVE(plane) _PIPE(plane, _DSPASURFLIVE, _DSPBSURFLIVE)
5eddb70b 3277
446f2545
AR
3278/* Display/Sprite base address macros */
3279#define DISP_BASEADDR_MASK (0xfffff000)
3280#define I915_LO_DISPBASE(val) (val & ~DISP_BASEADDR_MASK)
3281#define I915_HI_DISPBASE(val) (val & DISP_BASEADDR_MASK)
3282#define I915_MODIFY_DISPBASE(reg, gfx_addr) \
c2c75131 3283 (I915_WRITE((reg), (gfx_addr) | I915_LO_DISPBASE(I915_READ(reg))))
446f2545 3284
585fb111 3285/* VBIOS flags */
80a75f7c
VS
3286#define SWF00 (dev_priv->info->display_mmio_offset + 0x71410)
3287#define SWF01 (dev_priv->info->display_mmio_offset + 0x71414)
3288#define SWF02 (dev_priv->info->display_mmio_offset + 0x71418)
3289#define SWF03 (dev_priv->info->display_mmio_offset + 0x7141c)
3290#define SWF04 (dev_priv->info->display_mmio_offset + 0x71420)
3291#define SWF05 (dev_priv->info->display_mmio_offset + 0x71424)
3292#define SWF06 (dev_priv->info->display_mmio_offset + 0x71428)
3293#define SWF10 (dev_priv->info->display_mmio_offset + 0x70410)
3294#define SWF11 (dev_priv->info->display_mmio_offset + 0x70414)
3295#define SWF14 (dev_priv->info->display_mmio_offset + 0x71420)
3296#define SWF30 (dev_priv->info->display_mmio_offset + 0x72414)
3297#define SWF31 (dev_priv->info->display_mmio_offset + 0x72418)
3298#define SWF32 (dev_priv->info->display_mmio_offset + 0x7241c)
585fb111
JB
3299
3300/* Pipe B */
0c3870ee
VS
3301#define _PIPEBDSL (dev_priv->info->display_mmio_offset + 0x71000)
3302#define _PIPEBCONF (dev_priv->info->display_mmio_offset + 0x71008)
3303#define _PIPEBSTAT (dev_priv->info->display_mmio_offset + 0x71024)
3304#define _PIPEBFRAMEHIGH (dev_priv->info->display_mmio_offset + 0x71040)
3305#define _PIPEBFRAMEPIXEL (dev_priv->info->display_mmio_offset + 0x71044)
9db4a9c7
JB
3306#define _PIPEB_FRMCOUNT_GM45 0x71040
3307#define _PIPEB_FLIPCOUNT_GM45 0x71044
9880b7a5 3308
585fb111
JB
3309
3310/* Display B control */
895abf0c 3311#define _DSPBCNTR (dev_priv->info->display_mmio_offset + 0x71180)
585fb111
JB
3312#define DISPPLANE_ALPHA_TRANS_ENABLE (1<<15)
3313#define DISPPLANE_ALPHA_TRANS_DISABLE 0
3314#define DISPPLANE_SPRITE_ABOVE_DISPLAY 0
3315#define DISPPLANE_SPRITE_ABOVE_OVERLAY (1)
895abf0c
VS
3316#define _DSPBADDR (dev_priv->info->display_mmio_offset + 0x71184)
3317#define _DSPBSTRIDE (dev_priv->info->display_mmio_offset + 0x71188)
3318#define _DSPBPOS (dev_priv->info->display_mmio_offset + 0x7118C)
3319#define _DSPBSIZE (dev_priv->info->display_mmio_offset + 0x71190)
3320#define _DSPBSURF (dev_priv->info->display_mmio_offset + 0x7119C)
3321#define _DSPBTILEOFF (dev_priv->info->display_mmio_offset + 0x711A4)
3322#define _DSPBOFFSET (dev_priv->info->display_mmio_offset + 0x711A4)
3323#define _DSPBSURFLIVE (dev_priv->info->display_mmio_offset + 0x711AC)
585fb111 3324
b840d907
JB
3325/* Sprite A control */
3326#define _DVSACNTR 0x72180
3327#define DVS_ENABLE (1<<31)
3328#define DVS_GAMMA_ENABLE (1<<30)
3329#define DVS_PIXFORMAT_MASK (3<<25)
3330#define DVS_FORMAT_YUV422 (0<<25)
3331#define DVS_FORMAT_RGBX101010 (1<<25)
3332#define DVS_FORMAT_RGBX888 (2<<25)
3333#define DVS_FORMAT_RGBX161616 (3<<25)
86d3efce 3334#define DVS_PIPE_CSC_ENABLE (1<<24)
b840d907 3335#define DVS_SOURCE_KEY (1<<22)
ab2f9df1 3336#define DVS_RGB_ORDER_XBGR (1<<20)
b840d907
JB
3337#define DVS_YUV_BYTE_ORDER_MASK (3<<16)
3338#define DVS_YUV_ORDER_YUYV (0<<16)
3339#define DVS_YUV_ORDER_UYVY (1<<16)
3340#define DVS_YUV_ORDER_YVYU (2<<16)
3341#define DVS_YUV_ORDER_VYUY (3<<16)
3342#define DVS_DEST_KEY (1<<2)
3343#define DVS_TRICKLE_FEED_DISABLE (1<<14)
3344#define DVS_TILED (1<<10)
3345#define _DVSALINOFF 0x72184
3346#define _DVSASTRIDE 0x72188
3347#define _DVSAPOS 0x7218c
3348#define _DVSASIZE 0x72190
3349#define _DVSAKEYVAL 0x72194
3350#define _DVSAKEYMSK 0x72198
3351#define _DVSASURF 0x7219c
3352#define _DVSAKEYMAXVAL 0x721a0
3353#define _DVSATILEOFF 0x721a4
3354#define _DVSASURFLIVE 0x721ac
3355#define _DVSASCALE 0x72204
3356#define DVS_SCALE_ENABLE (1<<31)
3357#define DVS_FILTER_MASK (3<<29)
3358#define DVS_FILTER_MEDIUM (0<<29)
3359#define DVS_FILTER_ENHANCING (1<<29)
3360#define DVS_FILTER_SOFTENING (2<<29)
3361#define DVS_VERTICAL_OFFSET_HALF (1<<28) /* must be enabled below */
3362#define DVS_VERTICAL_OFFSET_ENABLE (1<<27)
3363#define _DVSAGAMC 0x72300
3364
3365#define _DVSBCNTR 0x73180
3366#define _DVSBLINOFF 0x73184
3367#define _DVSBSTRIDE 0x73188
3368#define _DVSBPOS 0x7318c
3369#define _DVSBSIZE 0x73190
3370#define _DVSBKEYVAL 0x73194
3371#define _DVSBKEYMSK 0x73198
3372#define _DVSBSURF 0x7319c
3373#define _DVSBKEYMAXVAL 0x731a0
3374#define _DVSBTILEOFF 0x731a4
3375#define _DVSBSURFLIVE 0x731ac
3376#define _DVSBSCALE 0x73204
3377#define _DVSBGAMC 0x73300
3378
3379#define DVSCNTR(pipe) _PIPE(pipe, _DVSACNTR, _DVSBCNTR)
3380#define DVSLINOFF(pipe) _PIPE(pipe, _DVSALINOFF, _DVSBLINOFF)
3381#define DVSSTRIDE(pipe) _PIPE(pipe, _DVSASTRIDE, _DVSBSTRIDE)
3382#define DVSPOS(pipe) _PIPE(pipe, _DVSAPOS, _DVSBPOS)
3383#define DVSSURF(pipe) _PIPE(pipe, _DVSASURF, _DVSBSURF)
8ea30864 3384#define DVSKEYMAX(pipe) _PIPE(pipe, _DVSAKEYMAXVAL, _DVSBKEYMAXVAL)
b840d907
JB
3385#define DVSSIZE(pipe) _PIPE(pipe, _DVSASIZE, _DVSBSIZE)
3386#define DVSSCALE(pipe) _PIPE(pipe, _DVSASCALE, _DVSBSCALE)
3387#define DVSTILEOFF(pipe) _PIPE(pipe, _DVSATILEOFF, _DVSBTILEOFF)
8ea30864
JB
3388#define DVSKEYVAL(pipe) _PIPE(pipe, _DVSAKEYVAL, _DVSBKEYVAL)
3389#define DVSKEYMSK(pipe) _PIPE(pipe, _DVSAKEYMSK, _DVSBKEYMSK)
32ae46bf 3390#define DVSSURFLIVE(pipe) _PIPE(pipe, _DVSASURFLIVE, _DVSBSURFLIVE)
b840d907
JB
3391
3392#define _SPRA_CTL 0x70280
3393#define SPRITE_ENABLE (1<<31)
3394#define SPRITE_GAMMA_ENABLE (1<<30)
3395#define SPRITE_PIXFORMAT_MASK (7<<25)
3396#define SPRITE_FORMAT_YUV422 (0<<25)
3397#define SPRITE_FORMAT_RGBX101010 (1<<25)
3398#define SPRITE_FORMAT_RGBX888 (2<<25)
3399#define SPRITE_FORMAT_RGBX161616 (3<<25)
3400#define SPRITE_FORMAT_YUV444 (4<<25)
3401#define SPRITE_FORMAT_XR_BGR101010 (5<<25) /* Extended range */
86d3efce 3402#define SPRITE_PIPE_CSC_ENABLE (1<<24)
b840d907
JB
3403#define SPRITE_SOURCE_KEY (1<<22)
3404#define SPRITE_RGB_ORDER_RGBX (1<<20) /* only for 888 and 161616 */
3405#define SPRITE_YUV_TO_RGB_CSC_DISABLE (1<<19)
3406#define SPRITE_YUV_CSC_FORMAT_BT709 (1<<18) /* 0 is BT601 */
3407#define SPRITE_YUV_BYTE_ORDER_MASK (3<<16)
3408#define SPRITE_YUV_ORDER_YUYV (0<<16)
3409#define SPRITE_YUV_ORDER_UYVY (1<<16)
3410#define SPRITE_YUV_ORDER_YVYU (2<<16)
3411#define SPRITE_YUV_ORDER_VYUY (3<<16)
3412#define SPRITE_TRICKLE_FEED_DISABLE (1<<14)
3413#define SPRITE_INT_GAMMA_ENABLE (1<<13)
3414#define SPRITE_TILED (1<<10)
3415#define SPRITE_DEST_KEY (1<<2)
3416#define _SPRA_LINOFF 0x70284
3417#define _SPRA_STRIDE 0x70288
3418#define _SPRA_POS 0x7028c
3419#define _SPRA_SIZE 0x70290
3420#define _SPRA_KEYVAL 0x70294
3421#define _SPRA_KEYMSK 0x70298
3422#define _SPRA_SURF 0x7029c
3423#define _SPRA_KEYMAX 0x702a0
3424#define _SPRA_TILEOFF 0x702a4
c54173a8 3425#define _SPRA_OFFSET 0x702a4
32ae46bf 3426#define _SPRA_SURFLIVE 0x702ac
b840d907
JB
3427#define _SPRA_SCALE 0x70304
3428#define SPRITE_SCALE_ENABLE (1<<31)
3429#define SPRITE_FILTER_MASK (3<<29)
3430#define SPRITE_FILTER_MEDIUM (0<<29)
3431#define SPRITE_FILTER_ENHANCING (1<<29)
3432#define SPRITE_FILTER_SOFTENING (2<<29)
3433#define SPRITE_VERTICAL_OFFSET_HALF (1<<28) /* must be enabled below */
3434#define SPRITE_VERTICAL_OFFSET_ENABLE (1<<27)
3435#define _SPRA_GAMC 0x70400
3436
3437#define _SPRB_CTL 0x71280
3438#define _SPRB_LINOFF 0x71284
3439#define _SPRB_STRIDE 0x71288
3440#define _SPRB_POS 0x7128c
3441#define _SPRB_SIZE 0x71290
3442#define _SPRB_KEYVAL 0x71294
3443#define _SPRB_KEYMSK 0x71298
3444#define _SPRB_SURF 0x7129c
3445#define _SPRB_KEYMAX 0x712a0
3446#define _SPRB_TILEOFF 0x712a4
c54173a8 3447#define _SPRB_OFFSET 0x712a4
32ae46bf 3448#define _SPRB_SURFLIVE 0x712ac
b840d907
JB
3449#define _SPRB_SCALE 0x71304
3450#define _SPRB_GAMC 0x71400
3451
3452#define SPRCTL(pipe) _PIPE(pipe, _SPRA_CTL, _SPRB_CTL)
3453#define SPRLINOFF(pipe) _PIPE(pipe, _SPRA_LINOFF, _SPRB_LINOFF)
3454#define SPRSTRIDE(pipe) _PIPE(pipe, _SPRA_STRIDE, _SPRB_STRIDE)
3455#define SPRPOS(pipe) _PIPE(pipe, _SPRA_POS, _SPRB_POS)
3456#define SPRSIZE(pipe) _PIPE(pipe, _SPRA_SIZE, _SPRB_SIZE)
3457#define SPRKEYVAL(pipe) _PIPE(pipe, _SPRA_KEYVAL, _SPRB_KEYVAL)
3458#define SPRKEYMSK(pipe) _PIPE(pipe, _SPRA_KEYMSK, _SPRB_KEYMSK)
3459#define SPRSURF(pipe) _PIPE(pipe, _SPRA_SURF, _SPRB_SURF)
3460#define SPRKEYMAX(pipe) _PIPE(pipe, _SPRA_KEYMAX, _SPRB_KEYMAX)
3461#define SPRTILEOFF(pipe) _PIPE(pipe, _SPRA_TILEOFF, _SPRB_TILEOFF)
c54173a8 3462#define SPROFFSET(pipe) _PIPE(pipe, _SPRA_OFFSET, _SPRB_OFFSET)
b840d907
JB
3463#define SPRSCALE(pipe) _PIPE(pipe, _SPRA_SCALE, _SPRB_SCALE)
3464#define SPRGAMC(pipe) _PIPE(pipe, _SPRA_GAMC, _SPRB_GAMC)
32ae46bf 3465#define SPRSURFLIVE(pipe) _PIPE(pipe, _SPRA_SURFLIVE, _SPRB_SURFLIVE)
b840d907 3466
7f1f3851
JB
3467#define _SPACNTR 0x72180
3468#define SP_ENABLE (1<<31)
3469#define SP_GEAMMA_ENABLE (1<<30)
3470#define SP_PIXFORMAT_MASK (0xf<<26)
3471#define SP_FORMAT_YUV422 (0<<26)
3472#define SP_FORMAT_BGR565 (5<<26)
3473#define SP_FORMAT_BGRX8888 (6<<26)
3474#define SP_FORMAT_BGRA8888 (7<<26)
3475#define SP_FORMAT_RGBX1010102 (8<<26)
3476#define SP_FORMAT_RGBA1010102 (9<<26)
3477#define SP_FORMAT_RGBX8888 (0xe<<26)
3478#define SP_FORMAT_RGBA8888 (0xf<<26)
3479#define SP_SOURCE_KEY (1<<22)
3480#define SP_YUV_BYTE_ORDER_MASK (3<<16)
3481#define SP_YUV_ORDER_YUYV (0<<16)
3482#define SP_YUV_ORDER_UYVY (1<<16)
3483#define SP_YUV_ORDER_YVYU (2<<16)
3484#define SP_YUV_ORDER_VYUY (3<<16)
3485#define SP_TILED (1<<10)
3486#define _SPALINOFF 0x72184
3487#define _SPASTRIDE 0x72188
3488#define _SPAPOS 0x7218c
3489#define _SPASIZE 0x72190
3490#define _SPAKEYMINVAL 0x72194
3491#define _SPAKEYMSK 0x72198
3492#define _SPASURF 0x7219c
3493#define _SPAKEYMAXVAL 0x721a0
3494#define _SPATILEOFF 0x721a4
3495#define _SPACONSTALPHA 0x721a8
3496#define _SPAGAMC 0x721f4
3497
3498#define _SPBCNTR 0x72280
3499#define _SPBLINOFF 0x72284
3500#define _SPBSTRIDE 0x72288
3501#define _SPBPOS 0x7228c
3502#define _SPBSIZE 0x72290
3503#define _SPBKEYMINVAL 0x72294
3504#define _SPBKEYMSK 0x72298
3505#define _SPBSURF 0x7229c
3506#define _SPBKEYMAXVAL 0x722a0
3507#define _SPBTILEOFF 0x722a4
3508#define _SPBCONSTALPHA 0x722a8
3509#define _SPBGAMC 0x722f4
3510
3511#define SPCNTR(pipe, plane) _PIPE(pipe * 2 + plane, _SPACNTR, _SPBCNTR)
3512#define SPLINOFF(pipe, plane) _PIPE(pipe * 2 + plane, _SPALINOFF, _SPBLINOFF)
3513#define SPSTRIDE(pipe, plane) _PIPE(pipe * 2 + plane, _SPASTRIDE, _SPBSTRIDE)
3514#define SPPOS(pipe, plane) _PIPE(pipe * 2 + plane, _SPAPOS, _SPBPOS)
3515#define SPSIZE(pipe, plane) _PIPE(pipe * 2 + plane, _SPASIZE, _SPBSIZE)
3516#define SPKEYMINVAL(pipe, plane) _PIPE(pipe * 2 + plane, _SPAKEYMINVAL, _SPBKEYMINVAL)
3517#define SPKEYMSK(pipe, plane) _PIPE(pipe * 2 + plane, _SPAKEYMSK, _SPBKEYMSK)
3518#define SPSURF(pipe, plane) _PIPE(pipe * 2 + plane, _SPASURF, _SPBSURF)
3519#define SPKEYMAXVAL(pipe, plane) _PIPE(pipe * 2 + plane, _SPAKEYMAXVAL, _SPBKEYMAXVAL)
3520#define SPTILEOFF(pipe, plane) _PIPE(pipe * 2 + plane, _SPATILEOFF, _SPBTILEOFF)
3521#define SPCONSTALPHA(pipe, plane) _PIPE(pipe * 2 + plane, _SPACONSTALPHA, _SPBCONSTALPHA)
3522#define SPGAMC(pipe, plane) _PIPE(pipe * 2 + plane, _SPAGAMC, _SPBGAMC)
3523
585fb111
JB
3524/* VBIOS regs */
3525#define VGACNTRL 0x71400
3526# define VGA_DISP_DISABLE (1 << 31)
3527# define VGA_2X_MODE (1 << 30)
3528# define VGA_PIPE_B_SELECT (1 << 29)
3529
766aa1c4
VS
3530#define VLV_VGACNTRL (VLV_DISPLAY_BASE + 0x71400)
3531
f2b115e6 3532/* Ironlake */
b9055052
ZW
3533
3534#define CPU_VGACNTRL 0x41000
3535
3536#define DIGITAL_PORT_HOTPLUG_CNTRL 0x44030
3537#define DIGITAL_PORTA_HOTPLUG_ENABLE (1 << 4)
3538#define DIGITAL_PORTA_SHORT_PULSE_2MS (0 << 2)
3539#define DIGITAL_PORTA_SHORT_PULSE_4_5MS (1 << 2)
3540#define DIGITAL_PORTA_SHORT_PULSE_6MS (2 << 2)
3541#define DIGITAL_PORTA_SHORT_PULSE_100MS (3 << 2)
3542#define DIGITAL_PORTA_NO_DETECT (0 << 0)
3543#define DIGITAL_PORTA_LONG_PULSE_DETECT_MASK (1 << 1)
3544#define DIGITAL_PORTA_SHORT_PULSE_DETECT_MASK (1 << 0)
3545
3546/* refresh rate hardware control */
3547#define RR_HW_CTL 0x45300
3548#define RR_HW_LOW_POWER_FRAMES_MASK 0xff
3549#define RR_HW_HIGH_POWER_FRAMES_MASK 0xff00
3550
3551#define FDI_PLL_BIOS_0 0x46000
021357ac 3552#define FDI_PLL_FB_CLOCK_MASK 0xff
b9055052
ZW
3553#define FDI_PLL_BIOS_1 0x46004
3554#define FDI_PLL_BIOS_2 0x46008
3555#define DISPLAY_PORT_PLL_BIOS_0 0x4600c
3556#define DISPLAY_PORT_PLL_BIOS_1 0x46010
3557#define DISPLAY_PORT_PLL_BIOS_2 0x46014
3558
8956c8bb
EA
3559#define PCH_3DCGDIS0 0x46020
3560# define MARIUNIT_CLOCK_GATE_DISABLE (1 << 18)
3561# define SVSMUNIT_CLOCK_GATE_DISABLE (1 << 1)
3562
06f37751
EA
3563#define PCH_3DCGDIS1 0x46024
3564# define VFMUNIT_CLOCK_GATE_DISABLE (1 << 11)
3565
b9055052
ZW
3566#define FDI_PLL_FREQ_CTL 0x46030
3567#define FDI_PLL_FREQ_CHANGE_REQUEST (1<<24)
3568#define FDI_PLL_FREQ_LOCK_LIMIT_MASK 0xfff00
3569#define FDI_PLL_FREQ_DISABLE_COUNT_LIMIT_MASK 0xff
3570
3571
aab17139 3572#define _PIPEA_DATA_M1 (dev_priv->info->display_mmio_offset + 0x60030)
5eddb70b 3573#define PIPE_DATA_M1_OFFSET 0
aab17139 3574#define _PIPEA_DATA_N1 (dev_priv->info->display_mmio_offset + 0x60034)
5eddb70b 3575#define PIPE_DATA_N1_OFFSET 0
b9055052 3576
aab17139 3577#define _PIPEA_DATA_M2 (dev_priv->info->display_mmio_offset + 0x60038)
5eddb70b 3578#define PIPE_DATA_M2_OFFSET 0
aab17139 3579#define _PIPEA_DATA_N2 (dev_priv->info->display_mmio_offset + 0x6003c)
5eddb70b 3580#define PIPE_DATA_N2_OFFSET 0
b9055052 3581
aab17139 3582#define _PIPEA_LINK_M1 (dev_priv->info->display_mmio_offset + 0x60040)
5eddb70b 3583#define PIPE_LINK_M1_OFFSET 0
aab17139 3584#define _PIPEA_LINK_N1 (dev_priv->info->display_mmio_offset + 0x60044)
5eddb70b 3585#define PIPE_LINK_N1_OFFSET 0
b9055052 3586
aab17139 3587#define _PIPEA_LINK_M2 (dev_priv->info->display_mmio_offset + 0x60048)
5eddb70b 3588#define PIPE_LINK_M2_OFFSET 0
aab17139 3589#define _PIPEA_LINK_N2 (dev_priv->info->display_mmio_offset + 0x6004c)
5eddb70b 3590#define PIPE_LINK_N2_OFFSET 0
b9055052
ZW
3591
3592/* PIPEB timing regs are same start from 0x61000 */
3593
aab17139
VS
3594#define _PIPEB_DATA_M1 (dev_priv->info->display_mmio_offset + 0x61030)
3595#define _PIPEB_DATA_N1 (dev_priv->info->display_mmio_offset + 0x61034)
b9055052 3596
aab17139
VS
3597#define _PIPEB_DATA_M2 (dev_priv->info->display_mmio_offset + 0x61038)
3598#define _PIPEB_DATA_N2 (dev_priv->info->display_mmio_offset + 0x6103c)
b9055052 3599
aab17139
VS
3600#define _PIPEB_LINK_M1 (dev_priv->info->display_mmio_offset + 0x61040)
3601#define _PIPEB_LINK_N1 (dev_priv->info->display_mmio_offset + 0x61044)
b9055052 3602
aab17139
VS
3603#define _PIPEB_LINK_M2 (dev_priv->info->display_mmio_offset + 0x61048)
3604#define _PIPEB_LINK_N2 (dev_priv->info->display_mmio_offset + 0x6104c)
5eddb70b 3605
afe2fcf5
PZ
3606#define PIPE_DATA_M1(tran) _TRANSCODER(tran, _PIPEA_DATA_M1, _PIPEB_DATA_M1)
3607#define PIPE_DATA_N1(tran) _TRANSCODER(tran, _PIPEA_DATA_N1, _PIPEB_DATA_N1)
3608#define PIPE_DATA_M2(tran) _TRANSCODER(tran, _PIPEA_DATA_M2, _PIPEB_DATA_M2)
3609#define PIPE_DATA_N2(tran) _TRANSCODER(tran, _PIPEA_DATA_N2, _PIPEB_DATA_N2)
3610#define PIPE_LINK_M1(tran) _TRANSCODER(tran, _PIPEA_LINK_M1, _PIPEB_LINK_M1)
3611#define PIPE_LINK_N1(tran) _TRANSCODER(tran, _PIPEA_LINK_N1, _PIPEB_LINK_N1)
3612#define PIPE_LINK_M2(tran) _TRANSCODER(tran, _PIPEA_LINK_M2, _PIPEB_LINK_M2)
3613#define PIPE_LINK_N2(tran) _TRANSCODER(tran, _PIPEA_LINK_N2, _PIPEB_LINK_N2)
b9055052
ZW
3614
3615/* CPU panel fitter */
9db4a9c7
JB
3616/* IVB+ has 3 fitters, 0 is 7x5 capable, the other two only 3x3 */
3617#define _PFA_CTL_1 0x68080
3618#define _PFB_CTL_1 0x68880
b9055052 3619#define PF_ENABLE (1<<31)
13888d78
PZ
3620#define PF_PIPE_SEL_MASK_IVB (3<<29)
3621#define PF_PIPE_SEL_IVB(pipe) ((pipe)<<29)
b1f60b70
ZW
3622#define PF_FILTER_MASK (3<<23)
3623#define PF_FILTER_PROGRAMMED (0<<23)
3624#define PF_FILTER_MED_3x3 (1<<23)
3625#define PF_FILTER_EDGE_ENHANCE (2<<23)
3626#define PF_FILTER_EDGE_SOFTEN (3<<23)
9db4a9c7
JB
3627#define _PFA_WIN_SZ 0x68074
3628#define _PFB_WIN_SZ 0x68874
3629#define _PFA_WIN_POS 0x68070
3630#define _PFB_WIN_POS 0x68870
3631#define _PFA_VSCALE 0x68084
3632#define _PFB_VSCALE 0x68884
3633#define _PFA_HSCALE 0x68090
3634#define _PFB_HSCALE 0x68890
3635
3636#define PF_CTL(pipe) _PIPE(pipe, _PFA_CTL_1, _PFB_CTL_1)
3637#define PF_WIN_SZ(pipe) _PIPE(pipe, _PFA_WIN_SZ, _PFB_WIN_SZ)
3638#define PF_WIN_POS(pipe) _PIPE(pipe, _PFA_WIN_POS, _PFB_WIN_POS)
3639#define PF_VSCALE(pipe) _PIPE(pipe, _PFA_VSCALE, _PFB_VSCALE)
3640#define PF_HSCALE(pipe) _PIPE(pipe, _PFA_HSCALE, _PFB_HSCALE)
b9055052
ZW
3641
3642/* legacy palette */
9db4a9c7
JB
3643#define _LGC_PALETTE_A 0x4a000
3644#define _LGC_PALETTE_B 0x4a800
3645#define LGC_PALETTE(pipe) _PIPE(pipe, _LGC_PALETTE_A, _LGC_PALETTE_B)
b9055052
ZW
3646
3647/* interrupts */
3648#define DE_MASTER_IRQ_CONTROL (1 << 31)
3649#define DE_SPRITEB_FLIP_DONE (1 << 29)
3650#define DE_SPRITEA_FLIP_DONE (1 << 28)
3651#define DE_PLANEB_FLIP_DONE (1 << 27)
3652#define DE_PLANEA_FLIP_DONE (1 << 26)
3653#define DE_PCU_EVENT (1 << 25)
3654#define DE_GTT_FAULT (1 << 24)
3655#define DE_POISON (1 << 23)
3656#define DE_PERFORM_COUNTER (1 << 22)
3657#define DE_PCH_EVENT (1 << 21)
3658#define DE_AUX_CHANNEL_A (1 << 20)
3659#define DE_DP_A_HOTPLUG (1 << 19)
3660#define DE_GSE (1 << 18)
3661#define DE_PIPEB_VBLANK (1 << 15)
3662#define DE_PIPEB_EVEN_FIELD (1 << 14)
3663#define DE_PIPEB_ODD_FIELD (1 << 13)
3664#define DE_PIPEB_LINE_COMPARE (1 << 12)
3665#define DE_PIPEB_VSYNC (1 << 11)
3666#define DE_PIPEB_FIFO_UNDERRUN (1 << 8)
3667#define DE_PIPEA_VBLANK (1 << 7)
3668#define DE_PIPEA_EVEN_FIELD (1 << 6)
3669#define DE_PIPEA_ODD_FIELD (1 << 5)
3670#define DE_PIPEA_LINE_COMPARE (1 << 4)
3671#define DE_PIPEA_VSYNC (1 << 3)
3672#define DE_PIPEA_FIFO_UNDERRUN (1 << 0)
3673
b1f14ad0 3674/* More Ivybridge lolz */
8664281b 3675#define DE_ERR_INT_IVB (1<<30)
b1f14ad0
JB
3676#define DE_GSE_IVB (1<<29)
3677#define DE_PCH_EVENT_IVB (1<<28)
3678#define DE_DP_A_HOTPLUG_IVB (1<<27)
3679#define DE_AUX_CHANNEL_A_IVB (1<<26)
b615b57a
CW
3680#define DE_SPRITEC_FLIP_DONE_IVB (1<<14)
3681#define DE_PLANEC_FLIP_DONE_IVB (1<<13)
3682#define DE_PIPEC_VBLANK_IVB (1<<10)
b1f14ad0 3683#define DE_SPRITEB_FLIP_DONE_IVB (1<<9)
b1f14ad0 3684#define DE_PLANEB_FLIP_DONE_IVB (1<<8)
b1f14ad0 3685#define DE_PIPEB_VBLANK_IVB (1<<5)
b615b57a
CW
3686#define DE_SPRITEA_FLIP_DONE_IVB (1<<4)
3687#define DE_PLANEA_FLIP_DONE_IVB (1<<3)
b1f14ad0
JB
3688#define DE_PIPEA_VBLANK_IVB (1<<0)
3689
7eea1ddf
JB
3690#define VLV_MASTER_IER 0x4400c /* Gunit master IER */
3691#define MASTER_INTERRUPT_ENABLE (1<<31)
3692
b9055052
ZW
3693#define DEISR 0x44000
3694#define DEIMR 0x44004
3695#define DEIIR 0x44008
3696#define DEIER 0x4400c
3697
e2a1e2f0
BW
3698/* GT interrupt.
3699 * Note that for gen6+ the ring-specific interrupt bits do alias with the
3700 * corresponding bits in the per-ring interrupt control registers. */
7eea1ddf
JB
3701#define GT_GEN6_BLT_FLUSHDW_NOTIFY_INTERRUPT (1 << 26)
3702#define GT_GEN6_BLT_CS_ERROR_INTERRUPT (1 << 25)
e2a1e2f0 3703#define GT_GEN6_BLT_USER_INTERRUPT (1 << 22)
7eea1ddf
JB
3704#define GT_GEN6_BSD_CS_ERROR_INTERRUPT (1 << 15)
3705#define GT_GEN6_BSD_USER_INTERRUPT (1 << 12)
e2a1e2f0 3706#define GT_BSD_USER_INTERRUPT (1 << 5) /* ilk only */
7eea1ddf
JB
3707#define GT_GEN7_L3_PARITY_ERROR_INTERRUPT (1 << 5)
3708#define GT_PIPE_NOTIFY (1 << 4)
3709#define GT_RENDER_CS_ERROR_INTERRUPT (1 << 3)
3710#define GT_SYNC_STATUS (1 << 2)
3711#define GT_USER_INTERRUPT (1 << 0)
b9055052
ZW
3712
3713#define GTISR 0x44010
3714#define GTIMR 0x44014
3715#define GTIIR 0x44018
3716#define GTIER 0x4401c
3717
7f8a8569 3718#define ILK_DISPLAY_CHICKEN2 0x42004
67e92af0
EA
3719/* Required on all Ironlake and Sandybridge according to the B-Spec. */
3720#define ILK_ELPIN_409_SELECT (1 << 25)
7f8a8569
ZW
3721#define ILK_DPARB_GATE (1<<22)
3722#define ILK_VSDPFD_FULL (1<<21)
4d302442
CW
3723#define ILK_DISPLAY_CHICKEN_FUSES 0x42014
3724#define ILK_INTERNAL_GRAPHICS_DISABLE (1<<31)
3725#define ILK_INTERNAL_DISPLAY_DISABLE (1<<30)
3726#define ILK_DISPLAY_DEBUG_DISABLE (1<<29)
3727#define ILK_HDCP_DISABLE (1<<25)
3728#define ILK_eDP_A_DISABLE (1<<24)
3729#define ILK_DESKTOP (1<<23)
231e54f6
DL
3730
3731#define ILK_DSPCLK_GATE_D 0x42020
3732#define ILK_VRHUNIT_CLOCK_GATE_DISABLE (1 << 28)
3733#define ILK_DPFCUNIT_CLOCK_GATE_DISABLE (1 << 9)
3734#define ILK_DPFCRUNIT_CLOCK_GATE_DISABLE (1 << 8)
3735#define ILK_DPFDUNIT_CLOCK_GATE_ENABLE (1 << 7)
3736#define ILK_DPARBUNIT_CLOCK_GATE_ENABLE (1 << 5)
7f8a8569 3737
116ac8d2
EA
3738#define IVB_CHICKEN3 0x4200c
3739# define CHICKEN3_DGMG_REQ_OUT_FIX_DISABLE (1 << 5)
3740# define CHICKEN3_DGMG_DONE_FIX_DISABLE (1 << 2)
3741
90a88643
PZ
3742#define CHICKEN_PAR1_1 0x42080
3743#define FORCE_ARB_IDLE_PLANES (1 << 14)
3744
553bd149
ZW
3745#define DISP_ARB_CTL 0x45000
3746#define DISP_TILE_SURFACE_SWIZZLING (1<<13)
7f8a8569 3747#define DISP_FBC_WM_DIS (1<<15)
88a2b2a3
BW
3748#define GEN7_MSG_CTL 0x45010
3749#define WAIT_FOR_PCH_RESET_ACK (1<<1)
3750#define WAIT_FOR_PCH_FLR_ACK (1<<0)
553bd149 3751
e4e0c058 3752/* GEN7 chicken */
d71de14d
KG
3753#define GEN7_COMMON_SLICE_CHICKEN1 0x7010
3754# define GEN7_CSC1_RHWO_OPT_DISABLE_IN_RCC ((1<<10) | (1<<26))
3755
e4e0c058
ED
3756#define GEN7_L3CNTLREG1 0xB01C
3757#define GEN7_WA_FOR_GEN7_L3_CONTROL 0x3C4FFF8C
d0cf5ead 3758#define GEN7_L3AGDIS (1<<19)
e4e0c058
ED
3759
3760#define GEN7_L3_CHICKEN_MODE_REGISTER 0xB030
3761#define GEN7_WA_L3_CHICKEN_MODE 0x20000000
3762
61939d97
JB
3763#define GEN7_L3SQCREG4 0xb034
3764#define L3SQ_URB_READ_CAM_MATCH_DISABLE (1<<27)
3765
db099c8f
ED
3766/* WaCatErrorRejectionIssue */
3767#define GEN7_SQ_CHICKEN_MBCUNIT_CONFIG 0x9030
3768#define GEN7_SQ_CHICKEN_MBCUNIT_SQINTMOB (1<<11)
3769
79f689aa
PZ
3770#define HSW_FUSE_STRAP 0x42014
3771#define HSW_CDCLK_LIMIT (1 << 24)
3772
b9055052
ZW
3773/* PCH */
3774
23e81d69 3775/* south display engine interrupt: IBX */
776ad806
JB
3776#define SDE_AUDIO_POWER_D (1 << 27)
3777#define SDE_AUDIO_POWER_C (1 << 26)
3778#define SDE_AUDIO_POWER_B (1 << 25)
3779#define SDE_AUDIO_POWER_SHIFT (25)
3780#define SDE_AUDIO_POWER_MASK (7 << SDE_AUDIO_POWER_SHIFT)
3781#define SDE_GMBUS (1 << 24)
3782#define SDE_AUDIO_HDCP_TRANSB (1 << 23)
3783#define SDE_AUDIO_HDCP_TRANSA (1 << 22)
3784#define SDE_AUDIO_HDCP_MASK (3 << 22)
3785#define SDE_AUDIO_TRANSB (1 << 21)
3786#define SDE_AUDIO_TRANSA (1 << 20)
3787#define SDE_AUDIO_TRANS_MASK (3 << 20)
3788#define SDE_POISON (1 << 19)
3789/* 18 reserved */
3790#define SDE_FDI_RXB (1 << 17)
3791#define SDE_FDI_RXA (1 << 16)
3792#define SDE_FDI_MASK (3 << 16)
3793#define SDE_AUXD (1 << 15)
3794#define SDE_AUXC (1 << 14)
3795#define SDE_AUXB (1 << 13)
3796#define SDE_AUX_MASK (7 << 13)
3797/* 12 reserved */
b9055052
ZW
3798#define SDE_CRT_HOTPLUG (1 << 11)
3799#define SDE_PORTD_HOTPLUG (1 << 10)
3800#define SDE_PORTC_HOTPLUG (1 << 9)
3801#define SDE_PORTB_HOTPLUG (1 << 8)
3802#define SDE_SDVOB_HOTPLUG (1 << 6)
e5868a31
EE
3803#define SDE_HOTPLUG_MASK (SDE_CRT_HOTPLUG | \
3804 SDE_SDVOB_HOTPLUG | \
3805 SDE_PORTB_HOTPLUG | \
3806 SDE_PORTC_HOTPLUG | \
3807 SDE_PORTD_HOTPLUG)
776ad806
JB
3808#define SDE_TRANSB_CRC_DONE (1 << 5)
3809#define SDE_TRANSB_CRC_ERR (1 << 4)
3810#define SDE_TRANSB_FIFO_UNDER (1 << 3)
3811#define SDE_TRANSA_CRC_DONE (1 << 2)
3812#define SDE_TRANSA_CRC_ERR (1 << 1)
3813#define SDE_TRANSA_FIFO_UNDER (1 << 0)
3814#define SDE_TRANS_MASK (0x3f)
23e81d69
AJ
3815
3816/* south display engine interrupt: CPT/PPT */
3817#define SDE_AUDIO_POWER_D_CPT (1 << 31)
3818#define SDE_AUDIO_POWER_C_CPT (1 << 30)
3819#define SDE_AUDIO_POWER_B_CPT (1 << 29)
3820#define SDE_AUDIO_POWER_SHIFT_CPT 29
3821#define SDE_AUDIO_POWER_MASK_CPT (7 << 29)
3822#define SDE_AUXD_CPT (1 << 27)
3823#define SDE_AUXC_CPT (1 << 26)
3824#define SDE_AUXB_CPT (1 << 25)
3825#define SDE_AUX_MASK_CPT (7 << 25)
8db9d77b
ZW
3826#define SDE_PORTD_HOTPLUG_CPT (1 << 23)
3827#define SDE_PORTC_HOTPLUG_CPT (1 << 22)
3828#define SDE_PORTB_HOTPLUG_CPT (1 << 21)
23e81d69 3829#define SDE_CRT_HOTPLUG_CPT (1 << 19)
73c352a2 3830#define SDE_SDVOB_HOTPLUG_CPT (1 << 18)
2d7b8366 3831#define SDE_HOTPLUG_MASK_CPT (SDE_CRT_HOTPLUG_CPT | \
73c352a2 3832 SDE_SDVOB_HOTPLUG_CPT | \
2d7b8366
YL
3833 SDE_PORTD_HOTPLUG_CPT | \
3834 SDE_PORTC_HOTPLUG_CPT | \
3835 SDE_PORTB_HOTPLUG_CPT)
23e81d69 3836#define SDE_GMBUS_CPT (1 << 17)
8664281b 3837#define SDE_ERROR_CPT (1 << 16)
23e81d69
AJ
3838#define SDE_AUDIO_CP_REQ_C_CPT (1 << 10)
3839#define SDE_AUDIO_CP_CHG_C_CPT (1 << 9)
3840#define SDE_FDI_RXC_CPT (1 << 8)
3841#define SDE_AUDIO_CP_REQ_B_CPT (1 << 6)
3842#define SDE_AUDIO_CP_CHG_B_CPT (1 << 5)
3843#define SDE_FDI_RXB_CPT (1 << 4)
3844#define SDE_AUDIO_CP_REQ_A_CPT (1 << 2)
3845#define SDE_AUDIO_CP_CHG_A_CPT (1 << 1)
3846#define SDE_FDI_RXA_CPT (1 << 0)
3847#define SDE_AUDIO_CP_REQ_CPT (SDE_AUDIO_CP_REQ_C_CPT | \
3848 SDE_AUDIO_CP_REQ_B_CPT | \
3849 SDE_AUDIO_CP_REQ_A_CPT)
3850#define SDE_AUDIO_CP_CHG_CPT (SDE_AUDIO_CP_CHG_C_CPT | \
3851 SDE_AUDIO_CP_CHG_B_CPT | \
3852 SDE_AUDIO_CP_CHG_A_CPT)
3853#define SDE_FDI_MASK_CPT (SDE_FDI_RXC_CPT | \
3854 SDE_FDI_RXB_CPT | \
3855 SDE_FDI_RXA_CPT)
b9055052
ZW
3856
3857#define SDEISR 0xc4000
3858#define SDEIMR 0xc4004
3859#define SDEIIR 0xc4008
3860#define SDEIER 0xc400c
3861
8664281b 3862#define SERR_INT 0xc4040
de032bf4 3863#define SERR_INT_POISON (1<<31)
8664281b
PZ
3864#define SERR_INT_TRANS_C_FIFO_UNDERRUN (1<<6)
3865#define SERR_INT_TRANS_B_FIFO_UNDERRUN (1<<3)
3866#define SERR_INT_TRANS_A_FIFO_UNDERRUN (1<<0)
3867
b9055052 3868/* digital port hotplug */
7fe0b973 3869#define PCH_PORT_HOTPLUG 0xc4030 /* SHOTPLUG_CTL */
b9055052
ZW
3870#define PORTD_HOTPLUG_ENABLE (1 << 20)
3871#define PORTD_PULSE_DURATION_2ms (0)
3872#define PORTD_PULSE_DURATION_4_5ms (1 << 18)
3873#define PORTD_PULSE_DURATION_6ms (2 << 18)
3874#define PORTD_PULSE_DURATION_100ms (3 << 18)
7fe0b973 3875#define PORTD_PULSE_DURATION_MASK (3 << 18)
b696519e
DL
3876#define PORTD_HOTPLUG_STATUS_MASK (0x3 << 16)
3877#define PORTD_HOTPLUG_NO_DETECT (0 << 16)
3878#define PORTD_HOTPLUG_SHORT_DETECT (1 << 16)
3879#define PORTD_HOTPLUG_LONG_DETECT (2 << 16)
b9055052
ZW
3880#define PORTC_HOTPLUG_ENABLE (1 << 12)
3881#define PORTC_PULSE_DURATION_2ms (0)
3882#define PORTC_PULSE_DURATION_4_5ms (1 << 10)
3883#define PORTC_PULSE_DURATION_6ms (2 << 10)
3884#define PORTC_PULSE_DURATION_100ms (3 << 10)
7fe0b973 3885#define PORTC_PULSE_DURATION_MASK (3 << 10)
b696519e
DL
3886#define PORTC_HOTPLUG_STATUS_MASK (0x3 << 8)
3887#define PORTC_HOTPLUG_NO_DETECT (0 << 8)
3888#define PORTC_HOTPLUG_SHORT_DETECT (1 << 8)
3889#define PORTC_HOTPLUG_LONG_DETECT (2 << 8)
b9055052
ZW
3890#define PORTB_HOTPLUG_ENABLE (1 << 4)
3891#define PORTB_PULSE_DURATION_2ms (0)
3892#define PORTB_PULSE_DURATION_4_5ms (1 << 2)
3893#define PORTB_PULSE_DURATION_6ms (2 << 2)
3894#define PORTB_PULSE_DURATION_100ms (3 << 2)
7fe0b973 3895#define PORTB_PULSE_DURATION_MASK (3 << 2)
b696519e
DL
3896#define PORTB_HOTPLUG_STATUS_MASK (0x3 << 0)
3897#define PORTB_HOTPLUG_NO_DETECT (0 << 0)
3898#define PORTB_HOTPLUG_SHORT_DETECT (1 << 0)
3899#define PORTB_HOTPLUG_LONG_DETECT (2 << 0)
b9055052
ZW
3900
3901#define PCH_GPIOA 0xc5010
3902#define PCH_GPIOB 0xc5014
3903#define PCH_GPIOC 0xc5018
3904#define PCH_GPIOD 0xc501c
3905#define PCH_GPIOE 0xc5020
3906#define PCH_GPIOF 0xc5024
3907
f0217c42
EA
3908#define PCH_GMBUS0 0xc5100
3909#define PCH_GMBUS1 0xc5104
3910#define PCH_GMBUS2 0xc5108
3911#define PCH_GMBUS3 0xc510c
3912#define PCH_GMBUS4 0xc5110
3913#define PCH_GMBUS5 0xc5120
3914
9db4a9c7
JB
3915#define _PCH_DPLL_A 0xc6014
3916#define _PCH_DPLL_B 0xc6018
ee7b9f93 3917#define _PCH_DPLL(pll) (pll == 0 ? _PCH_DPLL_A : _PCH_DPLL_B)
b9055052 3918
9db4a9c7 3919#define _PCH_FPA0 0xc6040
c1858123 3920#define FP_CB_TUNE (0x3<<22)
9db4a9c7
JB
3921#define _PCH_FPA1 0xc6044
3922#define _PCH_FPB0 0xc6048
3923#define _PCH_FPB1 0xc604c
ee7b9f93
JB
3924#define _PCH_FP0(pll) (pll == 0 ? _PCH_FPA0 : _PCH_FPB0)
3925#define _PCH_FP1(pll) (pll == 0 ? _PCH_FPA1 : _PCH_FPB1)
b9055052
ZW
3926
3927#define PCH_DPLL_TEST 0xc606c
3928
3929#define PCH_DREF_CONTROL 0xC6200
3930#define DREF_CONTROL_MASK 0x7fc3
3931#define DREF_CPU_SOURCE_OUTPUT_DISABLE (0<<13)
3932#define DREF_CPU_SOURCE_OUTPUT_DOWNSPREAD (2<<13)
3933#define DREF_CPU_SOURCE_OUTPUT_NONSPREAD (3<<13)
3934#define DREF_CPU_SOURCE_OUTPUT_MASK (3<<13)
3935#define DREF_SSC_SOURCE_DISABLE (0<<11)
3936#define DREF_SSC_SOURCE_ENABLE (2<<11)
c038e51e 3937#define DREF_SSC_SOURCE_MASK (3<<11)
b9055052
ZW
3938#define DREF_NONSPREAD_SOURCE_DISABLE (0<<9)
3939#define DREF_NONSPREAD_CK505_ENABLE (1<<9)
3940#define DREF_NONSPREAD_SOURCE_ENABLE (2<<9)
c038e51e 3941#define DREF_NONSPREAD_SOURCE_MASK (3<<9)
b9055052
ZW
3942#define DREF_SUPERSPREAD_SOURCE_DISABLE (0<<7)
3943#define DREF_SUPERSPREAD_SOURCE_ENABLE (2<<7)
92f2584a 3944#define DREF_SUPERSPREAD_SOURCE_MASK (3<<7)
b9055052
ZW
3945#define DREF_SSC4_DOWNSPREAD (0<<6)
3946#define DREF_SSC4_CENTERSPREAD (1<<6)
3947#define DREF_SSC1_DISABLE (0<<1)
3948#define DREF_SSC1_ENABLE (1<<1)
3949#define DREF_SSC4_DISABLE (0)
3950#define DREF_SSC4_ENABLE (1)
3951
3952#define PCH_RAWCLK_FREQ 0xc6204
3953#define FDL_TP1_TIMER_SHIFT 12
3954#define FDL_TP1_TIMER_MASK (3<<12)
3955#define FDL_TP2_TIMER_SHIFT 10
3956#define FDL_TP2_TIMER_MASK (3<<10)
3957#define RAWCLK_FREQ_MASK 0x3ff
3958
3959#define PCH_DPLL_TMR_CFG 0xc6208
3960
3961#define PCH_SSC4_PARMS 0xc6210
3962#define PCH_SSC4_AUX_PARMS 0xc6214
3963
8db9d77b
ZW
3964#define PCH_DPLL_SEL 0xc7000
3965#define TRANSA_DPLL_ENABLE (1<<3)
3966#define TRANSA_DPLLB_SEL (1<<0)
3967#define TRANSA_DPLLA_SEL 0
3968#define TRANSB_DPLL_ENABLE (1<<7)
3969#define TRANSB_DPLLB_SEL (1<<4)
3970#define TRANSB_DPLLA_SEL (0)
3971#define TRANSC_DPLL_ENABLE (1<<11)
3972#define TRANSC_DPLLB_SEL (1<<8)
3973#define TRANSC_DPLLA_SEL (0)
3974
b9055052
ZW
3975/* transcoder */
3976
275f01b2
DV
3977#define _PCH_TRANS_HTOTAL_A 0xe0000
3978#define TRANS_HTOTAL_SHIFT 16
3979#define TRANS_HACTIVE_SHIFT 0
3980#define _PCH_TRANS_HBLANK_A 0xe0004
3981#define TRANS_HBLANK_END_SHIFT 16
3982#define TRANS_HBLANK_START_SHIFT 0
3983#define _PCH_TRANS_HSYNC_A 0xe0008
3984#define TRANS_HSYNC_END_SHIFT 16
3985#define TRANS_HSYNC_START_SHIFT 0
3986#define _PCH_TRANS_VTOTAL_A 0xe000c
3987#define TRANS_VTOTAL_SHIFT 16
3988#define TRANS_VACTIVE_SHIFT 0
3989#define _PCH_TRANS_VBLANK_A 0xe0010
3990#define TRANS_VBLANK_END_SHIFT 16
3991#define TRANS_VBLANK_START_SHIFT 0
3992#define _PCH_TRANS_VSYNC_A 0xe0014
3993#define TRANS_VSYNC_END_SHIFT 16
3994#define TRANS_VSYNC_START_SHIFT 0
3995#define _PCH_TRANS_VSYNCSHIFT_A 0xe0028
b9055052 3996
e3b95f1e
DV
3997#define _PCH_TRANSA_DATA_M1 0xe0030
3998#define _PCH_TRANSA_DATA_N1 0xe0034
3999#define _PCH_TRANSA_DATA_M2 0xe0038
4000#define _PCH_TRANSA_DATA_N2 0xe003c
4001#define _PCH_TRANSA_LINK_M1 0xe0040
4002#define _PCH_TRANSA_LINK_N1 0xe0044
4003#define _PCH_TRANSA_LINK_M2 0xe0048
4004#define _PCH_TRANSA_LINK_N2 0xe004c
9db4a9c7 4005
b055c8f3
JB
4006/* Per-transcoder DIP controls */
4007
4008#define _VIDEO_DIP_CTL_A 0xe0200
4009#define _VIDEO_DIP_DATA_A 0xe0208
4010#define _VIDEO_DIP_GCP_A 0xe0210
4011
4012#define _VIDEO_DIP_CTL_B 0xe1200
4013#define _VIDEO_DIP_DATA_B 0xe1208
4014#define _VIDEO_DIP_GCP_B 0xe1210
4015
4016#define TVIDEO_DIP_CTL(pipe) _PIPE(pipe, _VIDEO_DIP_CTL_A, _VIDEO_DIP_CTL_B)
4017#define TVIDEO_DIP_DATA(pipe) _PIPE(pipe, _VIDEO_DIP_DATA_A, _VIDEO_DIP_DATA_B)
4018#define TVIDEO_DIP_GCP(pipe) _PIPE(pipe, _VIDEO_DIP_GCP_A, _VIDEO_DIP_GCP_B)
4019
b906487c
VS
4020#define VLV_VIDEO_DIP_CTL_A (VLV_DISPLAY_BASE + 0x60200)
4021#define VLV_VIDEO_DIP_DATA_A (VLV_DISPLAY_BASE + 0x60208)
4022#define VLV_VIDEO_DIP_GDCP_PAYLOAD_A (VLV_DISPLAY_BASE + 0x60210)
90b107c8 4023
b906487c
VS
4024#define VLV_VIDEO_DIP_CTL_B (VLV_DISPLAY_BASE + 0x61170)
4025#define VLV_VIDEO_DIP_DATA_B (VLV_DISPLAY_BASE + 0x61174)
4026#define VLV_VIDEO_DIP_GDCP_PAYLOAD_B (VLV_DISPLAY_BASE + 0x61178)
90b107c8
SK
4027
4028#define VLV_TVIDEO_DIP_CTL(pipe) \
4029 _PIPE(pipe, VLV_VIDEO_DIP_CTL_A, VLV_VIDEO_DIP_CTL_B)
4030#define VLV_TVIDEO_DIP_DATA(pipe) \
4031 _PIPE(pipe, VLV_VIDEO_DIP_DATA_A, VLV_VIDEO_DIP_DATA_B)
4032#define VLV_TVIDEO_DIP_GCP(pipe) \
4033 _PIPE(pipe, VLV_VIDEO_DIP_GDCP_PAYLOAD_A, VLV_VIDEO_DIP_GDCP_PAYLOAD_B)
4034
8c5f5f7c
ED
4035/* Haswell DIP controls */
4036#define HSW_VIDEO_DIP_CTL_A 0x60200
4037#define HSW_VIDEO_DIP_AVI_DATA_A 0x60220
4038#define HSW_VIDEO_DIP_VS_DATA_A 0x60260
4039#define HSW_VIDEO_DIP_SPD_DATA_A 0x602A0
4040#define HSW_VIDEO_DIP_GMP_DATA_A 0x602E0
4041#define HSW_VIDEO_DIP_VSC_DATA_A 0x60320
4042#define HSW_VIDEO_DIP_AVI_ECC_A 0x60240
4043#define HSW_VIDEO_DIP_VS_ECC_A 0x60280
4044#define HSW_VIDEO_DIP_SPD_ECC_A 0x602C0
4045#define HSW_VIDEO_DIP_GMP_ECC_A 0x60300
4046#define HSW_VIDEO_DIP_VSC_ECC_A 0x60344
4047#define HSW_VIDEO_DIP_GCP_A 0x60210
4048
4049#define HSW_VIDEO_DIP_CTL_B 0x61200
4050#define HSW_VIDEO_DIP_AVI_DATA_B 0x61220
4051#define HSW_VIDEO_DIP_VS_DATA_B 0x61260
4052#define HSW_VIDEO_DIP_SPD_DATA_B 0x612A0
4053#define HSW_VIDEO_DIP_GMP_DATA_B 0x612E0
4054#define HSW_VIDEO_DIP_VSC_DATA_B 0x61320
4055#define HSW_VIDEO_DIP_BVI_ECC_B 0x61240
4056#define HSW_VIDEO_DIP_VS_ECC_B 0x61280
4057#define HSW_VIDEO_DIP_SPD_ECC_B 0x612C0
4058#define HSW_VIDEO_DIP_GMP_ECC_B 0x61300
4059#define HSW_VIDEO_DIP_VSC_ECC_B 0x61344
4060#define HSW_VIDEO_DIP_GCP_B 0x61210
4061
7d9bcebe
RV
4062#define HSW_TVIDEO_DIP_CTL(trans) \
4063 _TRANSCODER(trans, HSW_VIDEO_DIP_CTL_A, HSW_VIDEO_DIP_CTL_B)
4064#define HSW_TVIDEO_DIP_AVI_DATA(trans) \
4065 _TRANSCODER(trans, HSW_VIDEO_DIP_AVI_DATA_A, HSW_VIDEO_DIP_AVI_DATA_B)
4066#define HSW_TVIDEO_DIP_SPD_DATA(trans) \
4067 _TRANSCODER(trans, HSW_VIDEO_DIP_SPD_DATA_A, HSW_VIDEO_DIP_SPD_DATA_B)
4068#define HSW_TVIDEO_DIP_GCP(trans) \
4069 _TRANSCODER(trans, HSW_VIDEO_DIP_GCP_A, HSW_VIDEO_DIP_GCP_B)
4070#define HSW_TVIDEO_DIP_VSC_DATA(trans) \
4071 _TRANSCODER(trans, HSW_VIDEO_DIP_VSC_DATA_A, HSW_VIDEO_DIP_VSC_DATA_B)
8c5f5f7c 4072
275f01b2
DV
4073#define _PCH_TRANS_HTOTAL_B 0xe1000
4074#define _PCH_TRANS_HBLANK_B 0xe1004
4075#define _PCH_TRANS_HSYNC_B 0xe1008
4076#define _PCH_TRANS_VTOTAL_B 0xe100c
4077#define _PCH_TRANS_VBLANK_B 0xe1010
4078#define _PCH_TRANS_VSYNC_B 0xe1014
4079#define _PCH_TRANS_VSYNCSHIFT_B 0xe1028
4080
4081#define PCH_TRANS_HTOTAL(pipe) _PIPE(pipe, _PCH_TRANS_HTOTAL_A, _PCH_TRANS_HTOTAL_B)
4082#define PCH_TRANS_HBLANK(pipe) _PIPE(pipe, _PCH_TRANS_HBLANK_A, _PCH_TRANS_HBLANK_B)
4083#define PCH_TRANS_HSYNC(pipe) _PIPE(pipe, _PCH_TRANS_HSYNC_A, _PCH_TRANS_HSYNC_B)
4084#define PCH_TRANS_VTOTAL(pipe) _PIPE(pipe, _PCH_TRANS_VTOTAL_A, _PCH_TRANS_VTOTAL_B)
4085#define PCH_TRANS_VBLANK(pipe) _PIPE(pipe, _PCH_TRANS_VBLANK_A, _PCH_TRANS_VBLANK_B)
4086#define PCH_TRANS_VSYNC(pipe) _PIPE(pipe, _PCH_TRANS_VSYNC_A, _PCH_TRANS_VSYNC_B)
4087#define PCH_TRANS_VSYNCSHIFT(pipe) _PIPE(pipe, _PCH_TRANS_VSYNCSHIFT_A, \
4088 _PCH_TRANS_VSYNCSHIFT_B)
9db4a9c7 4089
e3b95f1e
DV
4090#define _PCH_TRANSB_DATA_M1 0xe1030
4091#define _PCH_TRANSB_DATA_N1 0xe1034
4092#define _PCH_TRANSB_DATA_M2 0xe1038
4093#define _PCH_TRANSB_DATA_N2 0xe103c
4094#define _PCH_TRANSB_LINK_M1 0xe1040
4095#define _PCH_TRANSB_LINK_N1 0xe1044
4096#define _PCH_TRANSB_LINK_M2 0xe1048
4097#define _PCH_TRANSB_LINK_N2 0xe104c
4098
4099#define PCH_TRANS_DATA_M1(pipe) _PIPE(pipe, _PCH_TRANSA_DATA_M1, _PCH_TRANSB_DATA_M1)
4100#define PCH_TRANS_DATA_N1(pipe) _PIPE(pipe, _PCH_TRANSA_DATA_N1, _PCH_TRANSB_DATA_N1)
4101#define PCH_TRANS_DATA_M2(pipe) _PIPE(pipe, _PCH_TRANSA_DATA_M2, _PCH_TRANSB_DATA_M2)
4102#define PCH_TRANS_DATA_N2(pipe) _PIPE(pipe, _PCH_TRANSA_DATA_N2, _PCH_TRANSB_DATA_N2)
4103#define PCH_TRANS_LINK_M1(pipe) _PIPE(pipe, _PCH_TRANSA_LINK_M1, _PCH_TRANSB_LINK_M1)
4104#define PCH_TRANS_LINK_N1(pipe) _PIPE(pipe, _PCH_TRANSA_LINK_N1, _PCH_TRANSB_LINK_N1)
4105#define PCH_TRANS_LINK_M2(pipe) _PIPE(pipe, _PCH_TRANSA_LINK_M2, _PCH_TRANSB_LINK_M2)
4106#define PCH_TRANS_LINK_N2(pipe) _PIPE(pipe, _PCH_TRANSA_LINK_N2, _PCH_TRANSB_LINK_N2)
9db4a9c7 4107
ab9412ba
DV
4108#define _PCH_TRANSACONF 0xf0008
4109#define _PCH_TRANSBCONF 0xf1008
4110#define PCH_TRANSCONF(pipe) _PIPE(pipe, _PCH_TRANSACONF, _PCH_TRANSBCONF)
4111#define LPT_TRANSCONF _PCH_TRANSACONF /* lpt has only one transcoder */
b9055052
ZW
4112#define TRANS_DISABLE (0<<31)
4113#define TRANS_ENABLE (1<<31)
4114#define TRANS_STATE_MASK (1<<30)
4115#define TRANS_STATE_DISABLE (0<<30)
4116#define TRANS_STATE_ENABLE (1<<30)
4117#define TRANS_FSYNC_DELAY_HB1 (0<<27)
4118#define TRANS_FSYNC_DELAY_HB2 (1<<27)
4119#define TRANS_FSYNC_DELAY_HB3 (2<<27)
4120#define TRANS_FSYNC_DELAY_HB4 (3<<27)
5f7f726d 4121#define TRANS_INTERLACE_MASK (7<<21)
b9055052 4122#define TRANS_PROGRESSIVE (0<<21)
5f7f726d 4123#define TRANS_INTERLACED (3<<21)
7c26e5c6 4124#define TRANS_LEGACY_INTERLACED_ILK (2<<21)
b9055052
ZW
4125#define TRANS_8BPC (0<<5)
4126#define TRANS_10BPC (1<<5)
4127#define TRANS_6BPC (2<<5)
4128#define TRANS_12BPC (3<<5)
4129
ce40141f
DV
4130#define _TRANSA_CHICKEN1 0xf0060
4131#define _TRANSB_CHICKEN1 0xf1060
4132#define TRANS_CHICKEN1(pipe) _PIPE(pipe, _TRANSA_CHICKEN1, _TRANSB_CHICKEN1)
4133#define TRANS_CHICKEN1_DP0UNIT_GC_DISABLE (1<<4)
3bcf603f
JB
4134#define _TRANSA_CHICKEN2 0xf0064
4135#define _TRANSB_CHICKEN2 0xf1064
4136#define TRANS_CHICKEN2(pipe) _PIPE(pipe, _TRANSA_CHICKEN2, _TRANSB_CHICKEN2)
dc4bd2d1
PZ
4137#define TRANS_CHICKEN2_TIMING_OVERRIDE (1<<31)
4138#define TRANS_CHICKEN2_FDI_POLARITY_REVERSED (1<<29)
4139#define TRANS_CHICKEN2_FRAME_START_DELAY_MASK (3<<27)
4140#define TRANS_CHICKEN2_DISABLE_DEEP_COLOR_COUNTER (1<<26)
4141#define TRANS_CHICKEN2_DISABLE_DEEP_COLOR_MODESWITCH (1<<25)
3bcf603f 4142
291427f5
JB
4143#define SOUTH_CHICKEN1 0xc2000
4144#define FDIA_PHASE_SYNC_SHIFT_OVR 19
4145#define FDIA_PHASE_SYNC_SHIFT_EN 18
01a415fd
DV
4146#define FDI_PHASE_SYNC_OVR(pipe) (1<<(FDIA_PHASE_SYNC_SHIFT_OVR - ((pipe) * 2)))
4147#define FDI_PHASE_SYNC_EN(pipe) (1<<(FDIA_PHASE_SYNC_SHIFT_EN - ((pipe) * 2)))
4148#define FDI_BC_BIFURCATION_SELECT (1 << 12)
645c62a5 4149#define SOUTH_CHICKEN2 0xc2004
dde86e2d
PZ
4150#define FDI_MPHY_IOSFSB_RESET_STATUS (1<<13)
4151#define FDI_MPHY_IOSFSB_RESET_CTL (1<<12)
4152#define DPLS_EDP_PPS_FIX_DIS (1<<0)
645c62a5 4153
9db4a9c7
JB
4154#define _FDI_RXA_CHICKEN 0xc200c
4155#define _FDI_RXB_CHICKEN 0xc2010
6f06ce18
JB
4156#define FDI_RX_PHASE_SYNC_POINTER_OVR (1<<1)
4157#define FDI_RX_PHASE_SYNC_POINTER_EN (1<<0)
9db4a9c7 4158#define FDI_RX_CHICKEN(pipe) _PIPE(pipe, _FDI_RXA_CHICKEN, _FDI_RXB_CHICKEN)
b9055052 4159
382b0936
JB
4160#define SOUTH_DSPCLK_GATE_D 0xc2020
4161#define PCH_DPLSUNIT_CLOCK_GATE_DISABLE (1<<29)
17a303ec 4162#define PCH_LP_PARTITION_LEVEL_DISABLE (1<<12)
382b0936 4163
b9055052 4164/* CPU: FDI_TX */
9db4a9c7
JB
4165#define _FDI_TXA_CTL 0x60100
4166#define _FDI_TXB_CTL 0x61100
4167#define FDI_TX_CTL(pipe) _PIPE(pipe, _FDI_TXA_CTL, _FDI_TXB_CTL)
b9055052
ZW
4168#define FDI_TX_DISABLE (0<<31)
4169#define FDI_TX_ENABLE (1<<31)
4170#define FDI_LINK_TRAIN_PATTERN_1 (0<<28)
4171#define FDI_LINK_TRAIN_PATTERN_2 (1<<28)
4172#define FDI_LINK_TRAIN_PATTERN_IDLE (2<<28)
4173#define FDI_LINK_TRAIN_NONE (3<<28)
4174#define FDI_LINK_TRAIN_VOLTAGE_0_4V (0<<25)
4175#define FDI_LINK_TRAIN_VOLTAGE_0_6V (1<<25)
4176#define FDI_LINK_TRAIN_VOLTAGE_0_8V (2<<25)
4177#define FDI_LINK_TRAIN_VOLTAGE_1_2V (3<<25)
4178#define FDI_LINK_TRAIN_PRE_EMPHASIS_NONE (0<<22)
4179#define FDI_LINK_TRAIN_PRE_EMPHASIS_1_5X (1<<22)
4180#define FDI_LINK_TRAIN_PRE_EMPHASIS_2X (2<<22)
4181#define FDI_LINK_TRAIN_PRE_EMPHASIS_3X (3<<22)
8db9d77b
ZW
4182/* ILK always use 400mV 0dB for voltage swing and pre-emphasis level.
4183 SNB has different settings. */
4184/* SNB A-stepping */
4185#define FDI_LINK_TRAIN_400MV_0DB_SNB_A (0x38<<22)
4186#define FDI_LINK_TRAIN_400MV_6DB_SNB_A (0x02<<22)
4187#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01<<22)
4188#define FDI_LINK_TRAIN_800MV_0DB_SNB_A (0x0<<22)
4189/* SNB B-stepping */
4190#define FDI_LINK_TRAIN_400MV_0DB_SNB_B (0x0<<22)
4191#define FDI_LINK_TRAIN_400MV_6DB_SNB_B (0x3a<<22)
4192#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_B (0x39<<22)
4193#define FDI_LINK_TRAIN_800MV_0DB_SNB_B (0x38<<22)
4194#define FDI_LINK_TRAIN_VOL_EMP_MASK (0x3f<<22)
627eb5a3
DV
4195#define FDI_DP_PORT_WIDTH_SHIFT 19
4196#define FDI_DP_PORT_WIDTH_MASK (7 << FDI_DP_PORT_WIDTH_SHIFT)
4197#define FDI_DP_PORT_WIDTH(width) (((width) - 1) << FDI_DP_PORT_WIDTH_SHIFT)
b9055052 4198#define FDI_TX_ENHANCE_FRAME_ENABLE (1<<18)
f2b115e6 4199/* Ironlake: hardwired to 1 */
b9055052 4200#define FDI_TX_PLL_ENABLE (1<<14)
357555c0
JB
4201
4202/* Ivybridge has different bits for lolz */
4203#define FDI_LINK_TRAIN_PATTERN_1_IVB (0<<8)
4204#define FDI_LINK_TRAIN_PATTERN_2_IVB (1<<8)
4205#define FDI_LINK_TRAIN_PATTERN_IDLE_IVB (2<<8)
4206#define FDI_LINK_TRAIN_NONE_IVB (3<<8)
4207
b9055052 4208/* both Tx and Rx */
c4f9c4c2 4209#define FDI_COMPOSITE_SYNC (1<<11)
357555c0 4210#define FDI_LINK_TRAIN_AUTO (1<<10)
b9055052
ZW
4211#define FDI_SCRAMBLING_ENABLE (0<<7)
4212#define FDI_SCRAMBLING_DISABLE (1<<7)
4213
4214/* FDI_RX, FDI_X is hard-wired to Transcoder_X */
9db4a9c7
JB
4215#define _FDI_RXA_CTL 0xf000c
4216#define _FDI_RXB_CTL 0xf100c
4217#define FDI_RX_CTL(pipe) _PIPE(pipe, _FDI_RXA_CTL, _FDI_RXB_CTL)
b9055052 4218#define FDI_RX_ENABLE (1<<31)
b9055052 4219/* train, dp width same as FDI_TX */
357555c0
JB
4220#define FDI_FS_ERRC_ENABLE (1<<27)
4221#define FDI_FE_ERRC_ENABLE (1<<26)
68d18ad7 4222#define FDI_RX_POLARITY_REVERSED_LPT (1<<16)
b9055052
ZW
4223#define FDI_8BPC (0<<16)
4224#define FDI_10BPC (1<<16)
4225#define FDI_6BPC (2<<16)
4226#define FDI_12BPC (3<<16)
3e68320e 4227#define FDI_RX_LINK_REVERSAL_OVERRIDE (1<<15)
b9055052
ZW
4228#define FDI_DMI_LINK_REVERSE_MASK (1<<14)
4229#define FDI_RX_PLL_ENABLE (1<<13)
4230#define FDI_FS_ERR_CORRECT_ENABLE (1<<11)
4231#define FDI_FE_ERR_CORRECT_ENABLE (1<<10)
4232#define FDI_FS_ERR_REPORT_ENABLE (1<<9)
4233#define FDI_FE_ERR_REPORT_ENABLE (1<<8)
4234#define FDI_RX_ENHANCE_FRAME_ENABLE (1<<6)
5eddb70b 4235#define FDI_PCDCLK (1<<4)
8db9d77b
ZW
4236/* CPT */
4237#define FDI_AUTO_TRAINING (1<<10)
4238#define FDI_LINK_TRAIN_PATTERN_1_CPT (0<<8)
4239#define FDI_LINK_TRAIN_PATTERN_2_CPT (1<<8)
4240#define FDI_LINK_TRAIN_PATTERN_IDLE_CPT (2<<8)
4241#define FDI_LINK_TRAIN_NORMAL_CPT (3<<8)
4242#define FDI_LINK_TRAIN_PATTERN_MASK_CPT (3<<8)
b9055052 4243
04945641
PZ
4244#define _FDI_RXA_MISC 0xf0010
4245#define _FDI_RXB_MISC 0xf1010
4246#define FDI_RX_PWRDN_LANE1_MASK (3<<26)
4247#define FDI_RX_PWRDN_LANE1_VAL(x) ((x)<<26)
4248#define FDI_RX_PWRDN_LANE0_MASK (3<<24)
4249#define FDI_RX_PWRDN_LANE0_VAL(x) ((x)<<24)
4250#define FDI_RX_TP1_TO_TP2_48 (2<<20)
4251#define FDI_RX_TP1_TO_TP2_64 (3<<20)
4252#define FDI_RX_FDI_DELAY_90 (0x90<<0)
4253#define FDI_RX_MISC(pipe) _PIPE(pipe, _FDI_RXA_MISC, _FDI_RXB_MISC)
4254
9db4a9c7
JB
4255#define _FDI_RXA_TUSIZE1 0xf0030
4256#define _FDI_RXA_TUSIZE2 0xf0038
4257#define _FDI_RXB_TUSIZE1 0xf1030
4258#define _FDI_RXB_TUSIZE2 0xf1038
9db4a9c7
JB
4259#define FDI_RX_TUSIZE1(pipe) _PIPE(pipe, _FDI_RXA_TUSIZE1, _FDI_RXB_TUSIZE1)
4260#define FDI_RX_TUSIZE2(pipe) _PIPE(pipe, _FDI_RXA_TUSIZE2, _FDI_RXB_TUSIZE2)
b9055052
ZW
4261
4262/* FDI_RX interrupt register format */
4263#define FDI_RX_INTER_LANE_ALIGN (1<<10)
4264#define FDI_RX_SYMBOL_LOCK (1<<9) /* train 2 */
4265#define FDI_RX_BIT_LOCK (1<<8) /* train 1 */
4266#define FDI_RX_TRAIN_PATTERN_2_FAIL (1<<7)
4267#define FDI_RX_FS_CODE_ERR (1<<6)
4268#define FDI_RX_FE_CODE_ERR (1<<5)
4269#define FDI_RX_SYMBOL_ERR_RATE_ABOVE (1<<4)
4270#define FDI_RX_HDCP_LINK_FAIL (1<<3)
4271#define FDI_RX_PIXEL_FIFO_OVERFLOW (1<<2)
4272#define FDI_RX_CROSS_CLOCK_OVERFLOW (1<<1)
4273#define FDI_RX_SYMBOL_QUEUE_OVERFLOW (1<<0)
4274
9db4a9c7
JB
4275#define _FDI_RXA_IIR 0xf0014
4276#define _FDI_RXA_IMR 0xf0018
4277#define _FDI_RXB_IIR 0xf1014
4278#define _FDI_RXB_IMR 0xf1018
4279#define FDI_RX_IIR(pipe) _PIPE(pipe, _FDI_RXA_IIR, _FDI_RXB_IIR)
4280#define FDI_RX_IMR(pipe) _PIPE(pipe, _FDI_RXA_IMR, _FDI_RXB_IMR)
b9055052
ZW
4281
4282#define FDI_PLL_CTL_1 0xfe000
4283#define FDI_PLL_CTL_2 0xfe004
4284
b9055052
ZW
4285#define PCH_LVDS 0xe1180
4286#define LVDS_DETECTED (1 << 1)
4287
98364379 4288/* vlv has 2 sets of panel control regs. */
f12c47b2
VS
4289#define PIPEA_PP_STATUS (VLV_DISPLAY_BASE + 0x61200)
4290#define PIPEA_PP_CONTROL (VLV_DISPLAY_BASE + 0x61204)
4291#define PIPEA_PP_ON_DELAYS (VLV_DISPLAY_BASE + 0x61208)
4292#define PIPEA_PP_OFF_DELAYS (VLV_DISPLAY_BASE + 0x6120c)
4293#define PIPEA_PP_DIVISOR (VLV_DISPLAY_BASE + 0x61210)
4294
4295#define PIPEB_PP_STATUS (VLV_DISPLAY_BASE + 0x61300)
4296#define PIPEB_PP_CONTROL (VLV_DISPLAY_BASE + 0x61304)
4297#define PIPEB_PP_ON_DELAYS (VLV_DISPLAY_BASE + 0x61308)
4298#define PIPEB_PP_OFF_DELAYS (VLV_DISPLAY_BASE + 0x6130c)
4299#define PIPEB_PP_DIVISOR (VLV_DISPLAY_BASE + 0x61310)
98364379 4300
453c5420
JB
4301#define VLV_PIPE_PP_STATUS(pipe) _PIPE(pipe, PIPEA_PP_STATUS, PIPEB_PP_STATUS)
4302#define VLV_PIPE_PP_CONTROL(pipe) _PIPE(pipe, PIPEA_PP_CONTROL, PIPEB_PP_CONTROL)
4303#define VLV_PIPE_PP_ON_DELAYS(pipe) \
4304 _PIPE(pipe, PIPEA_PP_ON_DELAYS, PIPEB_PP_ON_DELAYS)
4305#define VLV_PIPE_PP_OFF_DELAYS(pipe) \
4306 _PIPE(pipe, PIPEA_PP_OFF_DELAYS, PIPEB_PP_OFF_DELAYS)
4307#define VLV_PIPE_PP_DIVISOR(pipe) \
4308 _PIPE(pipe, PIPEA_PP_DIVISOR, PIPEB_PP_DIVISOR)
4309
b9055052
ZW
4310#define PCH_PP_STATUS 0xc7200
4311#define PCH_PP_CONTROL 0xc7204
4a655f04 4312#define PANEL_UNLOCK_REGS (0xabcd << 16)
1c0ae80a 4313#define PANEL_UNLOCK_MASK (0xffff << 16)
b9055052
ZW
4314#define EDP_FORCE_VDD (1 << 3)
4315#define EDP_BLC_ENABLE (1 << 2)
4316#define PANEL_POWER_RESET (1 << 1)
4317#define PANEL_POWER_OFF (0 << 0)
4318#define PANEL_POWER_ON (1 << 0)
4319#define PCH_PP_ON_DELAYS 0xc7208
f01eca2e
KP
4320#define PANEL_PORT_SELECT_MASK (3 << 30)
4321#define PANEL_PORT_SELECT_LVDS (0 << 30)
4322#define PANEL_PORT_SELECT_DPA (1 << 30)
b9055052 4323#define EDP_PANEL (1 << 30)
f01eca2e
KP
4324#define PANEL_PORT_SELECT_DPC (2 << 30)
4325#define PANEL_PORT_SELECT_DPD (3 << 30)
4326#define PANEL_POWER_UP_DELAY_MASK (0x1fff0000)
4327#define PANEL_POWER_UP_DELAY_SHIFT 16
4328#define PANEL_LIGHT_ON_DELAY_MASK (0x1fff)
4329#define PANEL_LIGHT_ON_DELAY_SHIFT 0
4330
b9055052 4331#define PCH_PP_OFF_DELAYS 0xc720c
82ed61fa
DV
4332#define PANEL_POWER_PORT_SELECT_MASK (0x3 << 30)
4333#define PANEL_POWER_PORT_LVDS (0 << 30)
4334#define PANEL_POWER_PORT_DP_A (1 << 30)
4335#define PANEL_POWER_PORT_DP_C (2 << 30)
4336#define PANEL_POWER_PORT_DP_D (3 << 30)
f01eca2e
KP
4337#define PANEL_POWER_DOWN_DELAY_MASK (0x1fff0000)
4338#define PANEL_POWER_DOWN_DELAY_SHIFT 16
4339#define PANEL_LIGHT_OFF_DELAY_MASK (0x1fff)
4340#define PANEL_LIGHT_OFF_DELAY_SHIFT 0
4341
b9055052 4342#define PCH_PP_DIVISOR 0xc7210
f01eca2e
KP
4343#define PP_REFERENCE_DIVIDER_MASK (0xffffff00)
4344#define PP_REFERENCE_DIVIDER_SHIFT 8
4345#define PANEL_POWER_CYCLE_DELAY_MASK (0x1f)
4346#define PANEL_POWER_CYCLE_DELAY_SHIFT 0
b9055052 4347
5eb08b69
ZW
4348#define PCH_DP_B 0xe4100
4349#define PCH_DPB_AUX_CH_CTL 0xe4110
4350#define PCH_DPB_AUX_CH_DATA1 0xe4114
4351#define PCH_DPB_AUX_CH_DATA2 0xe4118
4352#define PCH_DPB_AUX_CH_DATA3 0xe411c
4353#define PCH_DPB_AUX_CH_DATA4 0xe4120
4354#define PCH_DPB_AUX_CH_DATA5 0xe4124
4355
4356#define PCH_DP_C 0xe4200
4357#define PCH_DPC_AUX_CH_CTL 0xe4210
4358#define PCH_DPC_AUX_CH_DATA1 0xe4214
4359#define PCH_DPC_AUX_CH_DATA2 0xe4218
4360#define PCH_DPC_AUX_CH_DATA3 0xe421c
4361#define PCH_DPC_AUX_CH_DATA4 0xe4220
4362#define PCH_DPC_AUX_CH_DATA5 0xe4224
4363
4364#define PCH_DP_D 0xe4300
4365#define PCH_DPD_AUX_CH_CTL 0xe4310
4366#define PCH_DPD_AUX_CH_DATA1 0xe4314
4367#define PCH_DPD_AUX_CH_DATA2 0xe4318
4368#define PCH_DPD_AUX_CH_DATA3 0xe431c
4369#define PCH_DPD_AUX_CH_DATA4 0xe4320
4370#define PCH_DPD_AUX_CH_DATA5 0xe4324
4371
8db9d77b
ZW
4372/* CPT */
4373#define PORT_TRANS_A_SEL_CPT 0
4374#define PORT_TRANS_B_SEL_CPT (1<<29)
4375#define PORT_TRANS_C_SEL_CPT (2<<29)
4376#define PORT_TRANS_SEL_MASK (3<<29)
1519b995 4377#define PORT_TRANS_SEL_CPT(pipe) ((pipe) << 29)
19d8fe15
DV
4378#define PORT_TO_PIPE(val) (((val) & (1<<30)) >> 30)
4379#define PORT_TO_PIPE_CPT(val) (((val) & PORT_TRANS_SEL_MASK) >> 29)
8db9d77b
ZW
4380
4381#define TRANS_DP_CTL_A 0xe0300
4382#define TRANS_DP_CTL_B 0xe1300
4383#define TRANS_DP_CTL_C 0xe2300
23670b32 4384#define TRANS_DP_CTL(pipe) _PIPE(pipe, TRANS_DP_CTL_A, TRANS_DP_CTL_B)
8db9d77b
ZW
4385#define TRANS_DP_OUTPUT_ENABLE (1<<31)
4386#define TRANS_DP_PORT_SEL_B (0<<29)
4387#define TRANS_DP_PORT_SEL_C (1<<29)
4388#define TRANS_DP_PORT_SEL_D (2<<29)
cb3543c6 4389#define TRANS_DP_PORT_SEL_NONE (3<<29)
8db9d77b
ZW
4390#define TRANS_DP_PORT_SEL_MASK (3<<29)
4391#define TRANS_DP_AUDIO_ONLY (1<<26)
4392#define TRANS_DP_ENH_FRAMING (1<<18)
4393#define TRANS_DP_8BPC (0<<9)
4394#define TRANS_DP_10BPC (1<<9)
4395#define TRANS_DP_6BPC (2<<9)
4396#define TRANS_DP_12BPC (3<<9)
220cad3c 4397#define TRANS_DP_BPC_MASK (3<<9)
8db9d77b
ZW
4398#define TRANS_DP_VSYNC_ACTIVE_HIGH (1<<4)
4399#define TRANS_DP_VSYNC_ACTIVE_LOW 0
4400#define TRANS_DP_HSYNC_ACTIVE_HIGH (1<<3)
4401#define TRANS_DP_HSYNC_ACTIVE_LOW 0
94113cec 4402#define TRANS_DP_SYNC_MASK (3<<3)
8db9d77b
ZW
4403
4404/* SNB eDP training params */
4405/* SNB A-stepping */
4406#define EDP_LINK_TRAIN_400MV_0DB_SNB_A (0x38<<22)
4407#define EDP_LINK_TRAIN_400MV_6DB_SNB_A (0x02<<22)
4408#define EDP_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01<<22)
4409#define EDP_LINK_TRAIN_800MV_0DB_SNB_A (0x0<<22)
4410/* SNB B-stepping */
3c5a62b5
YL
4411#define EDP_LINK_TRAIN_400_600MV_0DB_SNB_B (0x0<<22)
4412#define EDP_LINK_TRAIN_400MV_3_5DB_SNB_B (0x1<<22)
4413#define EDP_LINK_TRAIN_400_600MV_6DB_SNB_B (0x3a<<22)
4414#define EDP_LINK_TRAIN_600_800MV_3_5DB_SNB_B (0x39<<22)
4415#define EDP_LINK_TRAIN_800_1200MV_0DB_SNB_B (0x38<<22)
8db9d77b
ZW
4416#define EDP_LINK_TRAIN_VOL_EMP_MASK_SNB (0x3f<<22)
4417
1a2eb460
KP
4418/* IVB */
4419#define EDP_LINK_TRAIN_400MV_0DB_IVB (0x24 <<22)
4420#define EDP_LINK_TRAIN_400MV_3_5DB_IVB (0x2a <<22)
4421#define EDP_LINK_TRAIN_400MV_6DB_IVB (0x2f <<22)
4422#define EDP_LINK_TRAIN_600MV_0DB_IVB (0x30 <<22)
4423#define EDP_LINK_TRAIN_600MV_3_5DB_IVB (0x36 <<22)
4424#define EDP_LINK_TRAIN_800MV_0DB_IVB (0x38 <<22)
4425#define EDP_LINK_TRAIN_800MV_3_5DB_IVB (0x33 <<22)
4426
4427/* legacy values */
4428#define EDP_LINK_TRAIN_500MV_0DB_IVB (0x00 <<22)
4429#define EDP_LINK_TRAIN_1000MV_0DB_IVB (0x20 <<22)
4430#define EDP_LINK_TRAIN_500MV_3_5DB_IVB (0x02 <<22)
4431#define EDP_LINK_TRAIN_1000MV_3_5DB_IVB (0x22 <<22)
4432#define EDP_LINK_TRAIN_1000MV_6DB_IVB (0x23 <<22)
4433
4434#define EDP_LINK_TRAIN_VOL_EMP_MASK_IVB (0x3f<<22)
4435
cae5852d 4436#define FORCEWAKE 0xA18C
575155a9
JB
4437#define FORCEWAKE_VLV 0x1300b0
4438#define FORCEWAKE_ACK_VLV 0x1300b4
ed5de399
JB
4439#define FORCEWAKE_MEDIA_VLV 0x1300b8
4440#define FORCEWAKE_ACK_MEDIA_VLV 0x1300bc
e7911c48 4441#define FORCEWAKE_ACK_HSW 0x130044
eb43f4af 4442#define FORCEWAKE_ACK 0x130090
d62b4892
JB
4443#define VLV_GTLC_WAKE_CTRL 0x130090
4444#define VLV_GTLC_PW_STATUS 0x130094
8d715f00 4445#define FORCEWAKE_MT 0xa188 /* multi-threaded */
c5836c27
CW
4446#define FORCEWAKE_KERNEL 0x1
4447#define FORCEWAKE_USER 0x2
8d715f00
KP
4448#define FORCEWAKE_MT_ACK 0x130040
4449#define ECOBUS 0xa180
4450#define FORCEWAKE_MT_ENABLE (1<<5)
8fd26859 4451
dd202c6d
BW
4452#define GTFIFODBG 0x120000
4453#define GT_FIFO_CPU_ERROR_MASK 7
4454#define GT_FIFO_OVFERR (1<<2)
4455#define GT_FIFO_IAWRERR (1<<1)
4456#define GT_FIFO_IARDERR (1<<0)
4457
91355834 4458#define GT_FIFO_FREE_ENTRIES 0x120008
95736720 4459#define GT_FIFO_NUM_RESERVED_ENTRIES 20
91355834 4460
80e829fa
DV
4461#define GEN6_UCGCTL1 0x9400
4462# define GEN6_BLBUNIT_CLOCK_GATE_DISABLE (1 << 5)
de4a8bd1 4463# define GEN6_CSUNIT_CLOCK_GATE_DISABLE (1 << 7)
80e829fa 4464
406478dc 4465#define GEN6_UCGCTL2 0x9404
0f846f81 4466# define GEN7_VDSUNIT_CLOCK_GATE_DISABLE (1 << 30)
6edaa7fc 4467# define GEN7_TDLUNIT_CLOCK_GATE_DISABLE (1 << 22)
eae66b50 4468# define GEN6_RCZUNIT_CLOCK_GATE_DISABLE (1 << 13)
406478dc 4469# define GEN6_RCPBUNIT_CLOCK_GATE_DISABLE (1 << 12)
9ca1d10d 4470# define GEN6_RCCUNIT_CLOCK_GATE_DISABLE (1 << 11)
406478dc 4471
e3f33d46
JB
4472#define GEN7_UCGCTL4 0x940c
4473#define GEN7_L3BANK2X_CLOCK_GATE_DISABLE (1<<25)
4474
3b8d8d91 4475#define GEN6_RPNSWREQ 0xA008
8fd26859
CW
4476#define GEN6_TURBO_DISABLE (1<<31)
4477#define GEN6_FREQUENCY(x) ((x)<<25)
92bd1bf0 4478#define HSW_FREQUENCY(x) ((x)<<24)
8fd26859
CW
4479#define GEN6_OFFSET(x) ((x)<<19)
4480#define GEN6_AGGRESSIVE_TURBO (0<<15)
4481#define GEN6_RC_VIDEO_FREQ 0xA00C
4482#define GEN6_RC_CONTROL 0xA090
4483#define GEN6_RC_CTL_RC6pp_ENABLE (1<<16)
4484#define GEN6_RC_CTL_RC6p_ENABLE (1<<17)
4485#define GEN6_RC_CTL_RC6_ENABLE (1<<18)
4486#define GEN6_RC_CTL_RC1e_ENABLE (1<<20)
4487#define GEN6_RC_CTL_RC7_ENABLE (1<<22)
0a073b84 4488#define GEN7_RC_CTL_TO_MODE (1<<28)
8fd26859
CW
4489#define GEN6_RC_CTL_EI_MODE(x) ((x)<<27)
4490#define GEN6_RC_CTL_HW_ENABLE (1<<31)
4491#define GEN6_RP_DOWN_TIMEOUT 0xA010
4492#define GEN6_RP_INTERRUPT_LIMITS 0xA014
3b8d8d91 4493#define GEN6_RPSTAT1 0xA01C
ccab5c82 4494#define GEN6_CAGF_SHIFT 8
f82855d3 4495#define HSW_CAGF_SHIFT 7
ccab5c82 4496#define GEN6_CAGF_MASK (0x7f << GEN6_CAGF_SHIFT)
f82855d3 4497#define HSW_CAGF_MASK (0x7f << HSW_CAGF_SHIFT)
8fd26859
CW
4498#define GEN6_RP_CONTROL 0xA024
4499#define GEN6_RP_MEDIA_TURBO (1<<11)
6ed55ee7
BW
4500#define GEN6_RP_MEDIA_MODE_MASK (3<<9)
4501#define GEN6_RP_MEDIA_HW_TURBO_MODE (3<<9)
4502#define GEN6_RP_MEDIA_HW_NORMAL_MODE (2<<9)
4503#define GEN6_RP_MEDIA_HW_MODE (1<<9)
4504#define GEN6_RP_MEDIA_SW_MODE (0<<9)
8fd26859
CW
4505#define GEN6_RP_MEDIA_IS_GFX (1<<8)
4506#define GEN6_RP_ENABLE (1<<7)
ccab5c82
JB
4507#define GEN6_RP_UP_IDLE_MIN (0x1<<3)
4508#define GEN6_RP_UP_BUSY_AVG (0x2<<3)
4509#define GEN6_RP_UP_BUSY_CONT (0x4<<3)
5a7dc92a 4510#define GEN7_RP_DOWN_IDLE_AVG (0x2<<0)
ccab5c82 4511#define GEN6_RP_DOWN_IDLE_CONT (0x1<<0)
8fd26859
CW
4512#define GEN6_RP_UP_THRESHOLD 0xA02C
4513#define GEN6_RP_DOWN_THRESHOLD 0xA030
ccab5c82
JB
4514#define GEN6_RP_CUR_UP_EI 0xA050
4515#define GEN6_CURICONT_MASK 0xffffff
4516#define GEN6_RP_CUR_UP 0xA054
4517#define GEN6_CURBSYTAVG_MASK 0xffffff
4518#define GEN6_RP_PREV_UP 0xA058
4519#define GEN6_RP_CUR_DOWN_EI 0xA05C
4520#define GEN6_CURIAVG_MASK 0xffffff
4521#define GEN6_RP_CUR_DOWN 0xA060
4522#define GEN6_RP_PREV_DOWN 0xA064
8fd26859
CW
4523#define GEN6_RP_UP_EI 0xA068
4524#define GEN6_RP_DOWN_EI 0xA06C
4525#define GEN6_RP_IDLE_HYSTERSIS 0xA070
4526#define GEN6_RC_STATE 0xA094
4527#define GEN6_RC1_WAKE_RATE_LIMIT 0xA098
4528#define GEN6_RC6_WAKE_RATE_LIMIT 0xA09C
4529#define GEN6_RC6pp_WAKE_RATE_LIMIT 0xA0A0
4530#define GEN6_RC_EVALUATION_INTERVAL 0xA0A8
4531#define GEN6_RC_IDLE_HYSTERSIS 0xA0AC
4532#define GEN6_RC_SLEEP 0xA0B0
4533#define GEN6_RC1e_THRESHOLD 0xA0B4
4534#define GEN6_RC6_THRESHOLD 0xA0B8
4535#define GEN6_RC6p_THRESHOLD 0xA0BC
4536#define GEN6_RC6pp_THRESHOLD 0xA0C0
3b8d8d91 4537#define GEN6_PMINTRMSK 0xA168
8fd26859
CW
4538
4539#define GEN6_PMISR 0x44020
4912d041 4540#define GEN6_PMIMR 0x44024 /* rps_lock */
8fd26859
CW
4541#define GEN6_PMIIR 0x44028
4542#define GEN6_PMIER 0x4402C
4543#define GEN6_PM_MBOX_EVENT (1<<25)
4544#define GEN6_PM_THERMAL_EVENT (1<<24)
4545#define GEN6_PM_RP_DOWN_TIMEOUT (1<<6)
4546#define GEN6_PM_RP_UP_THRESHOLD (1<<5)
4547#define GEN6_PM_RP_DOWN_THRESHOLD (1<<4)
4548#define GEN6_PM_RP_UP_EI_EXPIRED (1<<2)
4549#define GEN6_PM_RP_DOWN_EI_EXPIRED (1<<1)
4912d041
BW
4550#define GEN6_PM_DEFERRED_EVENTS (GEN6_PM_RP_UP_THRESHOLD | \
4551 GEN6_PM_RP_DOWN_THRESHOLD | \
4552 GEN6_PM_RP_DOWN_TIMEOUT)
8fd26859 4553
cce66a28
BW
4554#define GEN6_GT_GFX_RC6_LOCKED 0x138104
4555#define GEN6_GT_GFX_RC6 0x138108
4556#define GEN6_GT_GFX_RC6p 0x13810C
4557#define GEN6_GT_GFX_RC6pp 0x138110
4558
8fd26859
CW
4559#define GEN6_PCODE_MAILBOX 0x138124
4560#define GEN6_PCODE_READY (1<<31)
a6044e23 4561#define GEN6_READ_OC_PARAMS 0xc
23b2f8bb
JB
4562#define GEN6_PCODE_WRITE_MIN_FREQ_TABLE 0x8
4563#define GEN6_PCODE_READ_MIN_FREQ_TABLE 0x9
31643d54
BW
4564#define GEN6_PCODE_WRITE_RC6VIDS 0x4
4565#define GEN6_PCODE_READ_RC6VIDS 0x5
7083e050
BW
4566#define GEN6_ENCODE_RC6_VID(mv) (((mv) - 245) / 5)
4567#define GEN6_DECODE_RC6_VID(vids) (((vids) * 5) + 245)
8fd26859 4568#define GEN6_PCODE_DATA 0x138128
23b2f8bb 4569#define GEN6_PCODE_FREQ_IA_RATIO_SHIFT 8
3ebecd07 4570#define GEN6_PCODE_FREQ_RING_RATIO_SHIFT 16
8fd26859 4571
4d85529d
BW
4572#define GEN6_GT_CORE_STATUS 0x138060
4573#define GEN6_CORE_CPD_STATE_MASK (7<<4)
4574#define GEN6_RCn_MASK 7
4575#define GEN6_RC0 0
4576#define GEN6_RC3 2
4577#define GEN6_RC6 3
4578#define GEN6_RC7 4
4579
e3689190
BW
4580#define GEN7_MISCCPCTL (0x9424)
4581#define GEN7_DOP_CLOCK_GATE_ENABLE (1<<0)
4582
4583/* IVYBRIDGE DPF */
4584#define GEN7_L3CDERRST1 0xB008 /* L3CD Error Status 1 */
4585#define GEN7_L3CDERRST1_ROW_MASK (0x7ff<<14)
4586#define GEN7_PARITY_ERROR_VALID (1<<13)
4587#define GEN7_L3CDERRST1_BANK_MASK (3<<11)
4588#define GEN7_L3CDERRST1_SUBBANK_MASK (7<<8)
4589#define GEN7_PARITY_ERROR_ROW(reg) \
4590 ((reg & GEN7_L3CDERRST1_ROW_MASK) >> 14)
4591#define GEN7_PARITY_ERROR_BANK(reg) \
4592 ((reg & GEN7_L3CDERRST1_BANK_MASK) >> 11)
4593#define GEN7_PARITY_ERROR_SUBBANK(reg) \
4594 ((reg & GEN7_L3CDERRST1_SUBBANK_MASK) >> 8)
4595#define GEN7_L3CDERRST1_ENABLE (1<<7)
4596
b9524a1e
BW
4597#define GEN7_L3LOG_BASE 0xB070
4598#define GEN7_L3LOG_SIZE 0x80
4599
12f3382b
JB
4600#define GEN7_HALF_SLICE_CHICKEN1 0xe100 /* IVB GT1 + VLV */
4601#define GEN7_HALF_SLICE_CHICKEN1_GT2 0xf100
4602#define GEN7_MAX_PS_THREAD_DEP (8<<12)
4603#define GEN7_PSD_SINGLE_PORT_DISPATCH_ENABLE (1<<3)
4604
8ab43976
JB
4605#define GEN7_ROW_CHICKEN2 0xe4f4
4606#define GEN7_ROW_CHICKEN2_GT2 0xf4f4
4607#define DOP_CLOCK_GATING_DISABLE (1<<0)
4608
f4ba9f81 4609#define G4X_AUD_VID_DID (dev_priv->info->display_mmio_offset + 0x62020)
e0dac65e
WF
4610#define INTEL_AUDIO_DEVCL 0x808629FB
4611#define INTEL_AUDIO_DEVBLC 0x80862801
4612#define INTEL_AUDIO_DEVCTG 0x80862802
4613
4614#define G4X_AUD_CNTL_ST 0x620B4
4615#define G4X_ELDV_DEVCL_DEVBLC (1 << 13)
4616#define G4X_ELDV_DEVCTG (1 << 14)
4617#define G4X_ELD_ADDR (0xf << 5)
4618#define G4X_ELD_ACK (1 << 4)
4619#define G4X_HDMIW_HDMIEDID 0x6210C
4620
1202b4c6 4621#define IBX_HDMIW_HDMIEDID_A 0xE2050
9b138a83
WX
4622#define IBX_HDMIW_HDMIEDID_B 0xE2150
4623#define IBX_HDMIW_HDMIEDID(pipe) _PIPE(pipe, \
4624 IBX_HDMIW_HDMIEDID_A, \
4625 IBX_HDMIW_HDMIEDID_B)
1202b4c6 4626#define IBX_AUD_CNTL_ST_A 0xE20B4
9b138a83
WX
4627#define IBX_AUD_CNTL_ST_B 0xE21B4
4628#define IBX_AUD_CNTL_ST(pipe) _PIPE(pipe, \
4629 IBX_AUD_CNTL_ST_A, \
4630 IBX_AUD_CNTL_ST_B)
1202b4c6
WF
4631#define IBX_ELD_BUFFER_SIZE (0x1f << 10)
4632#define IBX_ELD_ADDRESS (0x1f << 5)
4633#define IBX_ELD_ACK (1 << 4)
4634#define IBX_AUD_CNTL_ST2 0xE20C0
4635#define IBX_ELD_VALIDB (1 << 0)
4636#define IBX_CP_READYB (1 << 1)
4637
4638#define CPT_HDMIW_HDMIEDID_A 0xE5050
9b138a83
WX
4639#define CPT_HDMIW_HDMIEDID_B 0xE5150
4640#define CPT_HDMIW_HDMIEDID(pipe) _PIPE(pipe, \
4641 CPT_HDMIW_HDMIEDID_A, \
4642 CPT_HDMIW_HDMIEDID_B)
1202b4c6 4643#define CPT_AUD_CNTL_ST_A 0xE50B4
9b138a83
WX
4644#define CPT_AUD_CNTL_ST_B 0xE51B4
4645#define CPT_AUD_CNTL_ST(pipe) _PIPE(pipe, \
4646 CPT_AUD_CNTL_ST_A, \
4647 CPT_AUD_CNTL_ST_B)
1202b4c6 4648#define CPT_AUD_CNTRL_ST2 0xE50C0
e0dac65e 4649
ae662d31
EA
4650/* These are the 4 32-bit write offset registers for each stream
4651 * output buffer. It determines the offset from the
4652 * 3DSTATE_SO_BUFFERs that the next streamed vertex output goes to.
4653 */
4654#define GEN7_SO_WRITE_OFFSET(n) (0x5280 + (n) * 4)
4655
b6daa025 4656#define IBX_AUD_CONFIG_A 0xe2000
9b138a83
WX
4657#define IBX_AUD_CONFIG_B 0xe2100
4658#define IBX_AUD_CFG(pipe) _PIPE(pipe, \
4659 IBX_AUD_CONFIG_A, \
4660 IBX_AUD_CONFIG_B)
b6daa025 4661#define CPT_AUD_CONFIG_A 0xe5000
9b138a83
WX
4662#define CPT_AUD_CONFIG_B 0xe5100
4663#define CPT_AUD_CFG(pipe) _PIPE(pipe, \
4664 CPT_AUD_CONFIG_A, \
4665 CPT_AUD_CONFIG_B)
b6daa025
WF
4666#define AUD_CONFIG_N_VALUE_INDEX (1 << 29)
4667#define AUD_CONFIG_N_PROG_ENABLE (1 << 28)
4668#define AUD_CONFIG_UPPER_N_SHIFT 20
4669#define AUD_CONFIG_UPPER_N_VALUE (0xff << 20)
4670#define AUD_CONFIG_LOWER_N_SHIFT 4
4671#define AUD_CONFIG_LOWER_N_VALUE (0xfff << 4)
4672#define AUD_CONFIG_PIXEL_CLOCK_HDMI_SHIFT 16
4673#define AUD_CONFIG_PIXEL_CLOCK_HDMI (0xf << 16)
4674#define AUD_CONFIG_DISABLE_NCTS (1 << 3)
4675
9a78b6cc
WX
4676/* HSW Audio */
4677#define HSW_AUD_CONFIG_A 0x65000 /* Audio Configuration Transcoder A */
4678#define HSW_AUD_CONFIG_B 0x65100 /* Audio Configuration Transcoder B */
4679#define HSW_AUD_CFG(pipe) _PIPE(pipe, \
4680 HSW_AUD_CONFIG_A, \
4681 HSW_AUD_CONFIG_B)
4682
4683#define HSW_AUD_MISC_CTRL_A 0x65010 /* Audio Misc Control Convert 1 */
4684#define HSW_AUD_MISC_CTRL_B 0x65110 /* Audio Misc Control Convert 2 */
4685#define HSW_AUD_MISC_CTRL(pipe) _PIPE(pipe, \
4686 HSW_AUD_MISC_CTRL_A, \
4687 HSW_AUD_MISC_CTRL_B)
4688
4689#define HSW_AUD_DIP_ELD_CTRL_ST_A 0x650b4 /* Audio DIP and ELD Control State Transcoder A */
4690#define HSW_AUD_DIP_ELD_CTRL_ST_B 0x651b4 /* Audio DIP and ELD Control State Transcoder B */
4691#define HSW_AUD_DIP_ELD_CTRL(pipe) _PIPE(pipe, \
4692 HSW_AUD_DIP_ELD_CTRL_ST_A, \
4693 HSW_AUD_DIP_ELD_CTRL_ST_B)
4694
4695/* Audio Digital Converter */
4696#define HSW_AUD_DIG_CNVT_1 0x65080 /* Audio Converter 1 */
4697#define HSW_AUD_DIG_CNVT_2 0x65180 /* Audio Converter 1 */
4698#define AUD_DIG_CNVT(pipe) _PIPE(pipe, \
4699 HSW_AUD_DIG_CNVT_1, \
4700 HSW_AUD_DIG_CNVT_2)
9b138a83 4701#define DIP_PORT_SEL_MASK 0x3
9a78b6cc
WX
4702
4703#define HSW_AUD_EDID_DATA_A 0x65050
4704#define HSW_AUD_EDID_DATA_B 0x65150
4705#define HSW_AUD_EDID_DATA(pipe) _PIPE(pipe, \
4706 HSW_AUD_EDID_DATA_A, \
4707 HSW_AUD_EDID_DATA_B)
4708
4709#define HSW_AUD_PIPE_CONV_CFG 0x6507c /* Audio pipe and converter configs */
4710#define HSW_AUD_PIN_ELD_CP_VLD 0x650c0 /* Audio ELD and CP Ready Status */
4711#define AUDIO_INACTIVE_C (1<<11)
4712#define AUDIO_INACTIVE_B (1<<7)
4713#define AUDIO_INACTIVE_A (1<<3)
4714#define AUDIO_OUTPUT_ENABLE_A (1<<2)
4715#define AUDIO_OUTPUT_ENABLE_B (1<<6)
4716#define AUDIO_OUTPUT_ENABLE_C (1<<10)
4717#define AUDIO_ELD_VALID_A (1<<0)
4718#define AUDIO_ELD_VALID_B (1<<4)
4719#define AUDIO_ELD_VALID_C (1<<8)
4720#define AUDIO_CP_READY_A (1<<1)
4721#define AUDIO_CP_READY_B (1<<5)
4722#define AUDIO_CP_READY_C (1<<9)
4723
9eb3a752 4724/* HSW Power Wells */
fa42e23c
PZ
4725#define HSW_PWR_WELL_BIOS 0x45400 /* CTL1 */
4726#define HSW_PWR_WELL_DRIVER 0x45404 /* CTL2 */
4727#define HSW_PWR_WELL_KVMR 0x45408 /* CTL3 */
4728#define HSW_PWR_WELL_DEBUG 0x4540C /* CTL4 */
5e49cea6
PZ
4729#define HSW_PWR_WELL_ENABLE (1<<31)
4730#define HSW_PWR_WELL_STATE (1<<30)
4731#define HSW_PWR_WELL_CTL5 0x45410
9eb3a752
ED
4732#define HSW_PWR_WELL_ENABLE_SINGLE_STEP (1<<31)
4733#define HSW_PWR_WELL_PWR_GATE_OVERRIDE (1<<20)
5e49cea6
PZ
4734#define HSW_PWR_WELL_FORCE_ON (1<<19)
4735#define HSW_PWR_WELL_CTL6 0x45414
9eb3a752 4736
e7e104c3 4737/* Per-pipe DDI Function Control */
ad80a810
PZ
4738#define TRANS_DDI_FUNC_CTL_A 0x60400
4739#define TRANS_DDI_FUNC_CTL_B 0x61400
4740#define TRANS_DDI_FUNC_CTL_C 0x62400
4741#define TRANS_DDI_FUNC_CTL_EDP 0x6F400
4742#define TRANS_DDI_FUNC_CTL(tran) _TRANSCODER(tran, TRANS_DDI_FUNC_CTL_A, \
4743 TRANS_DDI_FUNC_CTL_B)
4744#define TRANS_DDI_FUNC_ENABLE (1<<31)
e7e104c3 4745/* Those bits are ignored by pipe EDP since it can only connect to DDI A */
ad80a810
PZ
4746#define TRANS_DDI_PORT_MASK (7<<28)
4747#define TRANS_DDI_SELECT_PORT(x) ((x)<<28)
4748#define TRANS_DDI_PORT_NONE (0<<28)
4749#define TRANS_DDI_MODE_SELECT_MASK (7<<24)
4750#define TRANS_DDI_MODE_SELECT_HDMI (0<<24)
4751#define TRANS_DDI_MODE_SELECT_DVI (1<<24)
4752#define TRANS_DDI_MODE_SELECT_DP_SST (2<<24)
4753#define TRANS_DDI_MODE_SELECT_DP_MST (3<<24)
4754#define TRANS_DDI_MODE_SELECT_FDI (4<<24)
4755#define TRANS_DDI_BPC_MASK (7<<20)
4756#define TRANS_DDI_BPC_8 (0<<20)
4757#define TRANS_DDI_BPC_10 (1<<20)
4758#define TRANS_DDI_BPC_6 (2<<20)
4759#define TRANS_DDI_BPC_12 (3<<20)
4760#define TRANS_DDI_PVSYNC (1<<17)
4761#define TRANS_DDI_PHSYNC (1<<16)
4762#define TRANS_DDI_EDP_INPUT_MASK (7<<12)
4763#define TRANS_DDI_EDP_INPUT_A_ON (0<<12)
4764#define TRANS_DDI_EDP_INPUT_A_ONOFF (4<<12)
4765#define TRANS_DDI_EDP_INPUT_B_ONOFF (5<<12)
4766#define TRANS_DDI_EDP_INPUT_C_ONOFF (6<<12)
4767#define TRANS_DDI_BFI_ENABLE (1<<4)
e7e104c3 4768
0e87f667
ED
4769/* DisplayPort Transport Control */
4770#define DP_TP_CTL_A 0x64040
4771#define DP_TP_CTL_B 0x64140
5e49cea6
PZ
4772#define DP_TP_CTL(port) _PORT(port, DP_TP_CTL_A, DP_TP_CTL_B)
4773#define DP_TP_CTL_ENABLE (1<<31)
4774#define DP_TP_CTL_MODE_SST (0<<27)
4775#define DP_TP_CTL_MODE_MST (1<<27)
0e87f667 4776#define DP_TP_CTL_ENHANCED_FRAME_ENABLE (1<<18)
5e49cea6 4777#define DP_TP_CTL_FDI_AUTOTRAIN (1<<15)
0e87f667
ED
4778#define DP_TP_CTL_LINK_TRAIN_MASK (7<<8)
4779#define DP_TP_CTL_LINK_TRAIN_PAT1 (0<<8)
4780#define DP_TP_CTL_LINK_TRAIN_PAT2 (1<<8)
d6c0d722
PZ
4781#define DP_TP_CTL_LINK_TRAIN_PAT3 (4<<8)
4782#define DP_TP_CTL_LINK_TRAIN_IDLE (2<<8)
5e49cea6 4783#define DP_TP_CTL_LINK_TRAIN_NORMAL (3<<8)
d6c0d722 4784#define DP_TP_CTL_SCRAMBLE_DISABLE (1<<7)
0e87f667 4785
e411b2c1
ED
4786/* DisplayPort Transport Status */
4787#define DP_TP_STATUS_A 0x64044
4788#define DP_TP_STATUS_B 0x64144
5e49cea6 4789#define DP_TP_STATUS(port) _PORT(port, DP_TP_STATUS_A, DP_TP_STATUS_B)
d6c0d722 4790#define DP_TP_STATUS_IDLE_DONE (1<<25)
e411b2c1
ED
4791#define DP_TP_STATUS_AUTOTRAIN_DONE (1<<12)
4792
03f896a1
ED
4793/* DDI Buffer Control */
4794#define DDI_BUF_CTL_A 0x64000
4795#define DDI_BUF_CTL_B 0x64100
5e49cea6
PZ
4796#define DDI_BUF_CTL(port) _PORT(port, DDI_BUF_CTL_A, DDI_BUF_CTL_B)
4797#define DDI_BUF_CTL_ENABLE (1<<31)
03f896a1 4798#define DDI_BUF_EMP_400MV_0DB_HSW (0<<24) /* Sel0 */
5e49cea6 4799#define DDI_BUF_EMP_400MV_3_5DB_HSW (1<<24) /* Sel1 */
03f896a1 4800#define DDI_BUF_EMP_400MV_6DB_HSW (2<<24) /* Sel2 */
5e49cea6 4801#define DDI_BUF_EMP_400MV_9_5DB_HSW (3<<24) /* Sel3 */
03f896a1 4802#define DDI_BUF_EMP_600MV_0DB_HSW (4<<24) /* Sel4 */
5e49cea6 4803#define DDI_BUF_EMP_600MV_3_5DB_HSW (5<<24) /* Sel5 */
03f896a1
ED
4804#define DDI_BUF_EMP_600MV_6DB_HSW (6<<24) /* Sel6 */
4805#define DDI_BUF_EMP_800MV_0DB_HSW (7<<24) /* Sel7 */
5e49cea6
PZ
4806#define DDI_BUF_EMP_800MV_3_5DB_HSW (8<<24) /* Sel8 */
4807#define DDI_BUF_EMP_MASK (0xf<<24)
876a8cdf 4808#define DDI_BUF_PORT_REVERSAL (1<<16)
5e49cea6 4809#define DDI_BUF_IS_IDLE (1<<7)
79935fca 4810#define DDI_A_4_LANES (1<<4)
17aa6be9 4811#define DDI_PORT_WIDTH(width) (((width) - 1) << 1)
03f896a1
ED
4812#define DDI_INIT_DISPLAY_DETECTED (1<<0)
4813
bb879a44
ED
4814/* DDI Buffer Translations */
4815#define DDI_BUF_TRANS_A 0x64E00
4816#define DDI_BUF_TRANS_B 0x64E60
5e49cea6 4817#define DDI_BUF_TRANS(port) _PORT(port, DDI_BUF_TRANS_A, DDI_BUF_TRANS_B)
bb879a44 4818
7501a4d8
ED
4819/* Sideband Interface (SBI) is programmed indirectly, via
4820 * SBI_ADDR, which contains the register offset; and SBI_DATA,
4821 * which contains the payload */
5e49cea6
PZ
4822#define SBI_ADDR 0xC6000
4823#define SBI_DATA 0xC6004
7501a4d8 4824#define SBI_CTL_STAT 0xC6008
988d6ee8
PZ
4825#define SBI_CTL_DEST_ICLK (0x0<<16)
4826#define SBI_CTL_DEST_MPHY (0x1<<16)
4827#define SBI_CTL_OP_IORD (0x2<<8)
4828#define SBI_CTL_OP_IOWR (0x3<<8)
7501a4d8
ED
4829#define SBI_CTL_OP_CRRD (0x6<<8)
4830#define SBI_CTL_OP_CRWR (0x7<<8)
4831#define SBI_RESPONSE_FAIL (0x1<<1)
5e49cea6
PZ
4832#define SBI_RESPONSE_SUCCESS (0x0<<1)
4833#define SBI_BUSY (0x1<<0)
4834#define SBI_READY (0x0<<0)
52f025ef 4835
ccf1c867 4836/* SBI offsets */
5e49cea6 4837#define SBI_SSCDIVINTPHASE6 0x0600
ccf1c867
ED
4838#define SBI_SSCDIVINTPHASE_DIVSEL_MASK ((0x7f)<<1)
4839#define SBI_SSCDIVINTPHASE_DIVSEL(x) ((x)<<1)
4840#define SBI_SSCDIVINTPHASE_INCVAL_MASK ((0x7f)<<8)
4841#define SBI_SSCDIVINTPHASE_INCVAL(x) ((x)<<8)
5e49cea6 4842#define SBI_SSCDIVINTPHASE_DIR(x) ((x)<<15)
ccf1c867 4843#define SBI_SSCDIVINTPHASE_PROPAGATE (1<<0)
5e49cea6 4844#define SBI_SSCCTL 0x020c
ccf1c867 4845#define SBI_SSCCTL6 0x060C
dde86e2d 4846#define SBI_SSCCTL_PATHALT (1<<3)
5e49cea6 4847#define SBI_SSCCTL_DISABLE (1<<0)
ccf1c867
ED
4848#define SBI_SSCAUXDIV6 0x0610
4849#define SBI_SSCAUXDIV_FINALDIV2SEL(x) ((x)<<4)
5e49cea6 4850#define SBI_DBUFF0 0x2a00
dde86e2d 4851#define SBI_DBUFF0_ENABLE (1<<0)
ccf1c867 4852
52f025ef 4853/* LPT PIXCLK_GATE */
5e49cea6 4854#define PIXCLK_GATE 0xC6020
745ca3be
PZ
4855#define PIXCLK_GATE_UNGATE (1<<0)
4856#define PIXCLK_GATE_GATE (0<<0)
52f025ef 4857
e93ea06a 4858/* SPLL */
5e49cea6 4859#define SPLL_CTL 0x46020
e93ea06a 4860#define SPLL_PLL_ENABLE (1<<31)
39bc66c9
DL
4861#define SPLL_PLL_SSC (1<<28)
4862#define SPLL_PLL_NON_SSC (2<<28)
5e49cea6
PZ
4863#define SPLL_PLL_FREQ_810MHz (0<<26)
4864#define SPLL_PLL_FREQ_1350MHz (1<<26)
e93ea06a 4865
4dffc404 4866/* WRPLL */
5e49cea6
PZ
4867#define WRPLL_CTL1 0x46040
4868#define WRPLL_CTL2 0x46060
4869#define WRPLL_PLL_ENABLE (1<<31)
4870#define WRPLL_PLL_SELECT_SSC (0x01<<28)
39bc66c9 4871#define WRPLL_PLL_SELECT_NON_SSC (0x02<<28)
4dffc404 4872#define WRPLL_PLL_SELECT_LCPLL_2700 (0x03<<28)
ef4d084f 4873/* WRPLL divider programming */
5e49cea6
PZ
4874#define WRPLL_DIVIDER_REFERENCE(x) ((x)<<0)
4875#define WRPLL_DIVIDER_POST(x) ((x)<<8)
4876#define WRPLL_DIVIDER_FEEDBACK(x) ((x)<<16)
4dffc404 4877
fec9181c
ED
4878/* Port clock selection */
4879#define PORT_CLK_SEL_A 0x46100
4880#define PORT_CLK_SEL_B 0x46104
5e49cea6 4881#define PORT_CLK_SEL(port) _PORT(port, PORT_CLK_SEL_A, PORT_CLK_SEL_B)
fec9181c
ED
4882#define PORT_CLK_SEL_LCPLL_2700 (0<<29)
4883#define PORT_CLK_SEL_LCPLL_1350 (1<<29)
4884#define PORT_CLK_SEL_LCPLL_810 (2<<29)
5e49cea6 4885#define PORT_CLK_SEL_SPLL (3<<29)
fec9181c
ED
4886#define PORT_CLK_SEL_WRPLL1 (4<<29)
4887#define PORT_CLK_SEL_WRPLL2 (5<<29)
6441ab5f 4888#define PORT_CLK_SEL_NONE (7<<29)
fec9181c 4889
bb523fc0
PZ
4890/* Transcoder clock selection */
4891#define TRANS_CLK_SEL_A 0x46140
4892#define TRANS_CLK_SEL_B 0x46144
4893#define TRANS_CLK_SEL(tran) _TRANSCODER(tran, TRANS_CLK_SEL_A, TRANS_CLK_SEL_B)
4894/* For each transcoder, we need to select the corresponding port clock */
4895#define TRANS_CLK_SEL_DISABLED (0x0<<29)
4896#define TRANS_CLK_SEL_PORT(x) ((x+1)<<29)
fec9181c 4897
c9809791
PZ
4898#define _TRANSA_MSA_MISC 0x60410
4899#define _TRANSB_MSA_MISC 0x61410
4900#define TRANS_MSA_MISC(tran) _TRANSCODER(tran, _TRANSA_MSA_MISC, \
4901 _TRANSB_MSA_MISC)
4902#define TRANS_MSA_SYNC_CLK (1<<0)
4903#define TRANS_MSA_6_BPC (0<<5)
4904#define TRANS_MSA_8_BPC (1<<5)
4905#define TRANS_MSA_10_BPC (2<<5)
4906#define TRANS_MSA_12_BPC (3<<5)
4907#define TRANS_MSA_16_BPC (4<<5)
dae84799 4908
90e8d31c 4909/* LCPLL Control */
5e49cea6 4910#define LCPLL_CTL 0x130040
90e8d31c
ED
4911#define LCPLL_PLL_DISABLE (1<<31)
4912#define LCPLL_PLL_LOCK (1<<30)
79f689aa
PZ
4913#define LCPLL_CLK_FREQ_MASK (3<<26)
4914#define LCPLL_CLK_FREQ_450 (0<<26)
5e49cea6 4915#define LCPLL_CD_CLOCK_DISABLE (1<<25)
90e8d31c 4916#define LCPLL_CD2X_CLOCK_DISABLE (1<<23)
79f689aa 4917#define LCPLL_CD_SOURCE_FCLK (1<<21)
90e8d31c 4918
69e94b7e
ED
4919/* Pipe WM_LINETIME - watermark line time */
4920#define PIPE_WM_LINETIME_A 0x45270
4921#define PIPE_WM_LINETIME_B 0x45274
5e49cea6
PZ
4922#define PIPE_WM_LINETIME(pipe) _PIPE(pipe, PIPE_WM_LINETIME_A, \
4923 PIPE_WM_LINETIME_B)
4924#define PIPE_WM_LINETIME_MASK (0x1ff)
4925#define PIPE_WM_LINETIME_TIME(x) ((x))
69e94b7e 4926#define PIPE_WM_LINETIME_IPS_LINETIME_MASK (0x1ff<<16)
5e49cea6 4927#define PIPE_WM_LINETIME_IPS_LINETIME(x) ((x)<<16)
96d6e350
ED
4928
4929/* SFUSE_STRAP */
5e49cea6 4930#define SFUSE_STRAP 0xc2014
96d6e350
ED
4931#define SFUSE_STRAP_DDIB_DETECTED (1<<2)
4932#define SFUSE_STRAP_DDIC_DETECTED (1<<1)
4933#define SFUSE_STRAP_DDID_DETECTED (1<<0)
4934
1544d9d5
ED
4935#define WM_DBG 0x45280
4936#define WM_DBG_DISALLOW_MULTIPLE_LP (1<<0)
4937#define WM_DBG_DISALLOW_MAXFIFO (1<<1)
4938#define WM_DBG_DISALLOW_SPRITE (1<<2)
4939
86d3efce
VS
4940/* pipe CSC */
4941#define _PIPE_A_CSC_COEFF_RY_GY 0x49010
4942#define _PIPE_A_CSC_COEFF_BY 0x49014
4943#define _PIPE_A_CSC_COEFF_RU_GU 0x49018
4944#define _PIPE_A_CSC_COEFF_BU 0x4901c
4945#define _PIPE_A_CSC_COEFF_RV_GV 0x49020
4946#define _PIPE_A_CSC_COEFF_BV 0x49024
4947#define _PIPE_A_CSC_MODE 0x49028
29a397ba
VS
4948#define CSC_BLACK_SCREEN_OFFSET (1 << 2)
4949#define CSC_POSITION_BEFORE_GAMMA (1 << 1)
4950#define CSC_MODE_YUV_TO_RGB (1 << 0)
86d3efce
VS
4951#define _PIPE_A_CSC_PREOFF_HI 0x49030
4952#define _PIPE_A_CSC_PREOFF_ME 0x49034
4953#define _PIPE_A_CSC_PREOFF_LO 0x49038
4954#define _PIPE_A_CSC_POSTOFF_HI 0x49040
4955#define _PIPE_A_CSC_POSTOFF_ME 0x49044
4956#define _PIPE_A_CSC_POSTOFF_LO 0x49048
4957
4958#define _PIPE_B_CSC_COEFF_RY_GY 0x49110
4959#define _PIPE_B_CSC_COEFF_BY 0x49114
4960#define _PIPE_B_CSC_COEFF_RU_GU 0x49118
4961#define _PIPE_B_CSC_COEFF_BU 0x4911c
4962#define _PIPE_B_CSC_COEFF_RV_GV 0x49120
4963#define _PIPE_B_CSC_COEFF_BV 0x49124
4964#define _PIPE_B_CSC_MODE 0x49128
4965#define _PIPE_B_CSC_PREOFF_HI 0x49130
4966#define _PIPE_B_CSC_PREOFF_ME 0x49134
4967#define _PIPE_B_CSC_PREOFF_LO 0x49138
4968#define _PIPE_B_CSC_POSTOFF_HI 0x49140
4969#define _PIPE_B_CSC_POSTOFF_ME 0x49144
4970#define _PIPE_B_CSC_POSTOFF_LO 0x49148
4971
86d3efce
VS
4972#define PIPE_CSC_COEFF_RY_GY(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_RY_GY, _PIPE_B_CSC_COEFF_RY_GY)
4973#define PIPE_CSC_COEFF_BY(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_BY, _PIPE_B_CSC_COEFF_BY)
4974#define PIPE_CSC_COEFF_RU_GU(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_RU_GU, _PIPE_B_CSC_COEFF_RU_GU)
4975#define PIPE_CSC_COEFF_BU(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_BU, _PIPE_B_CSC_COEFF_BU)
4976#define PIPE_CSC_COEFF_RV_GV(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_RV_GV, _PIPE_B_CSC_COEFF_RV_GV)
4977#define PIPE_CSC_COEFF_BV(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_BV, _PIPE_B_CSC_COEFF_BV)
4978#define PIPE_CSC_MODE(pipe) _PIPE(pipe, _PIPE_A_CSC_MODE, _PIPE_B_CSC_MODE)
4979#define PIPE_CSC_PREOFF_HI(pipe) _PIPE(pipe, _PIPE_A_CSC_PREOFF_HI, _PIPE_B_CSC_PREOFF_HI)
4980#define PIPE_CSC_PREOFF_ME(pipe) _PIPE(pipe, _PIPE_A_CSC_PREOFF_ME, _PIPE_B_CSC_PREOFF_ME)
4981#define PIPE_CSC_PREOFF_LO(pipe) _PIPE(pipe, _PIPE_A_CSC_PREOFF_LO, _PIPE_B_CSC_PREOFF_LO)
4982#define PIPE_CSC_POSTOFF_HI(pipe) _PIPE(pipe, _PIPE_A_CSC_POSTOFF_HI, _PIPE_B_CSC_POSTOFF_HI)
4983#define PIPE_CSC_POSTOFF_ME(pipe) _PIPE(pipe, _PIPE_A_CSC_POSTOFF_ME, _PIPE_B_CSC_POSTOFF_ME)
4984#define PIPE_CSC_POSTOFF_LO(pipe) _PIPE(pipe, _PIPE_A_CSC_POSTOFF_LO, _PIPE_B_CSC_POSTOFF_LO)
4985
585fb111 4986#endif /* _I915_REG_H_ */
This page took 0.737783 seconds and 5 git commands to generate.