Merge git://git.kernel.org/pub/scm/linux/kernel/git/davem/net
[deliverable/linux.git] / drivers / net / wireless / ath / ath9k / ar9003_eeprom.c
CommitLineData
15c9ee7a 1/*
5b68138e 2 * Copyright (c) 2010-2011 Atheros Communications Inc.
15c9ee7a
SB
3 *
4 * Permission to use, copy, modify, and/or distribute this software for any
5 * purpose with or without fee is hereby granted, provided that the above
6 * copyright notice and this permission notice appear in all copies.
7 *
8 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
9 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
10 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
11 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
12 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
13 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
14 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
15 */
16
78fa99ab 17#include <asm/unaligned.h>
15c9ee7a
SB
18#include "hw.h"
19#include "ar9003_phy.h"
20#include "ar9003_eeprom.h"
e82cb03f 21#include "ar9003_mci.h"
15c9ee7a
SB
22
23#define COMP_HDR_LEN 4
24#define COMP_CKSUM_LEN 2
25
1b5c8d60
JP
26#define LE16(x) cpu_to_le16(x)
27#define LE32(x) cpu_to_le32(x)
ffdc4cbe 28
824b185a
LR
29/* Local defines to distinguish between extension and control CTL's */
30#define EXT_ADDITIVE (0x8000)
31#define CTL_11A_EXT (CTL_11A | EXT_ADDITIVE)
32#define CTL_11G_EXT (CTL_11G | EXT_ADDITIVE)
33#define CTL_11B_EXT (CTL_11B | EXT_ADDITIVE)
824b185a
LR
34
35#define SUB_NUM_CTL_MODES_AT_5G_40 2 /* excluding HT40, EXT-OFDM */
36#define SUB_NUM_CTL_MODES_AT_2G_40 3 /* excluding HT40, EXT-OFDM, EXT-CCK */
37
e702ba18
FF
38#define CTL(_tpower, _flag) ((_tpower) | ((_flag) << 6))
39
d0ce2d17
VT
40#define EEPROM_DATA_LEN_9485 1088
41
f4475a6e
VT
42static int ar9003_hw_power_interpolate(int32_t x,
43 int32_t *px, int32_t *py, u_int16_t np);
fe6c7915 44
15c9ee7a
SB
45static const struct ar9300_eeprom ar9300_default = {
46 .eepromVersion = 2,
47 .templateVersion = 2,
b503c7a2 48 .macAddr = {0, 2, 3, 4, 5, 6},
15c9ee7a
SB
49 .custData = {0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
50 0, 0, 0, 0, 0, 0, 0, 0, 0, 0},
51 .baseEepHeader = {
ffdc4cbe 52 .regDmn = { LE16(0), LE16(0x1f) },
15c9ee7a
SB
53 .txrxMask = 0x77, /* 4 bits tx and 4 bits rx */
54 .opCapFlags = {
4ddfcd7d 55 .opFlags = AR5416_OPFLAGS_11G | AR5416_OPFLAGS_11A,
15c9ee7a
SB
56 .eepMisc = 0,
57 },
58 .rfSilent = 0,
59 .blueToothOptions = 0,
60 .deviceCap = 0,
61 .deviceType = 5, /* takes lower byte in eeprom location */
62 .pwrTableOffset = AR9300_PWR_TABLE_OFFSET,
63 .params_for_tuning_caps = {0, 0},
64 .featureEnable = 0x0c,
65 /*
66 * bit0 - enable tx temp comp - disabled
67 * bit1 - enable tx volt comp - disabled
68 * bit2 - enable fastClock - enabled
69 * bit3 - enable doubling - enabled
70 * bit4 - enable internal regulator - disabled
4935250a 71 * bit5 - enable pa predistortion - disabled
15c9ee7a
SB
72 */
73 .miscConfiguration = 0, /* bit0 - turn down drivestrength */
74 .eepromWriteEnableGpio = 3,
75 .wlanDisableGpio = 0,
76 .wlanLedGpio = 8,
77 .rxBandSelectGpio = 0xff,
78 .txrxgain = 0,
79 .swreg = 0,
80 },
81 .modalHeader2G = {
82 /* ar9300_modal_eep_header 2g */
83 /* 4 idle,t1,t2,b(4 bits per setting) */
ffdc4cbe 84 .antCtrlCommon = LE32(0x110),
15c9ee7a 85 /* 4 ra1l1, ra2l1, ra1l2, ra2l2, ra12 */
ffdc4cbe 86 .antCtrlCommon2 = LE32(0x22222),
15c9ee7a
SB
87
88 /*
89 * antCtrlChain[AR9300_MAX_CHAINS]; 6 idle, t, r,
90 * rx1, rx12, b (2 bits each)
91 */
ffdc4cbe 92 .antCtrlChain = { LE16(0x150), LE16(0x150), LE16(0x150) },
15c9ee7a
SB
93
94 /*
95 * xatten1DB[AR9300_MAX_CHAINS]; 3 xatten1_db
96 * for ar9280 (0xa20c/b20c 5:0)
97 */
98 .xatten1DB = {0, 0, 0},
99
100 /*
101 * xatten1Margin[AR9300_MAX_CHAINS]; 3 xatten1_margin
102 * for ar9280 (0xa20c/b20c 16:12
103 */
104 .xatten1Margin = {0, 0, 0},
105 .tempSlope = 36,
106 .voltSlope = 0,
107
108 /*
109 * spurChans[OSPREY_EEPROM_MODAL_SPURS]; spur
110 * channels in usual fbin coding format
111 */
112 .spurChans = {0, 0, 0, 0, 0},
113
114 /*
115 * noiseFloorThreshCh[AR9300_MAX_CHAINS]; 3 Check
116 * if the register is per chain
117 */
118 .noiseFloorThreshCh = {-1, 0, 0},
df222edc
RM
119 .reserved = {0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0},
120 .quick_drop = 0,
15c9ee7a
SB
121 .xpaBiasLvl = 0,
122 .txFrameToDataStart = 0x0e,
123 .txFrameToPaOn = 0x0e,
124 .txClip = 3, /* 4 bits tx_clip, 4 bits dac_scale_cck */
125 .antennaGain = 0,
126 .switchSettling = 0x2c,
127 .adcDesiredSize = -30,
128 .txEndToXpaOff = 0,
129 .txEndToRxOn = 0x2,
130 .txFrameToXpaOn = 0xe,
131 .thresh62 = 28,
3ceb801b
SB
132 .papdRateMaskHt20 = LE32(0x0cf0e0e0),
133 .papdRateMaskHt40 = LE32(0x6cf0e0e0),
66a80a3a 134 .switchcomspdt = 0,
3e2ea543 135 .xlna_bias_strength = 0,
4935250a 136 .futureModal = {
3e2ea543 137 0, 0, 0, 0, 0, 0, 0,
15c9ee7a
SB
138 },
139 },
b3dd6bc1
SB
140 .base_ext1 = {
141 .ant_div_control = 0,
ee65b388 142 .future = {0, 0},
420e2b1b 143 .tempslopextension = {0, 0, 0, 0, 0, 0, 0, 0}
b3dd6bc1 144 },
15c9ee7a
SB
145 .calFreqPier2G = {
146 FREQ2FBIN(2412, 1),
147 FREQ2FBIN(2437, 1),
148 FREQ2FBIN(2472, 1),
149 },
150 /* ar9300_cal_data_per_freq_op_loop 2g */
151 .calPierData2G = {
152 { {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0} },
153 { {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0} },
154 { {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0} },
155 },
156 .calTarget_freqbin_Cck = {
157 FREQ2FBIN(2412, 1),
158 FREQ2FBIN(2484, 1),
159 },
160 .calTarget_freqbin_2G = {
161 FREQ2FBIN(2412, 1),
162 FREQ2FBIN(2437, 1),
163 FREQ2FBIN(2472, 1)
164 },
165 .calTarget_freqbin_2GHT20 = {
166 FREQ2FBIN(2412, 1),
167 FREQ2FBIN(2437, 1),
168 FREQ2FBIN(2472, 1)
169 },
170 .calTarget_freqbin_2GHT40 = {
171 FREQ2FBIN(2412, 1),
172 FREQ2FBIN(2437, 1),
173 FREQ2FBIN(2472, 1)
174 },
175 .calTargetPowerCck = {
176 /* 1L-5L,5S,11L,11S */
177 { {36, 36, 36, 36} },
178 { {36, 36, 36, 36} },
179 },
180 .calTargetPower2G = {
181 /* 6-24,36,48,54 */
182 { {32, 32, 28, 24} },
183 { {32, 32, 28, 24} },
184 { {32, 32, 28, 24} },
185 },
186 .calTargetPower2GHT20 = {
187 { {32, 32, 32, 32, 28, 20, 32, 32, 28, 20, 32, 32, 28, 20} },
188 { {32, 32, 32, 32, 28, 20, 32, 32, 28, 20, 32, 32, 28, 20} },
189 { {32, 32, 32, 32, 28, 20, 32, 32, 28, 20, 32, 32, 28, 20} },
190 },
191 .calTargetPower2GHT40 = {
192 { {32, 32, 32, 32, 28, 20, 32, 32, 28, 20, 32, 32, 28, 20} },
193 { {32, 32, 32, 32, 28, 20, 32, 32, 28, 20, 32, 32, 28, 20} },
194 { {32, 32, 32, 32, 28, 20, 32, 32, 28, 20, 32, 32, 28, 20} },
195 },
196 .ctlIndex_2G = {
197 0x11, 0x12, 0x15, 0x17, 0x41, 0x42,
198 0x45, 0x47, 0x31, 0x32, 0x35, 0x37,
199 },
200 .ctl_freqbin_2G = {
201 {
202 FREQ2FBIN(2412, 1),
203 FREQ2FBIN(2417, 1),
204 FREQ2FBIN(2457, 1),
205 FREQ2FBIN(2462, 1)
206 },
207 {
208 FREQ2FBIN(2412, 1),
209 FREQ2FBIN(2417, 1),
210 FREQ2FBIN(2462, 1),
211 0xFF,
212 },
213
214 {
215 FREQ2FBIN(2412, 1),
216 FREQ2FBIN(2417, 1),
217 FREQ2FBIN(2462, 1),
218 0xFF,
219 },
220 {
221 FREQ2FBIN(2422, 1),
222 FREQ2FBIN(2427, 1),
223 FREQ2FBIN(2447, 1),
224 FREQ2FBIN(2452, 1)
225 },
226
227 {
228 /* Data[4].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
229 /* Data[4].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
230 /* Data[4].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
231 /* Data[4].ctlEdges[3].bChannel */ FREQ2FBIN(2484, 1),
232 },
233
234 {
235 /* Data[5].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
236 /* Data[5].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
237 /* Data[5].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
238 0,
239 },
240
241 {
242 /* Data[6].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
243 /* Data[6].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
244 FREQ2FBIN(2472, 1),
245 0,
246 },
247
248 {
249 /* Data[7].ctlEdges[0].bChannel */ FREQ2FBIN(2422, 1),
250 /* Data[7].ctlEdges[1].bChannel */ FREQ2FBIN(2427, 1),
251 /* Data[7].ctlEdges[2].bChannel */ FREQ2FBIN(2447, 1),
252 /* Data[7].ctlEdges[3].bChannel */ FREQ2FBIN(2462, 1),
253 },
254
255 {
256 /* Data[8].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
257 /* Data[8].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
258 /* Data[8].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
259 },
260
261 {
262 /* Data[9].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
263 /* Data[9].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
264 /* Data[9].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
265 0
266 },
267
268 {
269 /* Data[10].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
270 /* Data[10].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
271 /* Data[10].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
272 0
273 },
274
275 {
276 /* Data[11].ctlEdges[0].bChannel */ FREQ2FBIN(2422, 1),
277 /* Data[11].ctlEdges[1].bChannel */ FREQ2FBIN(2427, 1),
278 /* Data[11].ctlEdges[2].bChannel */ FREQ2FBIN(2447, 1),
b3dd6bc1 279 /* Data[11].ctlEdges[3].bChannel */ FREQ2FBIN(2462, 1),
15c9ee7a
SB
280 }
281 },
282 .ctlPowerData_2G = {
fe6c7915
DM
283 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
284 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
285 { { CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 1) } },
15c9ee7a 286
15052f81 287 { { CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 0) } },
fe6c7915
DM
288 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
289 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
15c9ee7a 290
fe6c7915
DM
291 { { CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 0) } },
292 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
293 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
15c9ee7a 294
fe6c7915
DM
295 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
296 { { CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 1) } },
297 { { CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 1) } },
15c9ee7a
SB
298 },
299 .modalHeader5G = {
300 /* 4 idle,t1,t2,b (4 bits per setting) */
ffdc4cbe 301 .antCtrlCommon = LE32(0x110),
15c9ee7a 302 /* 4 ra1l1, ra2l1, ra1l2,ra2l2,ra12 */
ffdc4cbe 303 .antCtrlCommon2 = LE32(0x22222),
15c9ee7a
SB
304 /* antCtrlChain 6 idle, t,r,rx1,rx12,b (2 bits each) */
305 .antCtrlChain = {
ffdc4cbe 306 LE16(0x000), LE16(0x000), LE16(0x000),
15c9ee7a
SB
307 },
308 /* xatten1DB 3 xatten1_db for AR9280 (0xa20c/b20c 5:0) */
309 .xatten1DB = {0, 0, 0},
310
311 /*
312 * xatten1Margin[AR9300_MAX_CHAINS]; 3 xatten1_margin
313 * for merlin (0xa20c/b20c 16:12
314 */
315 .xatten1Margin = {0, 0, 0},
316 .tempSlope = 68,
317 .voltSlope = 0,
318 /* spurChans spur channels in usual fbin coding format */
319 .spurChans = {0, 0, 0, 0, 0},
320 /* noiseFloorThreshCh Check if the register is per chain */
321 .noiseFloorThreshCh = {-1, 0, 0},
df222edc
RM
322 .reserved = {0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0},
323 .quick_drop = 0,
15c9ee7a
SB
324 .xpaBiasLvl = 0,
325 .txFrameToDataStart = 0x0e,
326 .txFrameToPaOn = 0x0e,
327 .txClip = 3, /* 4 bits tx_clip, 4 bits dac_scale_cck */
328 .antennaGain = 0,
329 .switchSettling = 0x2d,
330 .adcDesiredSize = -30,
331 .txEndToXpaOff = 0,
332 .txEndToRxOn = 0x2,
333 .txFrameToXpaOn = 0xe,
334 .thresh62 = 28,
3ceb801b
SB
335 .papdRateMaskHt20 = LE32(0x0c80c080),
336 .papdRateMaskHt40 = LE32(0x0080c080),
66a80a3a 337 .switchcomspdt = 0,
3e2ea543 338 .xlna_bias_strength = 0,
15c9ee7a 339 .futureModal = {
3e2ea543 340 0, 0, 0, 0, 0, 0, 0,
15c9ee7a
SB
341 },
342 },
b3dd6bc1
SB
343 .base_ext2 = {
344 .tempSlopeLow = 0,
345 .tempSlopeHigh = 0,
346 .xatten1DBLow = {0, 0, 0},
347 .xatten1MarginLow = {0, 0, 0},
348 .xatten1DBHigh = {0, 0, 0},
349 .xatten1MarginHigh = {0, 0, 0}
350 },
15c9ee7a
SB
351 .calFreqPier5G = {
352 FREQ2FBIN(5180, 0),
353 FREQ2FBIN(5220, 0),
354 FREQ2FBIN(5320, 0),
355 FREQ2FBIN(5400, 0),
356 FREQ2FBIN(5500, 0),
357 FREQ2FBIN(5600, 0),
358 FREQ2FBIN(5725, 0),
359 FREQ2FBIN(5825, 0)
360 },
361 .calPierData5G = {
362 {
363 {0, 0, 0, 0, 0},
364 {0, 0, 0, 0, 0},
365 {0, 0, 0, 0, 0},
366 {0, 0, 0, 0, 0},
367 {0, 0, 0, 0, 0},
368 {0, 0, 0, 0, 0},
369 {0, 0, 0, 0, 0},
370 {0, 0, 0, 0, 0},
371 },
372 {
373 {0, 0, 0, 0, 0},
374 {0, 0, 0, 0, 0},
375 {0, 0, 0, 0, 0},
376 {0, 0, 0, 0, 0},
377 {0, 0, 0, 0, 0},
378 {0, 0, 0, 0, 0},
379 {0, 0, 0, 0, 0},
380 {0, 0, 0, 0, 0},
381 },
382 {
383 {0, 0, 0, 0, 0},
384 {0, 0, 0, 0, 0},
385 {0, 0, 0, 0, 0},
386 {0, 0, 0, 0, 0},
387 {0, 0, 0, 0, 0},
388 {0, 0, 0, 0, 0},
389 {0, 0, 0, 0, 0},
390 {0, 0, 0, 0, 0},
391 },
392
393 },
394 .calTarget_freqbin_5G = {
395 FREQ2FBIN(5180, 0),
396 FREQ2FBIN(5220, 0),
397 FREQ2FBIN(5320, 0),
398 FREQ2FBIN(5400, 0),
399 FREQ2FBIN(5500, 0),
400 FREQ2FBIN(5600, 0),
401 FREQ2FBIN(5725, 0),
402 FREQ2FBIN(5825, 0)
403 },
404 .calTarget_freqbin_5GHT20 = {
405 FREQ2FBIN(5180, 0),
406 FREQ2FBIN(5240, 0),
407 FREQ2FBIN(5320, 0),
408 FREQ2FBIN(5500, 0),
409 FREQ2FBIN(5700, 0),
410 FREQ2FBIN(5745, 0),
411 FREQ2FBIN(5725, 0),
412 FREQ2FBIN(5825, 0)
413 },
414 .calTarget_freqbin_5GHT40 = {
415 FREQ2FBIN(5180, 0),
416 FREQ2FBIN(5240, 0),
417 FREQ2FBIN(5320, 0),
418 FREQ2FBIN(5500, 0),
419 FREQ2FBIN(5700, 0),
420 FREQ2FBIN(5745, 0),
421 FREQ2FBIN(5725, 0),
422 FREQ2FBIN(5825, 0)
423 },
424 .calTargetPower5G = {
425 /* 6-24,36,48,54 */
426 { {20, 20, 20, 10} },
427 { {20, 20, 20, 10} },
428 { {20, 20, 20, 10} },
429 { {20, 20, 20, 10} },
430 { {20, 20, 20, 10} },
431 { {20, 20, 20, 10} },
432 { {20, 20, 20, 10} },
433 { {20, 20, 20, 10} },
434 },
435 .calTargetPower5GHT20 = {
436 /*
437 * 0_8_16,1-3_9-11_17-19,
438 * 4,5,6,7,12,13,14,15,20,21,22,23
439 */
440 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
441 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
442 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
443 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
444 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
445 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
446 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
447 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
448 },
449 .calTargetPower5GHT40 = {
450 /*
451 * 0_8_16,1-3_9-11_17-19,
452 * 4,5,6,7,12,13,14,15,20,21,22,23
453 */
454 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
455 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
456 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
457 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
458 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
459 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
460 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
461 { {20, 20, 10, 10, 0, 0, 10, 10, 0, 0, 10, 10, 0, 0} },
462 },
463 .ctlIndex_5G = {
464 0x10, 0x16, 0x18, 0x40, 0x46,
465 0x48, 0x30, 0x36, 0x38
466 },
467 .ctl_freqbin_5G = {
468 {
469 /* Data[0].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
470 /* Data[0].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
471 /* Data[0].ctlEdges[2].bChannel */ FREQ2FBIN(5280, 0),
472 /* Data[0].ctlEdges[3].bChannel */ FREQ2FBIN(5500, 0),
473 /* Data[0].ctlEdges[4].bChannel */ FREQ2FBIN(5600, 0),
474 /* Data[0].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
475 /* Data[0].ctlEdges[6].bChannel */ FREQ2FBIN(5745, 0),
476 /* Data[0].ctlEdges[7].bChannel */ FREQ2FBIN(5825, 0)
477 },
478 {
479 /* Data[1].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
480 /* Data[1].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
481 /* Data[1].ctlEdges[2].bChannel */ FREQ2FBIN(5280, 0),
482 /* Data[1].ctlEdges[3].bChannel */ FREQ2FBIN(5500, 0),
483 /* Data[1].ctlEdges[4].bChannel */ FREQ2FBIN(5520, 0),
484 /* Data[1].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
485 /* Data[1].ctlEdges[6].bChannel */ FREQ2FBIN(5745, 0),
486 /* Data[1].ctlEdges[7].bChannel */ FREQ2FBIN(5825, 0)
487 },
488
489 {
490 /* Data[2].ctlEdges[0].bChannel */ FREQ2FBIN(5190, 0),
491 /* Data[2].ctlEdges[1].bChannel */ FREQ2FBIN(5230, 0),
492 /* Data[2].ctlEdges[2].bChannel */ FREQ2FBIN(5270, 0),
493 /* Data[2].ctlEdges[3].bChannel */ FREQ2FBIN(5310, 0),
494 /* Data[2].ctlEdges[4].bChannel */ FREQ2FBIN(5510, 0),
495 /* Data[2].ctlEdges[5].bChannel */ FREQ2FBIN(5550, 0),
496 /* Data[2].ctlEdges[6].bChannel */ FREQ2FBIN(5670, 0),
497 /* Data[2].ctlEdges[7].bChannel */ FREQ2FBIN(5755, 0)
498 },
499
500 {
501 /* Data[3].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
502 /* Data[3].ctlEdges[1].bChannel */ FREQ2FBIN(5200, 0),
503 /* Data[3].ctlEdges[2].bChannel */ FREQ2FBIN(5260, 0),
504 /* Data[3].ctlEdges[3].bChannel */ FREQ2FBIN(5320, 0),
505 /* Data[3].ctlEdges[4].bChannel */ FREQ2FBIN(5500, 0),
506 /* Data[3].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
507 /* Data[3].ctlEdges[6].bChannel */ 0xFF,
508 /* Data[3].ctlEdges[7].bChannel */ 0xFF,
509 },
510
511 {
512 /* Data[4].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
513 /* Data[4].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
514 /* Data[4].ctlEdges[2].bChannel */ FREQ2FBIN(5500, 0),
515 /* Data[4].ctlEdges[3].bChannel */ FREQ2FBIN(5700, 0),
516 /* Data[4].ctlEdges[4].bChannel */ 0xFF,
517 /* Data[4].ctlEdges[5].bChannel */ 0xFF,
518 /* Data[4].ctlEdges[6].bChannel */ 0xFF,
519 /* Data[4].ctlEdges[7].bChannel */ 0xFF,
520 },
521
522 {
523 /* Data[5].ctlEdges[0].bChannel */ FREQ2FBIN(5190, 0),
524 /* Data[5].ctlEdges[1].bChannel */ FREQ2FBIN(5270, 0),
525 /* Data[5].ctlEdges[2].bChannel */ FREQ2FBIN(5310, 0),
526 /* Data[5].ctlEdges[3].bChannel */ FREQ2FBIN(5510, 0),
527 /* Data[5].ctlEdges[4].bChannel */ FREQ2FBIN(5590, 0),
528 /* Data[5].ctlEdges[5].bChannel */ FREQ2FBIN(5670, 0),
529 /* Data[5].ctlEdges[6].bChannel */ 0xFF,
530 /* Data[5].ctlEdges[7].bChannel */ 0xFF
531 },
532
533 {
534 /* Data[6].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
535 /* Data[6].ctlEdges[1].bChannel */ FREQ2FBIN(5200, 0),
536 /* Data[6].ctlEdges[2].bChannel */ FREQ2FBIN(5220, 0),
537 /* Data[6].ctlEdges[3].bChannel */ FREQ2FBIN(5260, 0),
538 /* Data[6].ctlEdges[4].bChannel */ FREQ2FBIN(5500, 0),
539 /* Data[6].ctlEdges[5].bChannel */ FREQ2FBIN(5600, 0),
540 /* Data[6].ctlEdges[6].bChannel */ FREQ2FBIN(5700, 0),
541 /* Data[6].ctlEdges[7].bChannel */ FREQ2FBIN(5745, 0)
542 },
543
544 {
545 /* Data[7].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
546 /* Data[7].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
547 /* Data[7].ctlEdges[2].bChannel */ FREQ2FBIN(5320, 0),
548 /* Data[7].ctlEdges[3].bChannel */ FREQ2FBIN(5500, 0),
549 /* Data[7].ctlEdges[4].bChannel */ FREQ2FBIN(5560, 0),
550 /* Data[7].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
551 /* Data[7].ctlEdges[6].bChannel */ FREQ2FBIN(5745, 0),
552 /* Data[7].ctlEdges[7].bChannel */ FREQ2FBIN(5825, 0)
553 },
554
555 {
556 /* Data[8].ctlEdges[0].bChannel */ FREQ2FBIN(5190, 0),
557 /* Data[8].ctlEdges[1].bChannel */ FREQ2FBIN(5230, 0),
558 /* Data[8].ctlEdges[2].bChannel */ FREQ2FBIN(5270, 0),
559 /* Data[8].ctlEdges[3].bChannel */ FREQ2FBIN(5510, 0),
560 /* Data[8].ctlEdges[4].bChannel */ FREQ2FBIN(5550, 0),
561 /* Data[8].ctlEdges[5].bChannel */ FREQ2FBIN(5670, 0),
562 /* Data[8].ctlEdges[6].bChannel */ FREQ2FBIN(5755, 0),
563 /* Data[8].ctlEdges[7].bChannel */ FREQ2FBIN(5795, 0)
564 }
565 },
566 .ctlPowerData_5G = {
567 {
568 {
fe6c7915
DM
569 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
570 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
15c9ee7a
SB
571 }
572 },
573 {
574 {
fe6c7915
DM
575 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
576 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
15c9ee7a
SB
577 }
578 },
579 {
580 {
fe6c7915
DM
581 CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 1),
582 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
15c9ee7a
SB
583 }
584 },
585 {
586 {
fe6c7915
DM
587 CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 0),
588 CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 0),
15c9ee7a
SB
589 }
590 },
591 {
592 {
fe6c7915
DM
593 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
594 CTL(60, 0), CTL(60, 0), CTL(60, 0), CTL(60, 0),
15c9ee7a
SB
595 }
596 },
597 {
598 {
fe6c7915
DM
599 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
600 CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 0),
15c9ee7a
SB
601 }
602 },
603 {
604 {
fe6c7915
DM
605 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
606 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
15c9ee7a
SB
607 }
608 },
609 {
610 {
fe6c7915
DM
611 CTL(60, 1), CTL(60, 1), CTL(60, 0), CTL(60, 1),
612 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
15c9ee7a
SB
613 }
614 },
615 {
616 {
fe6c7915
DM
617 CTL(60, 1), CTL(60, 0), CTL(60, 1), CTL(60, 1),
618 CTL(60, 1), CTL(60, 1), CTL(60, 0), CTL(60, 1),
15c9ee7a
SB
619 }
620 },
621 }
622};
623
30923549
SB
624static const struct ar9300_eeprom ar9300_x113 = {
625 .eepromVersion = 2,
626 .templateVersion = 6,
627 .macAddr = {0x00, 0x03, 0x7f, 0x0, 0x0, 0x0},
628 .custData = {"x113-023-f0000"},
629 .baseEepHeader = {
630 .regDmn = { LE16(0), LE16(0x1f) },
631 .txrxMask = 0x77, /* 4 bits tx and 4 bits rx */
632 .opCapFlags = {
9ba7f4f5 633 .opFlags = AR5416_OPFLAGS_11A,
30923549
SB
634 .eepMisc = 0,
635 },
636 .rfSilent = 0,
637 .blueToothOptions = 0,
638 .deviceCap = 0,
639 .deviceType = 5, /* takes lower byte in eeprom location */
640 .pwrTableOffset = AR9300_PWR_TABLE_OFFSET,
641 .params_for_tuning_caps = {0, 0},
642 .featureEnable = 0x0d,
643 /*
644 * bit0 - enable tx temp comp - disabled
645 * bit1 - enable tx volt comp - disabled
646 * bit2 - enable fastClock - enabled
647 * bit3 - enable doubling - enabled
648 * bit4 - enable internal regulator - disabled
649 * bit5 - enable pa predistortion - disabled
650 */
651 .miscConfiguration = 0, /* bit0 - turn down drivestrength */
652 .eepromWriteEnableGpio = 6,
653 .wlanDisableGpio = 0,
654 .wlanLedGpio = 8,
655 .rxBandSelectGpio = 0xff,
656 .txrxgain = 0x21,
657 .swreg = 0,
658 },
659 .modalHeader2G = {
660 /* ar9300_modal_eep_header 2g */
661 /* 4 idle,t1,t2,b(4 bits per setting) */
662 .antCtrlCommon = LE32(0x110),
663 /* 4 ra1l1, ra2l1, ra1l2, ra2l2, ra12 */
664 .antCtrlCommon2 = LE32(0x44444),
665
666 /*
667 * antCtrlChain[AR9300_MAX_CHAINS]; 6 idle, t, r,
668 * rx1, rx12, b (2 bits each)
669 */
670 .antCtrlChain = { LE16(0x150), LE16(0x150), LE16(0x150) },
671
672 /*
673 * xatten1DB[AR9300_MAX_CHAINS]; 3 xatten1_db
674 * for ar9280 (0xa20c/b20c 5:0)
675 */
676 .xatten1DB = {0, 0, 0},
677
678 /*
679 * xatten1Margin[AR9300_MAX_CHAINS]; 3 xatten1_margin
680 * for ar9280 (0xa20c/b20c 16:12
681 */
682 .xatten1Margin = {0, 0, 0},
683 .tempSlope = 25,
684 .voltSlope = 0,
685
686 /*
687 * spurChans[OSPREY_EEPROM_MODAL_SPURS]; spur
688 * channels in usual fbin coding format
689 */
690 .spurChans = {FREQ2FBIN(2464, 1), 0, 0, 0, 0},
691
692 /*
693 * noiseFloorThreshCh[AR9300_MAX_CHAINS]; 3 Check
694 * if the register is per chain
695 */
696 .noiseFloorThreshCh = {-1, 0, 0},
df222edc
RM
697 .reserved = {0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0},
698 .quick_drop = 0,
30923549
SB
699 .xpaBiasLvl = 0,
700 .txFrameToDataStart = 0x0e,
701 .txFrameToPaOn = 0x0e,
702 .txClip = 3, /* 4 bits tx_clip, 4 bits dac_scale_cck */
703 .antennaGain = 0,
704 .switchSettling = 0x2c,
705 .adcDesiredSize = -30,
706 .txEndToXpaOff = 0,
707 .txEndToRxOn = 0x2,
708 .txFrameToXpaOn = 0xe,
709 .thresh62 = 28,
710 .papdRateMaskHt20 = LE32(0x0c80c080),
711 .papdRateMaskHt40 = LE32(0x0080c080),
66a80a3a 712 .switchcomspdt = 0,
3e2ea543 713 .xlna_bias_strength = 0,
30923549 714 .futureModal = {
3e2ea543 715 0, 0, 0, 0, 0, 0, 0,
30923549
SB
716 },
717 },
718 .base_ext1 = {
719 .ant_div_control = 0,
ee65b388 720 .future = {0, 0},
420e2b1b 721 .tempslopextension = {0, 0, 0, 0, 0, 0, 0, 0}
30923549
SB
722 },
723 .calFreqPier2G = {
724 FREQ2FBIN(2412, 1),
725 FREQ2FBIN(2437, 1),
726 FREQ2FBIN(2472, 1),
727 },
728 /* ar9300_cal_data_per_freq_op_loop 2g */
729 .calPierData2G = {
730 { {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0} },
731 { {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0} },
732 { {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0} },
733 },
734 .calTarget_freqbin_Cck = {
735 FREQ2FBIN(2412, 1),
736 FREQ2FBIN(2472, 1),
737 },
738 .calTarget_freqbin_2G = {
739 FREQ2FBIN(2412, 1),
740 FREQ2FBIN(2437, 1),
741 FREQ2FBIN(2472, 1)
742 },
743 .calTarget_freqbin_2GHT20 = {
744 FREQ2FBIN(2412, 1),
745 FREQ2FBIN(2437, 1),
746 FREQ2FBIN(2472, 1)
747 },
748 .calTarget_freqbin_2GHT40 = {
749 FREQ2FBIN(2412, 1),
750 FREQ2FBIN(2437, 1),
751 FREQ2FBIN(2472, 1)
752 },
753 .calTargetPowerCck = {
754 /* 1L-5L,5S,11L,11S */
755 { {34, 34, 34, 34} },
756 { {34, 34, 34, 34} },
757 },
758 .calTargetPower2G = {
759 /* 6-24,36,48,54 */
760 { {34, 34, 32, 32} },
761 { {34, 34, 32, 32} },
762 { {34, 34, 32, 32} },
763 },
764 .calTargetPower2GHT20 = {
765 { {32, 32, 32, 32, 32, 28, 32, 32, 30, 28, 0, 0, 0, 0} },
766 { {32, 32, 32, 32, 32, 28, 32, 32, 30, 28, 0, 0, 0, 0} },
767 { {32, 32, 32, 32, 32, 28, 32, 32, 30, 28, 0, 0, 0, 0} },
768 },
769 .calTargetPower2GHT40 = {
770 { {30, 30, 30, 30, 30, 28, 30, 30, 28, 26, 0, 0, 0, 0} },
771 { {30, 30, 30, 30, 30, 28, 30, 30, 28, 26, 0, 0, 0, 0} },
772 { {30, 30, 30, 30, 30, 28, 30, 30, 28, 26, 0, 0, 0, 0} },
773 },
774 .ctlIndex_2G = {
775 0x11, 0x12, 0x15, 0x17, 0x41, 0x42,
776 0x45, 0x47, 0x31, 0x32, 0x35, 0x37,
777 },
778 .ctl_freqbin_2G = {
779 {
780 FREQ2FBIN(2412, 1),
781 FREQ2FBIN(2417, 1),
782 FREQ2FBIN(2457, 1),
783 FREQ2FBIN(2462, 1)
784 },
785 {
786 FREQ2FBIN(2412, 1),
787 FREQ2FBIN(2417, 1),
788 FREQ2FBIN(2462, 1),
789 0xFF,
790 },
791
792 {
793 FREQ2FBIN(2412, 1),
794 FREQ2FBIN(2417, 1),
795 FREQ2FBIN(2462, 1),
796 0xFF,
797 },
798 {
799 FREQ2FBIN(2422, 1),
800 FREQ2FBIN(2427, 1),
801 FREQ2FBIN(2447, 1),
802 FREQ2FBIN(2452, 1)
803 },
804
805 {
806 /* Data[4].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
807 /* Data[4].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
808 /* Data[4].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
809 /* Data[4].ctlEdges[3].bChannel */ FREQ2FBIN(2484, 1),
810 },
811
812 {
813 /* Data[5].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
814 /* Data[5].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
815 /* Data[5].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
816 0,
817 },
818
819 {
820 /* Data[6].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
821 /* Data[6].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
822 FREQ2FBIN(2472, 1),
823 0,
824 },
825
826 {
827 /* Data[7].ctlEdges[0].bChannel */ FREQ2FBIN(2422, 1),
828 /* Data[7].ctlEdges[1].bChannel */ FREQ2FBIN(2427, 1),
829 /* Data[7].ctlEdges[2].bChannel */ FREQ2FBIN(2447, 1),
830 /* Data[7].ctlEdges[3].bChannel */ FREQ2FBIN(2462, 1),
831 },
832
833 {
834 /* Data[8].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
835 /* Data[8].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
836 /* Data[8].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
837 },
838
839 {
840 /* Data[9].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
841 /* Data[9].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
842 /* Data[9].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
843 0
844 },
845
846 {
847 /* Data[10].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
848 /* Data[10].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
849 /* Data[10].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
850 0
851 },
852
853 {
854 /* Data[11].ctlEdges[0].bChannel */ FREQ2FBIN(2422, 1),
855 /* Data[11].ctlEdges[1].bChannel */ FREQ2FBIN(2427, 1),
856 /* Data[11].ctlEdges[2].bChannel */ FREQ2FBIN(2447, 1),
857 /* Data[11].ctlEdges[3].bChannel */ FREQ2FBIN(2462, 1),
858 }
859 },
860 .ctlPowerData_2G = {
fe6c7915
DM
861 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
862 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
863 { { CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 1) } },
30923549 864
15052f81 865 { { CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 0) } },
fe6c7915
DM
866 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
867 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
30923549 868
fe6c7915
DM
869 { { CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 0) } },
870 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
871 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
30923549 872
fe6c7915
DM
873 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
874 { { CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 1) } },
875 { { CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 1) } },
30923549
SB
876 },
877 .modalHeader5G = {
878 /* 4 idle,t1,t2,b (4 bits per setting) */
879 .antCtrlCommon = LE32(0x220),
880 /* 4 ra1l1, ra2l1, ra1l2,ra2l2,ra12 */
881 .antCtrlCommon2 = LE32(0x11111),
882 /* antCtrlChain 6 idle, t,r,rx1,rx12,b (2 bits each) */
883 .antCtrlChain = {
884 LE16(0x150), LE16(0x150), LE16(0x150),
885 },
886 /* xatten1DB 3 xatten1_db for AR9280 (0xa20c/b20c 5:0) */
887 .xatten1DB = {0, 0, 0},
888
889 /*
890 * xatten1Margin[AR9300_MAX_CHAINS]; 3 xatten1_margin
891 * for merlin (0xa20c/b20c 16:12
892 */
893 .xatten1Margin = {0, 0, 0},
894 .tempSlope = 68,
895 .voltSlope = 0,
896 /* spurChans spur channels in usual fbin coding format */
897 .spurChans = {FREQ2FBIN(5500, 0), 0, 0, 0, 0},
898 /* noiseFloorThreshCh Check if the register is per chain */
899 .noiseFloorThreshCh = {-1, 0, 0},
df222edc
RM
900 .reserved = {0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0},
901 .quick_drop = 0,
be0e6aa5 902 .xpaBiasLvl = 0xf,
30923549
SB
903 .txFrameToDataStart = 0x0e,
904 .txFrameToPaOn = 0x0e,
905 .txClip = 3, /* 4 bits tx_clip, 4 bits dac_scale_cck */
906 .antennaGain = 0,
907 .switchSettling = 0x2d,
908 .adcDesiredSize = -30,
909 .txEndToXpaOff = 0,
910 .txEndToRxOn = 0x2,
911 .txFrameToXpaOn = 0xe,
912 .thresh62 = 28,
913 .papdRateMaskHt20 = LE32(0x0cf0e0e0),
914 .papdRateMaskHt40 = LE32(0x6cf0e0e0),
66a80a3a 915 .switchcomspdt = 0,
3e2ea543 916 .xlna_bias_strength = 0,
30923549 917 .futureModal = {
3e2ea543 918 0, 0, 0, 0, 0, 0, 0,
30923549
SB
919 },
920 },
921 .base_ext2 = {
922 .tempSlopeLow = 72,
923 .tempSlopeHigh = 105,
924 .xatten1DBLow = {0, 0, 0},
925 .xatten1MarginLow = {0, 0, 0},
926 .xatten1DBHigh = {0, 0, 0},
927 .xatten1MarginHigh = {0, 0, 0}
928 },
929 .calFreqPier5G = {
930 FREQ2FBIN(5180, 0),
931 FREQ2FBIN(5240, 0),
932 FREQ2FBIN(5320, 0),
933 FREQ2FBIN(5400, 0),
934 FREQ2FBIN(5500, 0),
935 FREQ2FBIN(5600, 0),
936 FREQ2FBIN(5745, 0),
937 FREQ2FBIN(5785, 0)
938 },
939 .calPierData5G = {
940 {
941 {0, 0, 0, 0, 0},
942 {0, 0, 0, 0, 0},
943 {0, 0, 0, 0, 0},
944 {0, 0, 0, 0, 0},
945 {0, 0, 0, 0, 0},
946 {0, 0, 0, 0, 0},
947 {0, 0, 0, 0, 0},
948 {0, 0, 0, 0, 0},
949 },
950 {
951 {0, 0, 0, 0, 0},
952 {0, 0, 0, 0, 0},
953 {0, 0, 0, 0, 0},
954 {0, 0, 0, 0, 0},
955 {0, 0, 0, 0, 0},
956 {0, 0, 0, 0, 0},
957 {0, 0, 0, 0, 0},
958 {0, 0, 0, 0, 0},
959 },
960 {
961 {0, 0, 0, 0, 0},
962 {0, 0, 0, 0, 0},
963 {0, 0, 0, 0, 0},
964 {0, 0, 0, 0, 0},
965 {0, 0, 0, 0, 0},
966 {0, 0, 0, 0, 0},
967 {0, 0, 0, 0, 0},
968 {0, 0, 0, 0, 0},
969 },
970
971 },
972 .calTarget_freqbin_5G = {
973 FREQ2FBIN(5180, 0),
974 FREQ2FBIN(5220, 0),
975 FREQ2FBIN(5320, 0),
976 FREQ2FBIN(5400, 0),
977 FREQ2FBIN(5500, 0),
978 FREQ2FBIN(5600, 0),
979 FREQ2FBIN(5745, 0),
980 FREQ2FBIN(5785, 0)
981 },
982 .calTarget_freqbin_5GHT20 = {
983 FREQ2FBIN(5180, 0),
984 FREQ2FBIN(5240, 0),
985 FREQ2FBIN(5320, 0),
986 FREQ2FBIN(5400, 0),
987 FREQ2FBIN(5500, 0),
988 FREQ2FBIN(5700, 0),
989 FREQ2FBIN(5745, 0),
990 FREQ2FBIN(5825, 0)
991 },
992 .calTarget_freqbin_5GHT40 = {
993 FREQ2FBIN(5190, 0),
994 FREQ2FBIN(5230, 0),
995 FREQ2FBIN(5320, 0),
996 FREQ2FBIN(5410, 0),
997 FREQ2FBIN(5510, 0),
998 FREQ2FBIN(5670, 0),
999 FREQ2FBIN(5755, 0),
1000 FREQ2FBIN(5825, 0)
1001 },
1002 .calTargetPower5G = {
1003 /* 6-24,36,48,54 */
1004 { {42, 40, 40, 34} },
1005 { {42, 40, 40, 34} },
1006 { {42, 40, 40, 34} },
1007 { {42, 40, 40, 34} },
1008 { {42, 40, 40, 34} },
1009 { {42, 40, 40, 34} },
1010 { {42, 40, 40, 34} },
1011 { {42, 40, 40, 34} },
1012 },
1013 .calTargetPower5GHT20 = {
1014 /*
1015 * 0_8_16,1-3_9-11_17-19,
1016 * 4,5,6,7,12,13,14,15,20,21,22,23
1017 */
1018 { {40, 40, 40, 40, 32, 28, 40, 40, 32, 28, 40, 40, 32, 20} },
1019 { {40, 40, 40, 40, 32, 28, 40, 40, 32, 28, 40, 40, 32, 20} },
1020 { {40, 40, 40, 40, 32, 28, 40, 40, 32, 28, 40, 40, 32, 20} },
1021 { {40, 40, 40, 40, 32, 28, 40, 40, 32, 28, 40, 40, 32, 20} },
1022 { {40, 40, 40, 40, 32, 28, 40, 40, 32, 28, 40, 40, 32, 20} },
1023 { {40, 40, 40, 40, 32, 28, 40, 40, 32, 28, 40, 40, 32, 20} },
1024 { {38, 38, 38, 38, 32, 28, 38, 38, 32, 28, 38, 38, 32, 26} },
1025 { {36, 36, 36, 36, 32, 28, 36, 36, 32, 28, 36, 36, 32, 26} },
1026 },
1027 .calTargetPower5GHT40 = {
1028 /*
1029 * 0_8_16,1-3_9-11_17-19,
1030 * 4,5,6,7,12,13,14,15,20,21,22,23
1031 */
1032 { {40, 40, 40, 38, 30, 26, 40, 40, 30, 26, 40, 40, 30, 24} },
1033 { {40, 40, 40, 38, 30, 26, 40, 40, 30, 26, 40, 40, 30, 24} },
1034 { {40, 40, 40, 38, 30, 26, 40, 40, 30, 26, 40, 40, 30, 24} },
1035 { {40, 40, 40, 38, 30, 26, 40, 40, 30, 26, 40, 40, 30, 24} },
1036 { {40, 40, 40, 38, 30, 26, 40, 40, 30, 26, 40, 40, 30, 24} },
1037 { {40, 40, 40, 38, 30, 26, 40, 40, 30, 26, 40, 40, 30, 24} },
1038 { {36, 36, 36, 36, 30, 26, 36, 36, 30, 26, 36, 36, 30, 24} },
1039 { {34, 34, 34, 34, 30, 26, 34, 34, 30, 26, 34, 34, 30, 24} },
1040 },
1041 .ctlIndex_5G = {
1042 0x10, 0x16, 0x18, 0x40, 0x46,
1043 0x48, 0x30, 0x36, 0x38
1044 },
1045 .ctl_freqbin_5G = {
1046 {
1047 /* Data[0].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
1048 /* Data[0].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
1049 /* Data[0].ctlEdges[2].bChannel */ FREQ2FBIN(5280, 0),
1050 /* Data[0].ctlEdges[3].bChannel */ FREQ2FBIN(5500, 0),
1051 /* Data[0].ctlEdges[4].bChannel */ FREQ2FBIN(5600, 0),
1052 /* Data[0].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
1053 /* Data[0].ctlEdges[6].bChannel */ FREQ2FBIN(5745, 0),
1054 /* Data[0].ctlEdges[7].bChannel */ FREQ2FBIN(5825, 0)
1055 },
1056 {
1057 /* Data[1].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
1058 /* Data[1].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
1059 /* Data[1].ctlEdges[2].bChannel */ FREQ2FBIN(5280, 0),
1060 /* Data[1].ctlEdges[3].bChannel */ FREQ2FBIN(5500, 0),
1061 /* Data[1].ctlEdges[4].bChannel */ FREQ2FBIN(5520, 0),
1062 /* Data[1].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
1063 /* Data[1].ctlEdges[6].bChannel */ FREQ2FBIN(5745, 0),
1064 /* Data[1].ctlEdges[7].bChannel */ FREQ2FBIN(5825, 0)
1065 },
1066
1067 {
1068 /* Data[2].ctlEdges[0].bChannel */ FREQ2FBIN(5190, 0),
1069 /* Data[2].ctlEdges[1].bChannel */ FREQ2FBIN(5230, 0),
1070 /* Data[2].ctlEdges[2].bChannel */ FREQ2FBIN(5270, 0),
1071 /* Data[2].ctlEdges[3].bChannel */ FREQ2FBIN(5310, 0),
1072 /* Data[2].ctlEdges[4].bChannel */ FREQ2FBIN(5510, 0),
1073 /* Data[2].ctlEdges[5].bChannel */ FREQ2FBIN(5550, 0),
1074 /* Data[2].ctlEdges[6].bChannel */ FREQ2FBIN(5670, 0),
1075 /* Data[2].ctlEdges[7].bChannel */ FREQ2FBIN(5755, 0)
1076 },
1077
1078 {
1079 /* Data[3].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
1080 /* Data[3].ctlEdges[1].bChannel */ FREQ2FBIN(5200, 0),
1081 /* Data[3].ctlEdges[2].bChannel */ FREQ2FBIN(5260, 0),
1082 /* Data[3].ctlEdges[3].bChannel */ FREQ2FBIN(5320, 0),
1083 /* Data[3].ctlEdges[4].bChannel */ FREQ2FBIN(5500, 0),
1084 /* Data[3].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
1085 /* Data[3].ctlEdges[6].bChannel */ 0xFF,
1086 /* Data[3].ctlEdges[7].bChannel */ 0xFF,
1087 },
1088
1089 {
1090 /* Data[4].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
1091 /* Data[4].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
1092 /* Data[4].ctlEdges[2].bChannel */ FREQ2FBIN(5500, 0),
1093 /* Data[4].ctlEdges[3].bChannel */ FREQ2FBIN(5700, 0),
1094 /* Data[4].ctlEdges[4].bChannel */ 0xFF,
1095 /* Data[4].ctlEdges[5].bChannel */ 0xFF,
1096 /* Data[4].ctlEdges[6].bChannel */ 0xFF,
1097 /* Data[4].ctlEdges[7].bChannel */ 0xFF,
1098 },
1099
1100 {
1101 /* Data[5].ctlEdges[0].bChannel */ FREQ2FBIN(5190, 0),
1102 /* Data[5].ctlEdges[1].bChannel */ FREQ2FBIN(5270, 0),
1103 /* Data[5].ctlEdges[2].bChannel */ FREQ2FBIN(5310, 0),
1104 /* Data[5].ctlEdges[3].bChannel */ FREQ2FBIN(5510, 0),
1105 /* Data[5].ctlEdges[4].bChannel */ FREQ2FBIN(5590, 0),
1106 /* Data[5].ctlEdges[5].bChannel */ FREQ2FBIN(5670, 0),
1107 /* Data[5].ctlEdges[6].bChannel */ 0xFF,
1108 /* Data[5].ctlEdges[7].bChannel */ 0xFF
1109 },
1110
1111 {
1112 /* Data[6].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
1113 /* Data[6].ctlEdges[1].bChannel */ FREQ2FBIN(5200, 0),
1114 /* Data[6].ctlEdges[2].bChannel */ FREQ2FBIN(5220, 0),
1115 /* Data[6].ctlEdges[3].bChannel */ FREQ2FBIN(5260, 0),
1116 /* Data[6].ctlEdges[4].bChannel */ FREQ2FBIN(5500, 0),
1117 /* Data[6].ctlEdges[5].bChannel */ FREQ2FBIN(5600, 0),
1118 /* Data[6].ctlEdges[6].bChannel */ FREQ2FBIN(5700, 0),
1119 /* Data[6].ctlEdges[7].bChannel */ FREQ2FBIN(5745, 0)
1120 },
1121
1122 {
1123 /* Data[7].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
1124 /* Data[7].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
1125 /* Data[7].ctlEdges[2].bChannel */ FREQ2FBIN(5320, 0),
1126 /* Data[7].ctlEdges[3].bChannel */ FREQ2FBIN(5500, 0),
1127 /* Data[7].ctlEdges[4].bChannel */ FREQ2FBIN(5560, 0),
1128 /* Data[7].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
1129 /* Data[7].ctlEdges[6].bChannel */ FREQ2FBIN(5745, 0),
1130 /* Data[7].ctlEdges[7].bChannel */ FREQ2FBIN(5825, 0)
1131 },
1132
1133 {
1134 /* Data[8].ctlEdges[0].bChannel */ FREQ2FBIN(5190, 0),
1135 /* Data[8].ctlEdges[1].bChannel */ FREQ2FBIN(5230, 0),
1136 /* Data[8].ctlEdges[2].bChannel */ FREQ2FBIN(5270, 0),
1137 /* Data[8].ctlEdges[3].bChannel */ FREQ2FBIN(5510, 0),
1138 /* Data[8].ctlEdges[4].bChannel */ FREQ2FBIN(5550, 0),
1139 /* Data[8].ctlEdges[5].bChannel */ FREQ2FBIN(5670, 0),
1140 /* Data[8].ctlEdges[6].bChannel */ FREQ2FBIN(5755, 0),
1141 /* Data[8].ctlEdges[7].bChannel */ FREQ2FBIN(5795, 0)
1142 }
1143 },
1144 .ctlPowerData_5G = {
1145 {
1146 {
fe6c7915
DM
1147 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
1148 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
30923549
SB
1149 }
1150 },
1151 {
1152 {
fe6c7915
DM
1153 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
1154 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
30923549
SB
1155 }
1156 },
1157 {
1158 {
fe6c7915
DM
1159 CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 1),
1160 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
30923549
SB
1161 }
1162 },
1163 {
1164 {
fe6c7915
DM
1165 CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 0),
1166 CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 0),
30923549
SB
1167 }
1168 },
1169 {
1170 {
fe6c7915
DM
1171 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
1172 CTL(60, 0), CTL(60, 0), CTL(60, 0), CTL(60, 0),
30923549
SB
1173 }
1174 },
1175 {
1176 {
fe6c7915
DM
1177 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
1178 CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 0),
30923549
SB
1179 }
1180 },
1181 {
1182 {
fe6c7915
DM
1183 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
1184 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
30923549
SB
1185 }
1186 },
1187 {
1188 {
fe6c7915
DM
1189 CTL(60, 1), CTL(60, 1), CTL(60, 0), CTL(60, 1),
1190 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
30923549
SB
1191 }
1192 },
1193 {
1194 {
fe6c7915
DM
1195 CTL(60, 1), CTL(60, 0), CTL(60, 1), CTL(60, 1),
1196 CTL(60, 1), CTL(60, 1), CTL(60, 0), CTL(60, 1),
30923549
SB
1197 }
1198 },
1199 }
1200};
1201
1202
1203static const struct ar9300_eeprom ar9300_h112 = {
1204 .eepromVersion = 2,
1205 .templateVersion = 3,
1206 .macAddr = {0x00, 0x03, 0x7f, 0x0, 0x0, 0x0},
1207 .custData = {"h112-241-f0000"},
1208 .baseEepHeader = {
1209 .regDmn = { LE16(0), LE16(0x1f) },
1210 .txrxMask = 0x77, /* 4 bits tx and 4 bits rx */
1211 .opCapFlags = {
4ddfcd7d 1212 .opFlags = AR5416_OPFLAGS_11G | AR5416_OPFLAGS_11A,
30923549
SB
1213 .eepMisc = 0,
1214 },
1215 .rfSilent = 0,
1216 .blueToothOptions = 0,
1217 .deviceCap = 0,
1218 .deviceType = 5, /* takes lower byte in eeprom location */
1219 .pwrTableOffset = AR9300_PWR_TABLE_OFFSET,
1220 .params_for_tuning_caps = {0, 0},
1221 .featureEnable = 0x0d,
1222 /*
1223 * bit0 - enable tx temp comp - disabled
1224 * bit1 - enable tx volt comp - disabled
1225 * bit2 - enable fastClock - enabled
1226 * bit3 - enable doubling - enabled
1227 * bit4 - enable internal regulator - disabled
1228 * bit5 - enable pa predistortion - disabled
1229 */
1230 .miscConfiguration = 0, /* bit0 - turn down drivestrength */
1231 .eepromWriteEnableGpio = 6,
1232 .wlanDisableGpio = 0,
1233 .wlanLedGpio = 8,
1234 .rxBandSelectGpio = 0xff,
1235 .txrxgain = 0x10,
1236 .swreg = 0,
1237 },
1238 .modalHeader2G = {
1239 /* ar9300_modal_eep_header 2g */
1240 /* 4 idle,t1,t2,b(4 bits per setting) */
1241 .antCtrlCommon = LE32(0x110),
1242 /* 4 ra1l1, ra2l1, ra1l2, ra2l2, ra12 */
1243 .antCtrlCommon2 = LE32(0x44444),
1244
1245 /*
1246 * antCtrlChain[AR9300_MAX_CHAINS]; 6 idle, t, r,
1247 * rx1, rx12, b (2 bits each)
1248 */
1249 .antCtrlChain = { LE16(0x150), LE16(0x150), LE16(0x150) },
1250
1251 /*
1252 * xatten1DB[AR9300_MAX_CHAINS]; 3 xatten1_db
1253 * for ar9280 (0xa20c/b20c 5:0)
1254 */
1255 .xatten1DB = {0, 0, 0},
1256
1257 /*
1258 * xatten1Margin[AR9300_MAX_CHAINS]; 3 xatten1_margin
1259 * for ar9280 (0xa20c/b20c 16:12
1260 */
1261 .xatten1Margin = {0, 0, 0},
1262 .tempSlope = 25,
1263 .voltSlope = 0,
1264
1265 /*
1266 * spurChans[OSPREY_EEPROM_MODAL_SPURS]; spur
1267 * channels in usual fbin coding format
1268 */
1269 .spurChans = {FREQ2FBIN(2464, 1), 0, 0, 0, 0},
1270
1271 /*
1272 * noiseFloorThreshCh[AR9300_MAX_CHAINS]; 3 Check
1273 * if the register is per chain
1274 */
1275 .noiseFloorThreshCh = {-1, 0, 0},
df222edc
RM
1276 .reserved = {0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0},
1277 .quick_drop = 0,
30923549
SB
1278 .xpaBiasLvl = 0,
1279 .txFrameToDataStart = 0x0e,
1280 .txFrameToPaOn = 0x0e,
1281 .txClip = 3, /* 4 bits tx_clip, 4 bits dac_scale_cck */
1282 .antennaGain = 0,
1283 .switchSettling = 0x2c,
1284 .adcDesiredSize = -30,
1285 .txEndToXpaOff = 0,
1286 .txEndToRxOn = 0x2,
1287 .txFrameToXpaOn = 0xe,
1288 .thresh62 = 28,
94e2ad9e
RM
1289 .papdRateMaskHt20 = LE32(0x0c80c080),
1290 .papdRateMaskHt40 = LE32(0x0080c080),
66a80a3a 1291 .switchcomspdt = 0,
3e2ea543 1292 .xlna_bias_strength = 0,
30923549 1293 .futureModal = {
3e2ea543 1294 0, 0, 0, 0, 0, 0, 0,
30923549
SB
1295 },
1296 },
1297 .base_ext1 = {
1298 .ant_div_control = 0,
ee65b388 1299 .future = {0, 0},
420e2b1b 1300 .tempslopextension = {0, 0, 0, 0, 0, 0, 0, 0}
30923549
SB
1301 },
1302 .calFreqPier2G = {
1303 FREQ2FBIN(2412, 1),
1304 FREQ2FBIN(2437, 1),
94e2ad9e 1305 FREQ2FBIN(2462, 1),
30923549
SB
1306 },
1307 /* ar9300_cal_data_per_freq_op_loop 2g */
1308 .calPierData2G = {
1309 { {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0} },
1310 { {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0} },
1311 { {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0} },
1312 },
1313 .calTarget_freqbin_Cck = {
1314 FREQ2FBIN(2412, 1),
94e2ad9e 1315 FREQ2FBIN(2472, 1),
30923549
SB
1316 },
1317 .calTarget_freqbin_2G = {
1318 FREQ2FBIN(2412, 1),
1319 FREQ2FBIN(2437, 1),
1320 FREQ2FBIN(2472, 1)
1321 },
1322 .calTarget_freqbin_2GHT20 = {
1323 FREQ2FBIN(2412, 1),
1324 FREQ2FBIN(2437, 1),
1325 FREQ2FBIN(2472, 1)
1326 },
1327 .calTarget_freqbin_2GHT40 = {
1328 FREQ2FBIN(2412, 1),
1329 FREQ2FBIN(2437, 1),
1330 FREQ2FBIN(2472, 1)
1331 },
1332 .calTargetPowerCck = {
1333 /* 1L-5L,5S,11L,11S */
1334 { {34, 34, 34, 34} },
1335 { {34, 34, 34, 34} },
1336 },
1337 .calTargetPower2G = {
1338 /* 6-24,36,48,54 */
1339 { {34, 34, 32, 32} },
1340 { {34, 34, 32, 32} },
1341 { {34, 34, 32, 32} },
1342 },
1343 .calTargetPower2GHT20 = {
1344 { {32, 32, 32, 32, 32, 30, 32, 32, 30, 28, 28, 28, 28, 24} },
1345 { {32, 32, 32, 32, 32, 30, 32, 32, 30, 28, 28, 28, 28, 24} },
1346 { {32, 32, 32, 32, 32, 30, 32, 32, 30, 28, 28, 28, 28, 24} },
1347 },
1348 .calTargetPower2GHT40 = {
1349 { {30, 30, 30, 30, 30, 28, 30, 30, 28, 26, 26, 26, 26, 22} },
1350 { {30, 30, 30, 30, 30, 28, 30, 30, 28, 26, 26, 26, 26, 22} },
1351 { {30, 30, 30, 30, 30, 28, 30, 30, 28, 26, 26, 26, 26, 22} },
1352 },
1353 .ctlIndex_2G = {
1354 0x11, 0x12, 0x15, 0x17, 0x41, 0x42,
1355 0x45, 0x47, 0x31, 0x32, 0x35, 0x37,
1356 },
1357 .ctl_freqbin_2G = {
1358 {
1359 FREQ2FBIN(2412, 1),
1360 FREQ2FBIN(2417, 1),
1361 FREQ2FBIN(2457, 1),
1362 FREQ2FBIN(2462, 1)
1363 },
1364 {
1365 FREQ2FBIN(2412, 1),
1366 FREQ2FBIN(2417, 1),
1367 FREQ2FBIN(2462, 1),
1368 0xFF,
1369 },
1370
1371 {
1372 FREQ2FBIN(2412, 1),
1373 FREQ2FBIN(2417, 1),
1374 FREQ2FBIN(2462, 1),
1375 0xFF,
1376 },
1377 {
1378 FREQ2FBIN(2422, 1),
1379 FREQ2FBIN(2427, 1),
1380 FREQ2FBIN(2447, 1),
1381 FREQ2FBIN(2452, 1)
1382 },
1383
1384 {
1385 /* Data[4].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
1386 /* Data[4].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
1387 /* Data[4].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
1388 /* Data[4].ctlEdges[3].bChannel */ FREQ2FBIN(2484, 1),
1389 },
1390
1391 {
1392 /* Data[5].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
1393 /* Data[5].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
1394 /* Data[5].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
1395 0,
1396 },
1397
1398 {
1399 /* Data[6].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
1400 /* Data[6].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
1401 FREQ2FBIN(2472, 1),
1402 0,
1403 },
1404
1405 {
1406 /* Data[7].ctlEdges[0].bChannel */ FREQ2FBIN(2422, 1),
1407 /* Data[7].ctlEdges[1].bChannel */ FREQ2FBIN(2427, 1),
1408 /* Data[7].ctlEdges[2].bChannel */ FREQ2FBIN(2447, 1),
1409 /* Data[7].ctlEdges[3].bChannel */ FREQ2FBIN(2462, 1),
1410 },
1411
1412 {
1413 /* Data[8].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
1414 /* Data[8].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
1415 /* Data[8].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
1416 },
1417
1418 {
1419 /* Data[9].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
1420 /* Data[9].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
1421 /* Data[9].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
1422 0
1423 },
1424
1425 {
1426 /* Data[10].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
1427 /* Data[10].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
1428 /* Data[10].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
1429 0
1430 },
1431
1432 {
1433 /* Data[11].ctlEdges[0].bChannel */ FREQ2FBIN(2422, 1),
1434 /* Data[11].ctlEdges[1].bChannel */ FREQ2FBIN(2427, 1),
1435 /* Data[11].ctlEdges[2].bChannel */ FREQ2FBIN(2447, 1),
1436 /* Data[11].ctlEdges[3].bChannel */ FREQ2FBIN(2462, 1),
1437 }
1438 },
1439 .ctlPowerData_2G = {
fe6c7915
DM
1440 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
1441 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
1442 { { CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 1) } },
30923549 1443
81dc6760 1444 { { CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 0) } },
fe6c7915
DM
1445 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
1446 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
30923549 1447
fe6c7915
DM
1448 { { CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 0) } },
1449 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
1450 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
30923549 1451
fe6c7915
DM
1452 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
1453 { { CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 1) } },
1454 { { CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 1) } },
30923549
SB
1455 },
1456 .modalHeader5G = {
1457 /* 4 idle,t1,t2,b (4 bits per setting) */
1458 .antCtrlCommon = LE32(0x220),
1459 /* 4 ra1l1, ra2l1, ra1l2,ra2l2,ra12 */
1460 .antCtrlCommon2 = LE32(0x44444),
1461 /* antCtrlChain 6 idle, t,r,rx1,rx12,b (2 bits each) */
1462 .antCtrlChain = {
1463 LE16(0x150), LE16(0x150), LE16(0x150),
1464 },
1465 /* xatten1DB 3 xatten1_db for AR9280 (0xa20c/b20c 5:0) */
1466 .xatten1DB = {0, 0, 0},
1467
1468 /*
1469 * xatten1Margin[AR9300_MAX_CHAINS]; 3 xatten1_margin
1470 * for merlin (0xa20c/b20c 16:12
1471 */
1472 .xatten1Margin = {0, 0, 0},
1473 .tempSlope = 45,
1474 .voltSlope = 0,
1475 /* spurChans spur channels in usual fbin coding format */
1476 .spurChans = {0, 0, 0, 0, 0},
1477 /* noiseFloorThreshCh Check if the register is per chain */
1478 .noiseFloorThreshCh = {-1, 0, 0},
df222edc
RM
1479 .reserved = {0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0},
1480 .quick_drop = 0,
30923549
SB
1481 .xpaBiasLvl = 0,
1482 .txFrameToDataStart = 0x0e,
1483 .txFrameToPaOn = 0x0e,
1484 .txClip = 3, /* 4 bits tx_clip, 4 bits dac_scale_cck */
1485 .antennaGain = 0,
1486 .switchSettling = 0x2d,
1487 .adcDesiredSize = -30,
1488 .txEndToXpaOff = 0,
1489 .txEndToRxOn = 0x2,
1490 .txFrameToXpaOn = 0xe,
1491 .thresh62 = 28,
1492 .papdRateMaskHt20 = LE32(0x0cf0e0e0),
1493 .papdRateMaskHt40 = LE32(0x6cf0e0e0),
66a80a3a 1494 .switchcomspdt = 0,
3e2ea543 1495 .xlna_bias_strength = 0,
30923549 1496 .futureModal = {
3e2ea543 1497 0, 0, 0, 0, 0, 0, 0,
30923549
SB
1498 },
1499 },
1500 .base_ext2 = {
1501 .tempSlopeLow = 40,
1502 .tempSlopeHigh = 50,
1503 .xatten1DBLow = {0, 0, 0},
1504 .xatten1MarginLow = {0, 0, 0},
1505 .xatten1DBHigh = {0, 0, 0},
1506 .xatten1MarginHigh = {0, 0, 0}
1507 },
1508 .calFreqPier5G = {
1509 FREQ2FBIN(5180, 0),
1510 FREQ2FBIN(5220, 0),
1511 FREQ2FBIN(5320, 0),
1512 FREQ2FBIN(5400, 0),
1513 FREQ2FBIN(5500, 0),
1514 FREQ2FBIN(5600, 0),
1515 FREQ2FBIN(5700, 0),
94e2ad9e 1516 FREQ2FBIN(5785, 0)
30923549
SB
1517 },
1518 .calPierData5G = {
1519 {
1520 {0, 0, 0, 0, 0},
1521 {0, 0, 0, 0, 0},
1522 {0, 0, 0, 0, 0},
1523 {0, 0, 0, 0, 0},
1524 {0, 0, 0, 0, 0},
1525 {0, 0, 0, 0, 0},
1526 {0, 0, 0, 0, 0},
1527 {0, 0, 0, 0, 0},
1528 },
1529 {
1530 {0, 0, 0, 0, 0},
1531 {0, 0, 0, 0, 0},
1532 {0, 0, 0, 0, 0},
1533 {0, 0, 0, 0, 0},
1534 {0, 0, 0, 0, 0},
1535 {0, 0, 0, 0, 0},
1536 {0, 0, 0, 0, 0},
1537 {0, 0, 0, 0, 0},
1538 },
1539 {
1540 {0, 0, 0, 0, 0},
1541 {0, 0, 0, 0, 0},
1542 {0, 0, 0, 0, 0},
1543 {0, 0, 0, 0, 0},
1544 {0, 0, 0, 0, 0},
1545 {0, 0, 0, 0, 0},
1546 {0, 0, 0, 0, 0},
1547 {0, 0, 0, 0, 0},
1548 },
1549
1550 },
1551 .calTarget_freqbin_5G = {
1552 FREQ2FBIN(5180, 0),
1553 FREQ2FBIN(5240, 0),
1554 FREQ2FBIN(5320, 0),
1555 FREQ2FBIN(5400, 0),
1556 FREQ2FBIN(5500, 0),
1557 FREQ2FBIN(5600, 0),
1558 FREQ2FBIN(5700, 0),
1559 FREQ2FBIN(5825, 0)
1560 },
1561 .calTarget_freqbin_5GHT20 = {
1562 FREQ2FBIN(5180, 0),
1563 FREQ2FBIN(5240, 0),
1564 FREQ2FBIN(5320, 0),
1565 FREQ2FBIN(5400, 0),
1566 FREQ2FBIN(5500, 0),
1567 FREQ2FBIN(5700, 0),
1568 FREQ2FBIN(5745, 0),
1569 FREQ2FBIN(5825, 0)
1570 },
1571 .calTarget_freqbin_5GHT40 = {
1572 FREQ2FBIN(5180, 0),
1573 FREQ2FBIN(5240, 0),
1574 FREQ2FBIN(5320, 0),
1575 FREQ2FBIN(5400, 0),
1576 FREQ2FBIN(5500, 0),
1577 FREQ2FBIN(5700, 0),
1578 FREQ2FBIN(5745, 0),
1579 FREQ2FBIN(5825, 0)
1580 },
1581 .calTargetPower5G = {
1582 /* 6-24,36,48,54 */
1583 { {30, 30, 28, 24} },
1584 { {30, 30, 28, 24} },
1585 { {30, 30, 28, 24} },
1586 { {30, 30, 28, 24} },
1587 { {30, 30, 28, 24} },
1588 { {30, 30, 28, 24} },
1589 { {30, 30, 28, 24} },
1590 { {30, 30, 28, 24} },
1591 },
1592 .calTargetPower5GHT20 = {
1593 /*
1594 * 0_8_16,1-3_9-11_17-19,
1595 * 4,5,6,7,12,13,14,15,20,21,22,23
1596 */
1597 { {30, 30, 30, 28, 24, 20, 30, 28, 24, 20, 20, 20, 20, 16} },
1598 { {30, 30, 30, 28, 24, 20, 30, 28, 24, 20, 20, 20, 20, 16} },
1599 { {30, 30, 30, 26, 22, 18, 30, 26, 22, 18, 18, 18, 18, 16} },
1600 { {30, 30, 30, 26, 22, 18, 30, 26, 22, 18, 18, 18, 18, 16} },
1601 { {30, 30, 30, 24, 20, 16, 30, 24, 20, 16, 16, 16, 16, 14} },
1602 { {30, 30, 30, 24, 20, 16, 30, 24, 20, 16, 16, 16, 16, 14} },
1603 { {30, 30, 30, 22, 18, 14, 30, 22, 18, 14, 14, 14, 14, 12} },
1604 { {30, 30, 30, 22, 18, 14, 30, 22, 18, 14, 14, 14, 14, 12} },
1605 },
1606 .calTargetPower5GHT40 = {
1607 /*
1608 * 0_8_16,1-3_9-11_17-19,
1609 * 4,5,6,7,12,13,14,15,20,21,22,23
1610 */
1611 { {28, 28, 28, 26, 22, 18, 28, 26, 22, 18, 18, 18, 18, 14} },
1612 { {28, 28, 28, 26, 22, 18, 28, 26, 22, 18, 18, 18, 18, 14} },
1613 { {28, 28, 28, 24, 20, 16, 28, 24, 20, 16, 16, 16, 16, 12} },
1614 { {28, 28, 28, 24, 20, 16, 28, 24, 20, 16, 16, 16, 16, 12} },
1615 { {28, 28, 28, 22, 18, 14, 28, 22, 18, 14, 14, 14, 14, 10} },
1616 { {28, 28, 28, 22, 18, 14, 28, 22, 18, 14, 14, 14, 14, 10} },
1617 { {28, 28, 28, 20, 16, 12, 28, 20, 16, 12, 12, 12, 12, 8} },
1618 { {28, 28, 28, 20, 16, 12, 28, 20, 16, 12, 12, 12, 12, 8} },
1619 },
1620 .ctlIndex_5G = {
1621 0x10, 0x16, 0x18, 0x40, 0x46,
1622 0x48, 0x30, 0x36, 0x38
1623 },
1624 .ctl_freqbin_5G = {
1625 {
1626 /* Data[0].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
1627 /* Data[0].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
1628 /* Data[0].ctlEdges[2].bChannel */ FREQ2FBIN(5280, 0),
1629 /* Data[0].ctlEdges[3].bChannel */ FREQ2FBIN(5500, 0),
1630 /* Data[0].ctlEdges[4].bChannel */ FREQ2FBIN(5600, 0),
1631 /* Data[0].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
1632 /* Data[0].ctlEdges[6].bChannel */ FREQ2FBIN(5745, 0),
1633 /* Data[0].ctlEdges[7].bChannel */ FREQ2FBIN(5825, 0)
1634 },
1635 {
1636 /* Data[1].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
1637 /* Data[1].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
1638 /* Data[1].ctlEdges[2].bChannel */ FREQ2FBIN(5280, 0),
1639 /* Data[1].ctlEdges[3].bChannel */ FREQ2FBIN(5500, 0),
1640 /* Data[1].ctlEdges[4].bChannel */ FREQ2FBIN(5520, 0),
1641 /* Data[1].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
1642 /* Data[1].ctlEdges[6].bChannel */ FREQ2FBIN(5745, 0),
1643 /* Data[1].ctlEdges[7].bChannel */ FREQ2FBIN(5825, 0)
1644 },
1645
1646 {
1647 /* Data[2].ctlEdges[0].bChannel */ FREQ2FBIN(5190, 0),
1648 /* Data[2].ctlEdges[1].bChannel */ FREQ2FBIN(5230, 0),
1649 /* Data[2].ctlEdges[2].bChannel */ FREQ2FBIN(5270, 0),
1650 /* Data[2].ctlEdges[3].bChannel */ FREQ2FBIN(5310, 0),
1651 /* Data[2].ctlEdges[4].bChannel */ FREQ2FBIN(5510, 0),
1652 /* Data[2].ctlEdges[5].bChannel */ FREQ2FBIN(5550, 0),
1653 /* Data[2].ctlEdges[6].bChannel */ FREQ2FBIN(5670, 0),
1654 /* Data[2].ctlEdges[7].bChannel */ FREQ2FBIN(5755, 0)
1655 },
1656
1657 {
1658 /* Data[3].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
1659 /* Data[3].ctlEdges[1].bChannel */ FREQ2FBIN(5200, 0),
1660 /* Data[3].ctlEdges[2].bChannel */ FREQ2FBIN(5260, 0),
1661 /* Data[3].ctlEdges[3].bChannel */ FREQ2FBIN(5320, 0),
1662 /* Data[3].ctlEdges[4].bChannel */ FREQ2FBIN(5500, 0),
1663 /* Data[3].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
1664 /* Data[3].ctlEdges[6].bChannel */ 0xFF,
1665 /* Data[3].ctlEdges[7].bChannel */ 0xFF,
1666 },
1667
1668 {
1669 /* Data[4].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
1670 /* Data[4].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
1671 /* Data[4].ctlEdges[2].bChannel */ FREQ2FBIN(5500, 0),
1672 /* Data[4].ctlEdges[3].bChannel */ FREQ2FBIN(5700, 0),
1673 /* Data[4].ctlEdges[4].bChannel */ 0xFF,
1674 /* Data[4].ctlEdges[5].bChannel */ 0xFF,
1675 /* Data[4].ctlEdges[6].bChannel */ 0xFF,
1676 /* Data[4].ctlEdges[7].bChannel */ 0xFF,
1677 },
1678
1679 {
1680 /* Data[5].ctlEdges[0].bChannel */ FREQ2FBIN(5190, 0),
1681 /* Data[5].ctlEdges[1].bChannel */ FREQ2FBIN(5270, 0),
1682 /* Data[5].ctlEdges[2].bChannel */ FREQ2FBIN(5310, 0),
1683 /* Data[5].ctlEdges[3].bChannel */ FREQ2FBIN(5510, 0),
1684 /* Data[5].ctlEdges[4].bChannel */ FREQ2FBIN(5590, 0),
1685 /* Data[5].ctlEdges[5].bChannel */ FREQ2FBIN(5670, 0),
1686 /* Data[5].ctlEdges[6].bChannel */ 0xFF,
1687 /* Data[5].ctlEdges[7].bChannel */ 0xFF
1688 },
1689
1690 {
1691 /* Data[6].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
1692 /* Data[6].ctlEdges[1].bChannel */ FREQ2FBIN(5200, 0),
1693 /* Data[6].ctlEdges[2].bChannel */ FREQ2FBIN(5220, 0),
1694 /* Data[6].ctlEdges[3].bChannel */ FREQ2FBIN(5260, 0),
1695 /* Data[6].ctlEdges[4].bChannel */ FREQ2FBIN(5500, 0),
1696 /* Data[6].ctlEdges[5].bChannel */ FREQ2FBIN(5600, 0),
1697 /* Data[6].ctlEdges[6].bChannel */ FREQ2FBIN(5700, 0),
1698 /* Data[6].ctlEdges[7].bChannel */ FREQ2FBIN(5745, 0)
1699 },
1700
1701 {
1702 /* Data[7].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
1703 /* Data[7].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
1704 /* Data[7].ctlEdges[2].bChannel */ FREQ2FBIN(5320, 0),
1705 /* Data[7].ctlEdges[3].bChannel */ FREQ2FBIN(5500, 0),
1706 /* Data[7].ctlEdges[4].bChannel */ FREQ2FBIN(5560, 0),
1707 /* Data[7].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
1708 /* Data[7].ctlEdges[6].bChannel */ FREQ2FBIN(5745, 0),
1709 /* Data[7].ctlEdges[7].bChannel */ FREQ2FBIN(5825, 0)
1710 },
1711
1712 {
1713 /* Data[8].ctlEdges[0].bChannel */ FREQ2FBIN(5190, 0),
1714 /* Data[8].ctlEdges[1].bChannel */ FREQ2FBIN(5230, 0),
1715 /* Data[8].ctlEdges[2].bChannel */ FREQ2FBIN(5270, 0),
1716 /* Data[8].ctlEdges[3].bChannel */ FREQ2FBIN(5510, 0),
1717 /* Data[8].ctlEdges[4].bChannel */ FREQ2FBIN(5550, 0),
1718 /* Data[8].ctlEdges[5].bChannel */ FREQ2FBIN(5670, 0),
1719 /* Data[8].ctlEdges[6].bChannel */ FREQ2FBIN(5755, 0),
1720 /* Data[8].ctlEdges[7].bChannel */ FREQ2FBIN(5795, 0)
1721 }
1722 },
1723 .ctlPowerData_5G = {
1724 {
1725 {
fe6c7915
DM
1726 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
1727 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
30923549
SB
1728 }
1729 },
1730 {
1731 {
fe6c7915
DM
1732 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
1733 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
30923549
SB
1734 }
1735 },
1736 {
1737 {
fe6c7915
DM
1738 CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 1),
1739 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
30923549
SB
1740 }
1741 },
1742 {
1743 {
fe6c7915
DM
1744 CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 0),
1745 CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 0),
30923549
SB
1746 }
1747 },
1748 {
1749 {
fe6c7915
DM
1750 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
1751 CTL(60, 0), CTL(60, 0), CTL(60, 0), CTL(60, 0),
30923549
SB
1752 }
1753 },
1754 {
1755 {
fe6c7915
DM
1756 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
1757 CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 0),
30923549
SB
1758 }
1759 },
1760 {
1761 {
fe6c7915
DM
1762 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
1763 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
30923549
SB
1764 }
1765 },
1766 {
1767 {
fe6c7915
DM
1768 CTL(60, 1), CTL(60, 1), CTL(60, 0), CTL(60, 1),
1769 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
30923549
SB
1770 }
1771 },
1772 {
1773 {
fe6c7915
DM
1774 CTL(60, 1), CTL(60, 0), CTL(60, 1), CTL(60, 1),
1775 CTL(60, 1), CTL(60, 1), CTL(60, 0), CTL(60, 1),
30923549
SB
1776 }
1777 },
1778 }
1779};
1780
1781
1782static const struct ar9300_eeprom ar9300_x112 = {
1783 .eepromVersion = 2,
1784 .templateVersion = 5,
1785 .macAddr = {0x00, 0x03, 0x7f, 0x0, 0x0, 0x0},
1786 .custData = {"x112-041-f0000"},
1787 .baseEepHeader = {
1788 .regDmn = { LE16(0), LE16(0x1f) },
1789 .txrxMask = 0x77, /* 4 bits tx and 4 bits rx */
1790 .opCapFlags = {
4ddfcd7d 1791 .opFlags = AR5416_OPFLAGS_11G | AR5416_OPFLAGS_11A,
30923549
SB
1792 .eepMisc = 0,
1793 },
1794 .rfSilent = 0,
1795 .blueToothOptions = 0,
1796 .deviceCap = 0,
1797 .deviceType = 5, /* takes lower byte in eeprom location */
1798 .pwrTableOffset = AR9300_PWR_TABLE_OFFSET,
1799 .params_for_tuning_caps = {0, 0},
1800 .featureEnable = 0x0d,
1801 /*
1802 * bit0 - enable tx temp comp - disabled
1803 * bit1 - enable tx volt comp - disabled
1804 * bit2 - enable fastclock - enabled
1805 * bit3 - enable doubling - enabled
1806 * bit4 - enable internal regulator - disabled
1807 * bit5 - enable pa predistortion - disabled
1808 */
1809 .miscConfiguration = 0, /* bit0 - turn down drivestrength */
1810 .eepromWriteEnableGpio = 6,
1811 .wlanDisableGpio = 0,
1812 .wlanLedGpio = 8,
1813 .rxBandSelectGpio = 0xff,
1814 .txrxgain = 0x0,
1815 .swreg = 0,
1816 },
1817 .modalHeader2G = {
1818 /* ar9300_modal_eep_header 2g */
1819 /* 4 idle,t1,t2,b(4 bits per setting) */
1820 .antCtrlCommon = LE32(0x110),
1821 /* 4 ra1l1, ra2l1, ra1l2, ra2l2, ra12 */
1822 .antCtrlCommon2 = LE32(0x22222),
1823
1824 /*
1825 * antCtrlChain[ar9300_max_chains]; 6 idle, t, r,
1826 * rx1, rx12, b (2 bits each)
1827 */
1828 .antCtrlChain = { LE16(0x10), LE16(0x10), LE16(0x10) },
1829
1830 /*
1831 * xatten1DB[AR9300_max_chains]; 3 xatten1_db
1832 * for ar9280 (0xa20c/b20c 5:0)
1833 */
1834 .xatten1DB = {0x1b, 0x1b, 0x1b},
1835
1836 /*
1837 * xatten1Margin[ar9300_max_chains]; 3 xatten1_margin
1838 * for ar9280 (0xa20c/b20c 16:12
1839 */
1840 .xatten1Margin = {0x15, 0x15, 0x15},
1841 .tempSlope = 50,
1842 .voltSlope = 0,
1843
1844 /*
1845 * spurChans[OSPrey_eeprom_modal_sPURS]; spur
1846 * channels in usual fbin coding format
1847 */
1848 .spurChans = {FREQ2FBIN(2464, 1), 0, 0, 0, 0},
1849
1850 /*
1851 * noiseFloorThreshch[ar9300_max_cHAINS]; 3 Check
1852 * if the register is per chain
1853 */
1854 .noiseFloorThreshCh = {-1, 0, 0},
df222edc
RM
1855 .reserved = {0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0},
1856 .quick_drop = 0,
30923549
SB
1857 .xpaBiasLvl = 0,
1858 .txFrameToDataStart = 0x0e,
1859 .txFrameToPaOn = 0x0e,
1860 .txClip = 3, /* 4 bits tx_clip, 4 bits dac_scale_cck */
1861 .antennaGain = 0,
1862 .switchSettling = 0x2c,
1863 .adcDesiredSize = -30,
1864 .txEndToXpaOff = 0,
1865 .txEndToRxOn = 0x2,
1866 .txFrameToXpaOn = 0xe,
1867 .thresh62 = 28,
1868 .papdRateMaskHt20 = LE32(0x0c80c080),
1869 .papdRateMaskHt40 = LE32(0x0080c080),
66a80a3a 1870 .switchcomspdt = 0,
3e2ea543 1871 .xlna_bias_strength = 0,
30923549 1872 .futureModal = {
3e2ea543 1873 0, 0, 0, 0, 0, 0, 0,
30923549
SB
1874 },
1875 },
1876 .base_ext1 = {
1877 .ant_div_control = 0,
ee65b388 1878 .future = {0, 0},
420e2b1b 1879 .tempslopextension = {0, 0, 0, 0, 0, 0, 0, 0}
30923549
SB
1880 },
1881 .calFreqPier2G = {
1882 FREQ2FBIN(2412, 1),
1883 FREQ2FBIN(2437, 1),
1884 FREQ2FBIN(2472, 1),
1885 },
1886 /* ar9300_cal_data_per_freq_op_loop 2g */
1887 .calPierData2G = {
1888 { {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0} },
1889 { {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0} },
1890 { {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0} },
1891 },
1892 .calTarget_freqbin_Cck = {
1893 FREQ2FBIN(2412, 1),
1894 FREQ2FBIN(2472, 1),
1895 },
1896 .calTarget_freqbin_2G = {
1897 FREQ2FBIN(2412, 1),
1898 FREQ2FBIN(2437, 1),
1899 FREQ2FBIN(2472, 1)
1900 },
1901 .calTarget_freqbin_2GHT20 = {
1902 FREQ2FBIN(2412, 1),
1903 FREQ2FBIN(2437, 1),
1904 FREQ2FBIN(2472, 1)
1905 },
1906 .calTarget_freqbin_2GHT40 = {
1907 FREQ2FBIN(2412, 1),
1908 FREQ2FBIN(2437, 1),
1909 FREQ2FBIN(2472, 1)
1910 },
1911 .calTargetPowerCck = {
1912 /* 1L-5L,5S,11L,11s */
1913 { {38, 38, 38, 38} },
1914 { {38, 38, 38, 38} },
1915 },
1916 .calTargetPower2G = {
1917 /* 6-24,36,48,54 */
1918 { {38, 38, 36, 34} },
1919 { {38, 38, 36, 34} },
1920 { {38, 38, 34, 32} },
1921 },
1922 .calTargetPower2GHT20 = {
1923 { {36, 36, 36, 36, 36, 34, 34, 32, 30, 28, 28, 28, 28, 26} },
1924 { {36, 36, 36, 36, 36, 34, 36, 34, 32, 30, 30, 30, 28, 26} },
1925 { {36, 36, 36, 36, 36, 34, 34, 32, 30, 28, 28, 28, 28, 26} },
1926 },
1927 .calTargetPower2GHT40 = {
1928 { {36, 36, 36, 36, 34, 32, 32, 30, 28, 26, 26, 26, 26, 24} },
1929 { {36, 36, 36, 36, 34, 32, 34, 32, 30, 28, 28, 28, 28, 24} },
1930 { {36, 36, 36, 36, 34, 32, 32, 30, 28, 26, 26, 26, 26, 24} },
1931 },
1932 .ctlIndex_2G = {
1933 0x11, 0x12, 0x15, 0x17, 0x41, 0x42,
1934 0x45, 0x47, 0x31, 0x32, 0x35, 0x37,
1935 },
1936 .ctl_freqbin_2G = {
1937 {
1938 FREQ2FBIN(2412, 1),
1939 FREQ2FBIN(2417, 1),
1940 FREQ2FBIN(2457, 1),
1941 FREQ2FBIN(2462, 1)
1942 },
1943 {
1944 FREQ2FBIN(2412, 1),
1945 FREQ2FBIN(2417, 1),
1946 FREQ2FBIN(2462, 1),
1947 0xFF,
1948 },
1949
1950 {
1951 FREQ2FBIN(2412, 1),
1952 FREQ2FBIN(2417, 1),
1953 FREQ2FBIN(2462, 1),
1954 0xFF,
1955 },
1956 {
1957 FREQ2FBIN(2422, 1),
1958 FREQ2FBIN(2427, 1),
1959 FREQ2FBIN(2447, 1),
1960 FREQ2FBIN(2452, 1)
1961 },
1962
1963 {
1964 /* Data[4].ctledges[0].bchannel */ FREQ2FBIN(2412, 1),
1965 /* Data[4].ctledges[1].bchannel */ FREQ2FBIN(2417, 1),
1966 /* Data[4].ctledges[2].bchannel */ FREQ2FBIN(2472, 1),
1967 /* Data[4].ctledges[3].bchannel */ FREQ2FBIN(2484, 1),
1968 },
1969
1970 {
1971 /* Data[5].ctledges[0].bchannel */ FREQ2FBIN(2412, 1),
1972 /* Data[5].ctledges[1].bchannel */ FREQ2FBIN(2417, 1),
1973 /* Data[5].ctledges[2].bchannel */ FREQ2FBIN(2472, 1),
1974 0,
1975 },
1976
1977 {
1978 /* Data[6].ctledges[0].bchannel */ FREQ2FBIN(2412, 1),
1979 /* Data[6].ctledges[1].bchannel */ FREQ2FBIN(2417, 1),
1980 FREQ2FBIN(2472, 1),
1981 0,
1982 },
1983
1984 {
1985 /* Data[7].ctledges[0].bchannel */ FREQ2FBIN(2422, 1),
1986 /* Data[7].ctledges[1].bchannel */ FREQ2FBIN(2427, 1),
1987 /* Data[7].ctledges[2].bchannel */ FREQ2FBIN(2447, 1),
1988 /* Data[7].ctledges[3].bchannel */ FREQ2FBIN(2462, 1),
1989 },
1990
1991 {
1992 /* Data[8].ctledges[0].bchannel */ FREQ2FBIN(2412, 1),
1993 /* Data[8].ctledges[1].bchannel */ FREQ2FBIN(2417, 1),
1994 /* Data[8].ctledges[2].bchannel */ FREQ2FBIN(2472, 1),
1995 },
1996
1997 {
1998 /* Data[9].ctledges[0].bchannel */ FREQ2FBIN(2412, 1),
1999 /* Data[9].ctledges[1].bchannel */ FREQ2FBIN(2417, 1),
2000 /* Data[9].ctledges[2].bchannel */ FREQ2FBIN(2472, 1),
2001 0
2002 },
2003
2004 {
2005 /* Data[10].ctledges[0].bchannel */ FREQ2FBIN(2412, 1),
2006 /* Data[10].ctledges[1].bchannel */ FREQ2FBIN(2417, 1),
2007 /* Data[10].ctledges[2].bchannel */ FREQ2FBIN(2472, 1),
2008 0
2009 },
2010
2011 {
2012 /* Data[11].ctledges[0].bchannel */ FREQ2FBIN(2422, 1),
2013 /* Data[11].ctledges[1].bchannel */ FREQ2FBIN(2427, 1),
2014 /* Data[11].ctledges[2].bchannel */ FREQ2FBIN(2447, 1),
2015 /* Data[11].ctledges[3].bchannel */ FREQ2FBIN(2462, 1),
2016 }
2017 },
2018 .ctlPowerData_2G = {
fe6c7915
DM
2019 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
2020 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
2021 { { CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 1) } },
30923549 2022
15052f81 2023 { { CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 0) } },
fe6c7915
DM
2024 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
2025 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
30923549 2026
fe6c7915
DM
2027 { { CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 0) } },
2028 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
2029 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
30923549 2030
fe6c7915
DM
2031 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
2032 { { CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 1) } },
2033 { { CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 1) } },
30923549
SB
2034 },
2035 .modalHeader5G = {
2036 /* 4 idle,t1,t2,b (4 bits per setting) */
2037 .antCtrlCommon = LE32(0x110),
2038 /* 4 ra1l1, ra2l1, ra1l2,ra2l2,ra12 */
2039 .antCtrlCommon2 = LE32(0x22222),
2040 /* antCtrlChain 6 idle, t,r,rx1,rx12,b (2 bits each) */
2041 .antCtrlChain = {
2042 LE16(0x0), LE16(0x0), LE16(0x0),
2043 },
2044 /* xatten1DB 3 xatten1_db for ar9280 (0xa20c/b20c 5:0) */
2045 .xatten1DB = {0x13, 0x19, 0x17},
2046
2047 /*
2048 * xatten1Margin[ar9300_max_chains]; 3 xatten1_margin
2049 * for merlin (0xa20c/b20c 16:12
2050 */
2051 .xatten1Margin = {0x19, 0x19, 0x19},
2052 .tempSlope = 70,
2053 .voltSlope = 15,
2054 /* spurChans spur channels in usual fbin coding format */
2055 .spurChans = {0, 0, 0, 0, 0},
2056 /* noiseFloorThreshch check if the register is per chain */
2057 .noiseFloorThreshCh = {-1, 0, 0},
df222edc
RM
2058 .reserved = {0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0},
2059 .quick_drop = 0,
30923549
SB
2060 .xpaBiasLvl = 0,
2061 .txFrameToDataStart = 0x0e,
2062 .txFrameToPaOn = 0x0e,
2063 .txClip = 3, /* 4 bits tx_clip, 4 bits dac_scale_cck */
2064 .antennaGain = 0,
2065 .switchSettling = 0x2d,
2066 .adcDesiredSize = -30,
2067 .txEndToXpaOff = 0,
2068 .txEndToRxOn = 0x2,
2069 .txFrameToXpaOn = 0xe,
2070 .thresh62 = 28,
2071 .papdRateMaskHt20 = LE32(0x0cf0e0e0),
2072 .papdRateMaskHt40 = LE32(0x6cf0e0e0),
66a80a3a 2073 .switchcomspdt = 0,
3e2ea543 2074 .xlna_bias_strength = 0,
30923549 2075 .futureModal = {
3e2ea543 2076 0, 0, 0, 0, 0, 0, 0,
30923549
SB
2077 },
2078 },
2079 .base_ext2 = {
2080 .tempSlopeLow = 72,
2081 .tempSlopeHigh = 105,
2082 .xatten1DBLow = {0x10, 0x14, 0x10},
2083 .xatten1MarginLow = {0x19, 0x19 , 0x19},
2084 .xatten1DBHigh = {0x1d, 0x20, 0x24},
2085 .xatten1MarginHigh = {0x10, 0x10, 0x10}
2086 },
2087 .calFreqPier5G = {
2088 FREQ2FBIN(5180, 0),
2089 FREQ2FBIN(5220, 0),
2090 FREQ2FBIN(5320, 0),
2091 FREQ2FBIN(5400, 0),
2092 FREQ2FBIN(5500, 0),
2093 FREQ2FBIN(5600, 0),
2094 FREQ2FBIN(5700, 0),
2095 FREQ2FBIN(5785, 0)
2096 },
2097 .calPierData5G = {
2098 {
2099 {0, 0, 0, 0, 0},
2100 {0, 0, 0, 0, 0},
2101 {0, 0, 0, 0, 0},
2102 {0, 0, 0, 0, 0},
2103 {0, 0, 0, 0, 0},
2104 {0, 0, 0, 0, 0},
2105 {0, 0, 0, 0, 0},
2106 {0, 0, 0, 0, 0},
2107 },
2108 {
2109 {0, 0, 0, 0, 0},
2110 {0, 0, 0, 0, 0},
2111 {0, 0, 0, 0, 0},
2112 {0, 0, 0, 0, 0},
2113 {0, 0, 0, 0, 0},
2114 {0, 0, 0, 0, 0},
2115 {0, 0, 0, 0, 0},
2116 {0, 0, 0, 0, 0},
2117 },
2118 {
2119 {0, 0, 0, 0, 0},
2120 {0, 0, 0, 0, 0},
2121 {0, 0, 0, 0, 0},
2122 {0, 0, 0, 0, 0},
2123 {0, 0, 0, 0, 0},
2124 {0, 0, 0, 0, 0},
2125 {0, 0, 0, 0, 0},
2126 {0, 0, 0, 0, 0},
2127 },
2128
2129 },
2130 .calTarget_freqbin_5G = {
2131 FREQ2FBIN(5180, 0),
2132 FREQ2FBIN(5220, 0),
2133 FREQ2FBIN(5320, 0),
2134 FREQ2FBIN(5400, 0),
2135 FREQ2FBIN(5500, 0),
2136 FREQ2FBIN(5600, 0),
2137 FREQ2FBIN(5725, 0),
2138 FREQ2FBIN(5825, 0)
2139 },
2140 .calTarget_freqbin_5GHT20 = {
2141 FREQ2FBIN(5180, 0),
2142 FREQ2FBIN(5220, 0),
2143 FREQ2FBIN(5320, 0),
2144 FREQ2FBIN(5400, 0),
2145 FREQ2FBIN(5500, 0),
2146 FREQ2FBIN(5600, 0),
2147 FREQ2FBIN(5725, 0),
2148 FREQ2FBIN(5825, 0)
2149 },
2150 .calTarget_freqbin_5GHT40 = {
2151 FREQ2FBIN(5180, 0),
2152 FREQ2FBIN(5220, 0),
2153 FREQ2FBIN(5320, 0),
2154 FREQ2FBIN(5400, 0),
2155 FREQ2FBIN(5500, 0),
2156 FREQ2FBIN(5600, 0),
2157 FREQ2FBIN(5725, 0),
2158 FREQ2FBIN(5825, 0)
2159 },
2160 .calTargetPower5G = {
2161 /* 6-24,36,48,54 */
2162 { {32, 32, 28, 26} },
2163 { {32, 32, 28, 26} },
2164 { {32, 32, 28, 26} },
2165 { {32, 32, 26, 24} },
2166 { {32, 32, 26, 24} },
2167 { {32, 32, 24, 22} },
2168 { {30, 30, 24, 22} },
2169 { {30, 30, 24, 22} },
2170 },
2171 .calTargetPower5GHT20 = {
2172 /*
2173 * 0_8_16,1-3_9-11_17-19,
2174 * 4,5,6,7,12,13,14,15,20,21,22,23
2175 */
2176 { {32, 32, 32, 32, 28, 26, 32, 28, 26, 24, 24, 24, 22, 22} },
2177 { {32, 32, 32, 32, 28, 26, 32, 28, 26, 24, 24, 24, 22, 22} },
2178 { {32, 32, 32, 32, 28, 26, 32, 28, 26, 24, 24, 24, 22, 22} },
2179 { {32, 32, 32, 32, 28, 26, 32, 26, 24, 22, 22, 22, 20, 20} },
2180 { {32, 32, 32, 32, 28, 26, 32, 26, 24, 22, 20, 18, 16, 16} },
2181 { {32, 32, 32, 32, 28, 26, 32, 24, 20, 16, 18, 16, 14, 14} },
2182 { {30, 30, 30, 30, 28, 26, 30, 24, 20, 16, 18, 16, 14, 14} },
2183 { {30, 30, 30, 30, 28, 26, 30, 24, 20, 16, 18, 16, 14, 14} },
2184 },
2185 .calTargetPower5GHT40 = {
2186 /*
2187 * 0_8_16,1-3_9-11_17-19,
2188 * 4,5,6,7,12,13,14,15,20,21,22,23
2189 */
2190 { {32, 32, 32, 30, 28, 26, 30, 28, 26, 24, 24, 24, 22, 22} },
2191 { {32, 32, 32, 30, 28, 26, 30, 28, 26, 24, 24, 24, 22, 22} },
2192 { {32, 32, 32, 30, 28, 26, 30, 28, 26, 24, 24, 24, 22, 22} },
2193 { {32, 32, 32, 30, 28, 26, 30, 26, 24, 22, 22, 22, 20, 20} },
2194 { {32, 32, 32, 30, 28, 26, 30, 26, 24, 22, 20, 18, 16, 16} },
2195 { {32, 32, 32, 30, 28, 26, 30, 22, 20, 16, 18, 16, 14, 14} },
2196 { {30, 30, 30, 30, 28, 26, 30, 22, 20, 16, 18, 16, 14, 14} },
2197 { {30, 30, 30, 30, 28, 26, 30, 22, 20, 16, 18, 16, 14, 14} },
2198 },
2199 .ctlIndex_5G = {
2200 0x10, 0x16, 0x18, 0x40, 0x46,
2201 0x48, 0x30, 0x36, 0x38
2202 },
2203 .ctl_freqbin_5G = {
2204 {
2205 /* Data[0].ctledges[0].bchannel */ FREQ2FBIN(5180, 0),
2206 /* Data[0].ctledges[1].bchannel */ FREQ2FBIN(5260, 0),
2207 /* Data[0].ctledges[2].bchannel */ FREQ2FBIN(5280, 0),
2208 /* Data[0].ctledges[3].bchannel */ FREQ2FBIN(5500, 0),
2209 /* Data[0].ctledges[4].bchannel */ FREQ2FBIN(5600, 0),
2210 /* Data[0].ctledges[5].bchannel */ FREQ2FBIN(5700, 0),
2211 /* Data[0].ctledges[6].bchannel */ FREQ2FBIN(5745, 0),
2212 /* Data[0].ctledges[7].bchannel */ FREQ2FBIN(5825, 0)
2213 },
2214 {
2215 /* Data[1].ctledges[0].bchannel */ FREQ2FBIN(5180, 0),
2216 /* Data[1].ctledges[1].bchannel */ FREQ2FBIN(5260, 0),
2217 /* Data[1].ctledges[2].bchannel */ FREQ2FBIN(5280, 0),
2218 /* Data[1].ctledges[3].bchannel */ FREQ2FBIN(5500, 0),
2219 /* Data[1].ctledges[4].bchannel */ FREQ2FBIN(5520, 0),
2220 /* Data[1].ctledges[5].bchannel */ FREQ2FBIN(5700, 0),
2221 /* Data[1].ctledges[6].bchannel */ FREQ2FBIN(5745, 0),
2222 /* Data[1].ctledges[7].bchannel */ FREQ2FBIN(5825, 0)
2223 },
2224
2225 {
2226 /* Data[2].ctledges[0].bchannel */ FREQ2FBIN(5190, 0),
2227 /* Data[2].ctledges[1].bchannel */ FREQ2FBIN(5230, 0),
2228 /* Data[2].ctledges[2].bchannel */ FREQ2FBIN(5270, 0),
2229 /* Data[2].ctledges[3].bchannel */ FREQ2FBIN(5310, 0),
2230 /* Data[2].ctledges[4].bchannel */ FREQ2FBIN(5510, 0),
2231 /* Data[2].ctledges[5].bchannel */ FREQ2FBIN(5550, 0),
2232 /* Data[2].ctledges[6].bchannel */ FREQ2FBIN(5670, 0),
2233 /* Data[2].ctledges[7].bchannel */ FREQ2FBIN(5755, 0)
2234 },
2235
2236 {
2237 /* Data[3].ctledges[0].bchannel */ FREQ2FBIN(5180, 0),
2238 /* Data[3].ctledges[1].bchannel */ FREQ2FBIN(5200, 0),
2239 /* Data[3].ctledges[2].bchannel */ FREQ2FBIN(5260, 0),
2240 /* Data[3].ctledges[3].bchannel */ FREQ2FBIN(5320, 0),
2241 /* Data[3].ctledges[4].bchannel */ FREQ2FBIN(5500, 0),
2242 /* Data[3].ctledges[5].bchannel */ FREQ2FBIN(5700, 0),
2243 /* Data[3].ctledges[6].bchannel */ 0xFF,
2244 /* Data[3].ctledges[7].bchannel */ 0xFF,
2245 },
2246
2247 {
2248 /* Data[4].ctledges[0].bchannel */ FREQ2FBIN(5180, 0),
2249 /* Data[4].ctledges[1].bchannel */ FREQ2FBIN(5260, 0),
2250 /* Data[4].ctledges[2].bchannel */ FREQ2FBIN(5500, 0),
2251 /* Data[4].ctledges[3].bchannel */ FREQ2FBIN(5700, 0),
2252 /* Data[4].ctledges[4].bchannel */ 0xFF,
2253 /* Data[4].ctledges[5].bchannel */ 0xFF,
2254 /* Data[4].ctledges[6].bchannel */ 0xFF,
2255 /* Data[4].ctledges[7].bchannel */ 0xFF,
2256 },
2257
2258 {
2259 /* Data[5].ctledges[0].bchannel */ FREQ2FBIN(5190, 0),
2260 /* Data[5].ctledges[1].bchannel */ FREQ2FBIN(5270, 0),
2261 /* Data[5].ctledges[2].bchannel */ FREQ2FBIN(5310, 0),
2262 /* Data[5].ctledges[3].bchannel */ FREQ2FBIN(5510, 0),
2263 /* Data[5].ctledges[4].bchannel */ FREQ2FBIN(5590, 0),
2264 /* Data[5].ctledges[5].bchannel */ FREQ2FBIN(5670, 0),
2265 /* Data[5].ctledges[6].bchannel */ 0xFF,
2266 /* Data[5].ctledges[7].bchannel */ 0xFF
2267 },
2268
2269 {
2270 /* Data[6].ctledges[0].bchannel */ FREQ2FBIN(5180, 0),
2271 /* Data[6].ctledges[1].bchannel */ FREQ2FBIN(5200, 0),
2272 /* Data[6].ctledges[2].bchannel */ FREQ2FBIN(5220, 0),
2273 /* Data[6].ctledges[3].bchannel */ FREQ2FBIN(5260, 0),
2274 /* Data[6].ctledges[4].bchannel */ FREQ2FBIN(5500, 0),
2275 /* Data[6].ctledges[5].bchannel */ FREQ2FBIN(5600, 0),
2276 /* Data[6].ctledges[6].bchannel */ FREQ2FBIN(5700, 0),
2277 /* Data[6].ctledges[7].bchannel */ FREQ2FBIN(5745, 0)
2278 },
2279
2280 {
2281 /* Data[7].ctledges[0].bchannel */ FREQ2FBIN(5180, 0),
2282 /* Data[7].ctledges[1].bchannel */ FREQ2FBIN(5260, 0),
2283 /* Data[7].ctledges[2].bchannel */ FREQ2FBIN(5320, 0),
2284 /* Data[7].ctledges[3].bchannel */ FREQ2FBIN(5500, 0),
2285 /* Data[7].ctledges[4].bchannel */ FREQ2FBIN(5560, 0),
2286 /* Data[7].ctledges[5].bchannel */ FREQ2FBIN(5700, 0),
2287 /* Data[7].ctledges[6].bchannel */ FREQ2FBIN(5745, 0),
2288 /* Data[7].ctledges[7].bchannel */ FREQ2FBIN(5825, 0)
2289 },
2290
2291 {
2292 /* Data[8].ctledges[0].bchannel */ FREQ2FBIN(5190, 0),
2293 /* Data[8].ctledges[1].bchannel */ FREQ2FBIN(5230, 0),
2294 /* Data[8].ctledges[2].bchannel */ FREQ2FBIN(5270, 0),
2295 /* Data[8].ctledges[3].bchannel */ FREQ2FBIN(5510, 0),
2296 /* Data[8].ctledges[4].bchannel */ FREQ2FBIN(5550, 0),
2297 /* Data[8].ctledges[5].bchannel */ FREQ2FBIN(5670, 0),
2298 /* Data[8].ctledges[6].bchannel */ FREQ2FBIN(5755, 0),
2299 /* Data[8].ctledges[7].bchannel */ FREQ2FBIN(5795, 0)
2300 }
2301 },
2302 .ctlPowerData_5G = {
2303 {
2304 {
fe6c7915
DM
2305 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
2306 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
30923549
SB
2307 }
2308 },
2309 {
2310 {
fe6c7915
DM
2311 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
2312 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
30923549
SB
2313 }
2314 },
2315 {
2316 {
fe6c7915
DM
2317 CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 1),
2318 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
30923549
SB
2319 }
2320 },
2321 {
2322 {
fe6c7915
DM
2323 CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 0),
2324 CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 0),
30923549
SB
2325 }
2326 },
2327 {
2328 {
fe6c7915
DM
2329 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
2330 CTL(60, 0), CTL(60, 0), CTL(60, 0), CTL(60, 0),
30923549
SB
2331 }
2332 },
2333 {
2334 {
fe6c7915
DM
2335 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
2336 CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 0),
30923549
SB
2337 }
2338 },
2339 {
2340 {
fe6c7915
DM
2341 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
2342 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
30923549
SB
2343 }
2344 },
2345 {
2346 {
fe6c7915
DM
2347 CTL(60, 1), CTL(60, 1), CTL(60, 0), CTL(60, 1),
2348 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
30923549
SB
2349 }
2350 },
2351 {
2352 {
fe6c7915
DM
2353 CTL(60, 1), CTL(60, 0), CTL(60, 1), CTL(60, 1),
2354 CTL(60, 1), CTL(60, 1), CTL(60, 0), CTL(60, 1),
30923549
SB
2355 }
2356 },
2357 }
2358};
2359
2360static const struct ar9300_eeprom ar9300_h116 = {
2361 .eepromVersion = 2,
2362 .templateVersion = 4,
2363 .macAddr = {0x00, 0x03, 0x7f, 0x0, 0x0, 0x0},
2364 .custData = {"h116-041-f0000"},
2365 .baseEepHeader = {
2366 .regDmn = { LE16(0), LE16(0x1f) },
2367 .txrxMask = 0x33, /* 4 bits tx and 4 bits rx */
2368 .opCapFlags = {
4ddfcd7d 2369 .opFlags = AR5416_OPFLAGS_11G | AR5416_OPFLAGS_11A,
30923549
SB
2370 .eepMisc = 0,
2371 },
2372 .rfSilent = 0,
2373 .blueToothOptions = 0,
2374 .deviceCap = 0,
2375 .deviceType = 5, /* takes lower byte in eeprom location */
2376 .pwrTableOffset = AR9300_PWR_TABLE_OFFSET,
2377 .params_for_tuning_caps = {0, 0},
2378 .featureEnable = 0x0d,
2379 /*
2380 * bit0 - enable tx temp comp - disabled
2381 * bit1 - enable tx volt comp - disabled
2382 * bit2 - enable fastClock - enabled
2383 * bit3 - enable doubling - enabled
2384 * bit4 - enable internal regulator - disabled
2385 * bit5 - enable pa predistortion - disabled
2386 */
2387 .miscConfiguration = 0, /* bit0 - turn down drivestrength */
2388 .eepromWriteEnableGpio = 6,
2389 .wlanDisableGpio = 0,
2390 .wlanLedGpio = 8,
2391 .rxBandSelectGpio = 0xff,
2392 .txrxgain = 0x10,
2393 .swreg = 0,
2394 },
2395 .modalHeader2G = {
2396 /* ar9300_modal_eep_header 2g */
2397 /* 4 idle,t1,t2,b(4 bits per setting) */
2398 .antCtrlCommon = LE32(0x110),
2399 /* 4 ra1l1, ra2l1, ra1l2, ra2l2, ra12 */
2400 .antCtrlCommon2 = LE32(0x44444),
2401
2402 /*
2403 * antCtrlChain[AR9300_MAX_CHAINS]; 6 idle, t, r,
2404 * rx1, rx12, b (2 bits each)
2405 */
2406 .antCtrlChain = { LE16(0x10), LE16(0x10), LE16(0x10) },
2407
2408 /*
2409 * xatten1DB[AR9300_MAX_CHAINS]; 3 xatten1_db
2410 * for ar9280 (0xa20c/b20c 5:0)
2411 */
2412 .xatten1DB = {0x1f, 0x1f, 0x1f},
2413
2414 /*
2415 * xatten1Margin[AR9300_MAX_CHAINS]; 3 xatten1_margin
2416 * for ar9280 (0xa20c/b20c 16:12
2417 */
2418 .xatten1Margin = {0x12, 0x12, 0x12},
2419 .tempSlope = 25,
2420 .voltSlope = 0,
2421
2422 /*
2423 * spurChans[OSPREY_EEPROM_MODAL_SPURS]; spur
2424 * channels in usual fbin coding format
2425 */
2426 .spurChans = {FREQ2FBIN(2464, 1), 0, 0, 0, 0},
2427
2428 /*
2429 * noiseFloorThreshCh[AR9300_MAX_CHAINS]; 3 Check
2430 * if the register is per chain
2431 */
2432 .noiseFloorThreshCh = {-1, 0, 0},
df222edc
RM
2433 .reserved = {0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0},
2434 .quick_drop = 0,
30923549
SB
2435 .xpaBiasLvl = 0,
2436 .txFrameToDataStart = 0x0e,
2437 .txFrameToPaOn = 0x0e,
2438 .txClip = 3, /* 4 bits tx_clip, 4 bits dac_scale_cck */
2439 .antennaGain = 0,
2440 .switchSettling = 0x2c,
2441 .adcDesiredSize = -30,
2442 .txEndToXpaOff = 0,
2443 .txEndToRxOn = 0x2,
2444 .txFrameToXpaOn = 0xe,
2445 .thresh62 = 28,
2446 .papdRateMaskHt20 = LE32(0x0c80C080),
2447 .papdRateMaskHt40 = LE32(0x0080C080),
66a80a3a 2448 .switchcomspdt = 0,
3e2ea543 2449 .xlna_bias_strength = 0,
30923549 2450 .futureModal = {
3e2ea543 2451 0, 0, 0, 0, 0, 0, 0,
30923549
SB
2452 },
2453 },
2454 .base_ext1 = {
2455 .ant_div_control = 0,
ee65b388 2456 .future = {0, 0},
420e2b1b 2457 .tempslopextension = {0, 0, 0, 0, 0, 0, 0, 0}
30923549
SB
2458 },
2459 .calFreqPier2G = {
2460 FREQ2FBIN(2412, 1),
2461 FREQ2FBIN(2437, 1),
94e2ad9e 2462 FREQ2FBIN(2462, 1),
30923549
SB
2463 },
2464 /* ar9300_cal_data_per_freq_op_loop 2g */
2465 .calPierData2G = {
2466 { {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0} },
2467 { {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0} },
2468 { {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0}, {0, 0, 0, 0, 0, 0} },
2469 },
2470 .calTarget_freqbin_Cck = {
2471 FREQ2FBIN(2412, 1),
2472 FREQ2FBIN(2472, 1),
2473 },
2474 .calTarget_freqbin_2G = {
2475 FREQ2FBIN(2412, 1),
2476 FREQ2FBIN(2437, 1),
2477 FREQ2FBIN(2472, 1)
2478 },
2479 .calTarget_freqbin_2GHT20 = {
2480 FREQ2FBIN(2412, 1),
2481 FREQ2FBIN(2437, 1),
2482 FREQ2FBIN(2472, 1)
2483 },
2484 .calTarget_freqbin_2GHT40 = {
2485 FREQ2FBIN(2412, 1),
2486 FREQ2FBIN(2437, 1),
2487 FREQ2FBIN(2472, 1)
2488 },
2489 .calTargetPowerCck = {
2490 /* 1L-5L,5S,11L,11S */
2491 { {34, 34, 34, 34} },
2492 { {34, 34, 34, 34} },
2493 },
2494 .calTargetPower2G = {
2495 /* 6-24,36,48,54 */
2496 { {34, 34, 32, 32} },
2497 { {34, 34, 32, 32} },
2498 { {34, 34, 32, 32} },
2499 },
2500 .calTargetPower2GHT20 = {
2501 { {32, 32, 32, 32, 32, 30, 32, 32, 30, 28, 0, 0, 0, 0} },
2502 { {32, 32, 32, 32, 32, 30, 32, 32, 30, 28, 0, 0, 0, 0} },
2503 { {32, 32, 32, 32, 32, 30, 32, 32, 30, 28, 0, 0, 0, 0} },
2504 },
2505 .calTargetPower2GHT40 = {
2506 { {30, 30, 30, 30, 30, 28, 30, 30, 28, 26, 0, 0, 0, 0} },
2507 { {30, 30, 30, 30, 30, 28, 30, 30, 28, 26, 0, 0, 0, 0} },
2508 { {30, 30, 30, 30, 30, 28, 30, 30, 28, 26, 0, 0, 0, 0} },
2509 },
2510 .ctlIndex_2G = {
2511 0x11, 0x12, 0x15, 0x17, 0x41, 0x42,
2512 0x45, 0x47, 0x31, 0x32, 0x35, 0x37,
2513 },
2514 .ctl_freqbin_2G = {
2515 {
2516 FREQ2FBIN(2412, 1),
2517 FREQ2FBIN(2417, 1),
2518 FREQ2FBIN(2457, 1),
2519 FREQ2FBIN(2462, 1)
2520 },
2521 {
2522 FREQ2FBIN(2412, 1),
2523 FREQ2FBIN(2417, 1),
2524 FREQ2FBIN(2462, 1),
2525 0xFF,
2526 },
2527
2528 {
2529 FREQ2FBIN(2412, 1),
2530 FREQ2FBIN(2417, 1),
2531 FREQ2FBIN(2462, 1),
2532 0xFF,
2533 },
2534 {
2535 FREQ2FBIN(2422, 1),
2536 FREQ2FBIN(2427, 1),
2537 FREQ2FBIN(2447, 1),
2538 FREQ2FBIN(2452, 1)
2539 },
2540
2541 {
2542 /* Data[4].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
2543 /* Data[4].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
2544 /* Data[4].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
2545 /* Data[4].ctlEdges[3].bChannel */ FREQ2FBIN(2484, 1),
2546 },
2547
2548 {
2549 /* Data[5].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
2550 /* Data[5].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
2551 /* Data[5].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
2552 0,
2553 },
2554
2555 {
2556 /* Data[6].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
2557 /* Data[6].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
2558 FREQ2FBIN(2472, 1),
2559 0,
2560 },
2561
2562 {
2563 /* Data[7].ctlEdges[0].bChannel */ FREQ2FBIN(2422, 1),
2564 /* Data[7].ctlEdges[1].bChannel */ FREQ2FBIN(2427, 1),
2565 /* Data[7].ctlEdges[2].bChannel */ FREQ2FBIN(2447, 1),
2566 /* Data[7].ctlEdges[3].bChannel */ FREQ2FBIN(2462, 1),
2567 },
2568
2569 {
2570 /* Data[8].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
2571 /* Data[8].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
2572 /* Data[8].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
2573 },
2574
2575 {
2576 /* Data[9].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
2577 /* Data[9].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
2578 /* Data[9].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
2579 0
2580 },
2581
2582 {
2583 /* Data[10].ctlEdges[0].bChannel */ FREQ2FBIN(2412, 1),
2584 /* Data[10].ctlEdges[1].bChannel */ FREQ2FBIN(2417, 1),
2585 /* Data[10].ctlEdges[2].bChannel */ FREQ2FBIN(2472, 1),
2586 0
2587 },
2588
2589 {
2590 /* Data[11].ctlEdges[0].bChannel */ FREQ2FBIN(2422, 1),
2591 /* Data[11].ctlEdges[1].bChannel */ FREQ2FBIN(2427, 1),
2592 /* Data[11].ctlEdges[2].bChannel */ FREQ2FBIN(2447, 1),
2593 /* Data[11].ctlEdges[3].bChannel */ FREQ2FBIN(2462, 1),
2594 }
2595 },
2596 .ctlPowerData_2G = {
e702ba18
FF
2597 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
2598 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
2599 { { CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 1) } },
30923549 2600
81dc6760 2601 { { CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 0) } },
e702ba18
FF
2602 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
2603 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
30923549 2604
e702ba18
FF
2605 { { CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 0) } },
2606 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
2607 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
30923549 2608
e702ba18
FF
2609 { { CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 0) } },
2610 { { CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 1) } },
2611 { { CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 1) } },
30923549
SB
2612 },
2613 .modalHeader5G = {
2614 /* 4 idle,t1,t2,b (4 bits per setting) */
2615 .antCtrlCommon = LE32(0x220),
2616 /* 4 ra1l1, ra2l1, ra1l2,ra2l2,ra12 */
2617 .antCtrlCommon2 = LE32(0x44444),
2618 /* antCtrlChain 6 idle, t,r,rx1,rx12,b (2 bits each) */
2619 .antCtrlChain = {
2620 LE16(0x150), LE16(0x150), LE16(0x150),
2621 },
2622 /* xatten1DB 3 xatten1_db for AR9280 (0xa20c/b20c 5:0) */
2623 .xatten1DB = {0x19, 0x19, 0x19},
2624
2625 /*
2626 * xatten1Margin[AR9300_MAX_CHAINS]; 3 xatten1_margin
2627 * for merlin (0xa20c/b20c 16:12
2628 */
2629 .xatten1Margin = {0x14, 0x14, 0x14},
2630 .tempSlope = 70,
2631 .voltSlope = 0,
2632 /* spurChans spur channels in usual fbin coding format */
2633 .spurChans = {0, 0, 0, 0, 0},
2634 /* noiseFloorThreshCh Check if the register is per chain */
2635 .noiseFloorThreshCh = {-1, 0, 0},
df222edc
RM
2636 .reserved = {0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0},
2637 .quick_drop = 0,
30923549
SB
2638 .xpaBiasLvl = 0,
2639 .txFrameToDataStart = 0x0e,
2640 .txFrameToPaOn = 0x0e,
2641 .txClip = 3, /* 4 bits tx_clip, 4 bits dac_scale_cck */
2642 .antennaGain = 0,
2643 .switchSettling = 0x2d,
2644 .adcDesiredSize = -30,
2645 .txEndToXpaOff = 0,
2646 .txEndToRxOn = 0x2,
2647 .txFrameToXpaOn = 0xe,
2648 .thresh62 = 28,
2649 .papdRateMaskHt20 = LE32(0x0cf0e0e0),
2650 .papdRateMaskHt40 = LE32(0x6cf0e0e0),
66a80a3a 2651 .switchcomspdt = 0,
3e2ea543 2652 .xlna_bias_strength = 0,
30923549 2653 .futureModal = {
3e2ea543 2654 0, 0, 0, 0, 0, 0, 0,
30923549
SB
2655 },
2656 },
2657 .base_ext2 = {
2658 .tempSlopeLow = 35,
2659 .tempSlopeHigh = 50,
2660 .xatten1DBLow = {0, 0, 0},
2661 .xatten1MarginLow = {0, 0, 0},
2662 .xatten1DBHigh = {0, 0, 0},
2663 .xatten1MarginHigh = {0, 0, 0}
2664 },
2665 .calFreqPier5G = {
94e2ad9e 2666 FREQ2FBIN(5160, 0),
30923549
SB
2667 FREQ2FBIN(5220, 0),
2668 FREQ2FBIN(5320, 0),
2669 FREQ2FBIN(5400, 0),
2670 FREQ2FBIN(5500, 0),
2671 FREQ2FBIN(5600, 0),
2672 FREQ2FBIN(5700, 0),
2673 FREQ2FBIN(5785, 0)
2674 },
2675 .calPierData5G = {
2676 {
2677 {0, 0, 0, 0, 0},
2678 {0, 0, 0, 0, 0},
2679 {0, 0, 0, 0, 0},
2680 {0, 0, 0, 0, 0},
2681 {0, 0, 0, 0, 0},
2682 {0, 0, 0, 0, 0},
2683 {0, 0, 0, 0, 0},
2684 {0, 0, 0, 0, 0},
2685 },
2686 {
2687 {0, 0, 0, 0, 0},
2688 {0, 0, 0, 0, 0},
2689 {0, 0, 0, 0, 0},
2690 {0, 0, 0, 0, 0},
2691 {0, 0, 0, 0, 0},
2692 {0, 0, 0, 0, 0},
2693 {0, 0, 0, 0, 0},
2694 {0, 0, 0, 0, 0},
2695 },
2696 {
2697 {0, 0, 0, 0, 0},
2698 {0, 0, 0, 0, 0},
2699 {0, 0, 0, 0, 0},
2700 {0, 0, 0, 0, 0},
2701 {0, 0, 0, 0, 0},
2702 {0, 0, 0, 0, 0},
2703 {0, 0, 0, 0, 0},
2704 {0, 0, 0, 0, 0},
2705 },
2706
2707 },
2708 .calTarget_freqbin_5G = {
2709 FREQ2FBIN(5180, 0),
2710 FREQ2FBIN(5240, 0),
2711 FREQ2FBIN(5320, 0),
2712 FREQ2FBIN(5400, 0),
2713 FREQ2FBIN(5500, 0),
2714 FREQ2FBIN(5600, 0),
2715 FREQ2FBIN(5700, 0),
2716 FREQ2FBIN(5825, 0)
2717 },
2718 .calTarget_freqbin_5GHT20 = {
2719 FREQ2FBIN(5180, 0),
2720 FREQ2FBIN(5240, 0),
2721 FREQ2FBIN(5320, 0),
2722 FREQ2FBIN(5400, 0),
2723 FREQ2FBIN(5500, 0),
2724 FREQ2FBIN(5700, 0),
2725 FREQ2FBIN(5745, 0),
2726 FREQ2FBIN(5825, 0)
2727 },
2728 .calTarget_freqbin_5GHT40 = {
2729 FREQ2FBIN(5180, 0),
2730 FREQ2FBIN(5240, 0),
2731 FREQ2FBIN(5320, 0),
2732 FREQ2FBIN(5400, 0),
2733 FREQ2FBIN(5500, 0),
2734 FREQ2FBIN(5700, 0),
2735 FREQ2FBIN(5745, 0),
2736 FREQ2FBIN(5825, 0)
2737 },
2738 .calTargetPower5G = {
2739 /* 6-24,36,48,54 */
2740 { {30, 30, 28, 24} },
2741 { {30, 30, 28, 24} },
2742 { {30, 30, 28, 24} },
2743 { {30, 30, 28, 24} },
2744 { {30, 30, 28, 24} },
2745 { {30, 30, 28, 24} },
2746 { {30, 30, 28, 24} },
2747 { {30, 30, 28, 24} },
2748 },
2749 .calTargetPower5GHT20 = {
2750 /*
2751 * 0_8_16,1-3_9-11_17-19,
2752 * 4,5,6,7,12,13,14,15,20,21,22,23
2753 */
2754 { {30, 30, 30, 28, 24, 20, 30, 28, 24, 20, 0, 0, 0, 0} },
2755 { {30, 30, 30, 28, 24, 20, 30, 28, 24, 20, 0, 0, 0, 0} },
2756 { {30, 30, 30, 26, 22, 18, 30, 26, 22, 18, 0, 0, 0, 0} },
2757 { {30, 30, 30, 26, 22, 18, 30, 26, 22, 18, 0, 0, 0, 0} },
2758 { {30, 30, 30, 24, 20, 16, 30, 24, 20, 16, 0, 0, 0, 0} },
2759 { {30, 30, 30, 24, 20, 16, 30, 24, 20, 16, 0, 0, 0, 0} },
2760 { {30, 30, 30, 22, 18, 14, 30, 22, 18, 14, 0, 0, 0, 0} },
2761 { {30, 30, 30, 22, 18, 14, 30, 22, 18, 14, 0, 0, 0, 0} },
2762 },
2763 .calTargetPower5GHT40 = {
2764 /*
2765 * 0_8_16,1-3_9-11_17-19,
2766 * 4,5,6,7,12,13,14,15,20,21,22,23
2767 */
2768 { {28, 28, 28, 26, 22, 18, 28, 26, 22, 18, 0, 0, 0, 0} },
2769 { {28, 28, 28, 26, 22, 18, 28, 26, 22, 18, 0, 0, 0, 0} },
2770 { {28, 28, 28, 24, 20, 16, 28, 24, 20, 16, 0, 0, 0, 0} },
2771 { {28, 28, 28, 24, 20, 16, 28, 24, 20, 16, 0, 0, 0, 0} },
2772 { {28, 28, 28, 22, 18, 14, 28, 22, 18, 14, 0, 0, 0, 0} },
2773 { {28, 28, 28, 22, 18, 14, 28, 22, 18, 14, 0, 0, 0, 0} },
2774 { {28, 28, 28, 20, 16, 12, 28, 20, 16, 12, 0, 0, 0, 0} },
2775 { {28, 28, 28, 20, 16, 12, 28, 20, 16, 12, 0, 0, 0, 0} },
2776 },
2777 .ctlIndex_5G = {
2778 0x10, 0x16, 0x18, 0x40, 0x46,
2779 0x48, 0x30, 0x36, 0x38
2780 },
2781 .ctl_freqbin_5G = {
2782 {
2783 /* Data[0].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
2784 /* Data[0].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
2785 /* Data[0].ctlEdges[2].bChannel */ FREQ2FBIN(5280, 0),
2786 /* Data[0].ctlEdges[3].bChannel */ FREQ2FBIN(5500, 0),
2787 /* Data[0].ctlEdges[4].bChannel */ FREQ2FBIN(5600, 0),
2788 /* Data[0].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
2789 /* Data[0].ctlEdges[6].bChannel */ FREQ2FBIN(5745, 0),
2790 /* Data[0].ctlEdges[7].bChannel */ FREQ2FBIN(5825, 0)
2791 },
2792 {
2793 /* Data[1].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
2794 /* Data[1].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
2795 /* Data[1].ctlEdges[2].bChannel */ FREQ2FBIN(5280, 0),
2796 /* Data[1].ctlEdges[3].bChannel */ FREQ2FBIN(5500, 0),
2797 /* Data[1].ctlEdges[4].bChannel */ FREQ2FBIN(5520, 0),
2798 /* Data[1].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
2799 /* Data[1].ctlEdges[6].bChannel */ FREQ2FBIN(5745, 0),
2800 /* Data[1].ctlEdges[7].bChannel */ FREQ2FBIN(5825, 0)
2801 },
2802
2803 {
2804 /* Data[2].ctlEdges[0].bChannel */ FREQ2FBIN(5190, 0),
2805 /* Data[2].ctlEdges[1].bChannel */ FREQ2FBIN(5230, 0),
2806 /* Data[2].ctlEdges[2].bChannel */ FREQ2FBIN(5270, 0),
2807 /* Data[2].ctlEdges[3].bChannel */ FREQ2FBIN(5310, 0),
2808 /* Data[2].ctlEdges[4].bChannel */ FREQ2FBIN(5510, 0),
2809 /* Data[2].ctlEdges[5].bChannel */ FREQ2FBIN(5550, 0),
2810 /* Data[2].ctlEdges[6].bChannel */ FREQ2FBIN(5670, 0),
2811 /* Data[2].ctlEdges[7].bChannel */ FREQ2FBIN(5755, 0)
2812 },
2813
2814 {
2815 /* Data[3].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
2816 /* Data[3].ctlEdges[1].bChannel */ FREQ2FBIN(5200, 0),
2817 /* Data[3].ctlEdges[2].bChannel */ FREQ2FBIN(5260, 0),
2818 /* Data[3].ctlEdges[3].bChannel */ FREQ2FBIN(5320, 0),
2819 /* Data[3].ctlEdges[4].bChannel */ FREQ2FBIN(5500, 0),
2820 /* Data[3].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
2821 /* Data[3].ctlEdges[6].bChannel */ 0xFF,
2822 /* Data[3].ctlEdges[7].bChannel */ 0xFF,
2823 },
2824
2825 {
2826 /* Data[4].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
2827 /* Data[4].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
2828 /* Data[4].ctlEdges[2].bChannel */ FREQ2FBIN(5500, 0),
2829 /* Data[4].ctlEdges[3].bChannel */ FREQ2FBIN(5700, 0),
2830 /* Data[4].ctlEdges[4].bChannel */ 0xFF,
2831 /* Data[4].ctlEdges[5].bChannel */ 0xFF,
2832 /* Data[4].ctlEdges[6].bChannel */ 0xFF,
2833 /* Data[4].ctlEdges[7].bChannel */ 0xFF,
2834 },
2835
2836 {
2837 /* Data[5].ctlEdges[0].bChannel */ FREQ2FBIN(5190, 0),
2838 /* Data[5].ctlEdges[1].bChannel */ FREQ2FBIN(5270, 0),
2839 /* Data[5].ctlEdges[2].bChannel */ FREQ2FBIN(5310, 0),
2840 /* Data[5].ctlEdges[3].bChannel */ FREQ2FBIN(5510, 0),
2841 /* Data[5].ctlEdges[4].bChannel */ FREQ2FBIN(5590, 0),
2842 /* Data[5].ctlEdges[5].bChannel */ FREQ2FBIN(5670, 0),
2843 /* Data[5].ctlEdges[6].bChannel */ 0xFF,
2844 /* Data[5].ctlEdges[7].bChannel */ 0xFF
2845 },
2846
2847 {
2848 /* Data[6].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
2849 /* Data[6].ctlEdges[1].bChannel */ FREQ2FBIN(5200, 0),
2850 /* Data[6].ctlEdges[2].bChannel */ FREQ2FBIN(5220, 0),
2851 /* Data[6].ctlEdges[3].bChannel */ FREQ2FBIN(5260, 0),
2852 /* Data[6].ctlEdges[4].bChannel */ FREQ2FBIN(5500, 0),
2853 /* Data[6].ctlEdges[5].bChannel */ FREQ2FBIN(5600, 0),
2854 /* Data[6].ctlEdges[6].bChannel */ FREQ2FBIN(5700, 0),
2855 /* Data[6].ctlEdges[7].bChannel */ FREQ2FBIN(5745, 0)
2856 },
2857
2858 {
2859 /* Data[7].ctlEdges[0].bChannel */ FREQ2FBIN(5180, 0),
2860 /* Data[7].ctlEdges[1].bChannel */ FREQ2FBIN(5260, 0),
2861 /* Data[7].ctlEdges[2].bChannel */ FREQ2FBIN(5320, 0),
2862 /* Data[7].ctlEdges[3].bChannel */ FREQ2FBIN(5500, 0),
2863 /* Data[7].ctlEdges[4].bChannel */ FREQ2FBIN(5560, 0),
2864 /* Data[7].ctlEdges[5].bChannel */ FREQ2FBIN(5700, 0),
2865 /* Data[7].ctlEdges[6].bChannel */ FREQ2FBIN(5745, 0),
2866 /* Data[7].ctlEdges[7].bChannel */ FREQ2FBIN(5825, 0)
2867 },
2868
2869 {
2870 /* Data[8].ctlEdges[0].bChannel */ FREQ2FBIN(5190, 0),
2871 /* Data[8].ctlEdges[1].bChannel */ FREQ2FBIN(5230, 0),
2872 /* Data[8].ctlEdges[2].bChannel */ FREQ2FBIN(5270, 0),
2873 /* Data[8].ctlEdges[3].bChannel */ FREQ2FBIN(5510, 0),
2874 /* Data[8].ctlEdges[4].bChannel */ FREQ2FBIN(5550, 0),
2875 /* Data[8].ctlEdges[5].bChannel */ FREQ2FBIN(5670, 0),
2876 /* Data[8].ctlEdges[6].bChannel */ FREQ2FBIN(5755, 0),
2877 /* Data[8].ctlEdges[7].bChannel */ FREQ2FBIN(5795, 0)
2878 }
2879 },
2880 .ctlPowerData_5G = {
2881 {
2882 {
e702ba18
FF
2883 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
2884 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
30923549
SB
2885 }
2886 },
2887 {
2888 {
e702ba18
FF
2889 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
2890 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
30923549
SB
2891 }
2892 },
2893 {
2894 {
e702ba18
FF
2895 CTL(60, 0), CTL(60, 1), CTL(60, 0), CTL(60, 1),
2896 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
30923549
SB
2897 }
2898 },
2899 {
2900 {
e702ba18
FF
2901 CTL(60, 0), CTL(60, 1), CTL(60, 1), CTL(60, 0),
2902 CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 0),
30923549
SB
2903 }
2904 },
2905 {
2906 {
e702ba18
FF
2907 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
2908 CTL(60, 0), CTL(60, 0), CTL(60, 0), CTL(60, 0),
30923549
SB
2909 }
2910 },
2911 {
2912 {
e702ba18
FF
2913 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
2914 CTL(60, 1), CTL(60, 0), CTL(60, 0), CTL(60, 0),
30923549
SB
2915 }
2916 },
2917 {
2918 {
e702ba18
FF
2919 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
2920 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 1),
30923549
SB
2921 }
2922 },
2923 {
2924 {
e702ba18
FF
2925 CTL(60, 1), CTL(60, 1), CTL(60, 0), CTL(60, 1),
2926 CTL(60, 1), CTL(60, 1), CTL(60, 1), CTL(60, 0),
30923549
SB
2927 }
2928 },
2929 {
2930 {
e702ba18
FF
2931 CTL(60, 1), CTL(60, 0), CTL(60, 1), CTL(60, 1),
2932 CTL(60, 1), CTL(60, 1), CTL(60, 0), CTL(60, 1),
30923549
SB
2933 }
2934 },
2935 }
2936};
2937
2938
2939static const struct ar9300_eeprom *ar9300_eep_templates[] = {
2940 &ar9300_default,
2941 &ar9300_x112,
2942 &ar9300_h116,
2943 &ar9300_h112,
2944 &ar9300_x113,
2945};
2946
2947static const struct ar9300_eeprom *ar9003_eeprom_struct_find_by_id(int id)
2948{
2949#define N_LOOP (sizeof(ar9300_eep_templates) / sizeof(ar9300_eep_templates[0]))
2950 int it;
2951
2952 for (it = 0; it < N_LOOP; it++)
2953 if (ar9300_eep_templates[it]->templateVersion == id)
2954 return ar9300_eep_templates[it];
2955 return NULL;
2956#undef N_LOOP
2957}
2958
15c9ee7a
SB
2959static int ath9k_hw_ar9300_check_eeprom(struct ath_hw *ah)
2960{
2961 return 0;
2962}
2963
bc206802
VT
2964static int interpolate(int x, int xa, int xb, int ya, int yb)
2965{
2966 int bf, factor, plus;
2967
2968 bf = 2 * (yb - ya) * (x - xa) / (xb - xa);
2969 factor = bf / 2;
2970 plus = bf % 2;
2971 return ya + factor + plus;
2972}
2973
15c9ee7a
SB
2974static u32 ath9k_hw_ar9300_get_eeprom(struct ath_hw *ah,
2975 enum eeprom_param param)
2976{
2977 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
2978 struct ar9300_base_eep_hdr *pBase = &eep->baseEepHeader;
2979
2980 switch (param) {
2981 case EEP_MAC_LSW:
78fa99ab 2982 return get_unaligned_be16(eep->macAddr);
15c9ee7a 2983 case EEP_MAC_MID:
78fa99ab 2984 return get_unaligned_be16(eep->macAddr + 2);
15c9ee7a 2985 case EEP_MAC_MSW:
78fa99ab 2986 return get_unaligned_be16(eep->macAddr + 4);
15c9ee7a 2987 case EEP_REG_0:
ffdc4cbe 2988 return le16_to_cpu(pBase->regDmn[0]);
15c9ee7a
SB
2989 case EEP_OP_CAP:
2990 return pBase->deviceCap;
2991 case EEP_OP_MODE:
2992 return pBase->opCapFlags.opFlags;
2993 case EEP_RF_SILENT:
2994 return pBase->rfSilent;
2995 case EEP_TX_MASK:
2996 return (pBase->txrxMask >> 4) & 0xf;
2997 case EEP_RX_MASK:
2998 return pBase->txrxMask & 0xf;
4935250a
FF
2999 case EEP_PAPRD:
3000 return !!(pBase->featureEnable & BIT(5));
ea066d5a
MSS
3001 case EEP_CHAIN_MASK_REDUCE:
3002 return (pBase->miscConfiguration >> 0x3) & 0x1;
47e84dfb 3003 case EEP_ANT_DIV_CTL1:
7e12d6a4
SM
3004 if (AR_SREV_9565(ah))
3005 return AR9300_EEP_ANTDIV_CONTROL_DEFAULT_VALUE;
3006 else
3007 return eep->base_ext1.ant_div_control;
ca2c68cc
FF
3008 case EEP_ANTENNA_GAIN_5G:
3009 return eep->modalHeader5G.antennaGain;
3010 case EEP_ANTENNA_GAIN_2G:
3011 return eep->modalHeader2G.antennaGain;
15c9ee7a
SB
3012 default:
3013 return 0;
3014 }
3015}
3016
0e4b9f2f 3017static bool ar9300_eeprom_read_byte(struct ath_hw *ah, int address,
ffdc4cbe 3018 u8 *buffer)
15c9ee7a 3019{
ffdc4cbe 3020 u16 val;
0cf31079 3021
0e4b9f2f 3022 if (unlikely(!ath9k_hw_nvram_read(ah, address / 2, &val)))
ffdc4cbe 3023 return false;
15c9ee7a 3024
ffdc4cbe
FF
3025 *buffer = (val >> (8 * (address % 2))) & 0xff;
3026 return true;
3027}
15c9ee7a 3028
0e4b9f2f 3029static bool ar9300_eeprom_read_word(struct ath_hw *ah, int address,
ffdc4cbe
FF
3030 u8 *buffer)
3031{
3032 u16 val;
15c9ee7a 3033
0e4b9f2f 3034 if (unlikely(!ath9k_hw_nvram_read(ah, address / 2, &val)))
ffdc4cbe 3035 return false;
15c9ee7a 3036
ffdc4cbe
FF
3037 buffer[0] = val >> 8;
3038 buffer[1] = val & 0xff;
15c9ee7a 3039
ffdc4cbe 3040 return true;
15c9ee7a 3041}
15c9ee7a 3042
ffdc4cbe
FF
3043static bool ar9300_read_eeprom(struct ath_hw *ah, int address, u8 *buffer,
3044 int count)
15c9ee7a 3045{
15c9ee7a 3046 struct ath_common *common = ath9k_hw_common(ah);
ffdc4cbe 3047 int i;
15c9ee7a 3048
ffdc4cbe 3049 if ((address < 0) || ((address + count) / 2 > AR9300_EEPROM_SIZE - 1)) {
d2182b69 3050 ath_dbg(common, EEPROM, "eeprom address not in range\n");
15c9ee7a
SB
3051 return false;
3052 }
3053
ffdc4cbe
FF
3054 /*
3055 * Since we're reading the bytes in reverse order from a little-endian
3056 * word stream, an even address means we only use the lower half of
3057 * the 16-bit word at that address
3058 */
3059 if (address % 2 == 0) {
0e4b9f2f 3060 if (!ar9300_eeprom_read_byte(ah, address--, buffer++))
ffdc4cbe
FF
3061 goto error;
3062
3063 count--;
15c9ee7a
SB
3064 }
3065
ffdc4cbe 3066 for (i = 0; i < count / 2; i++) {
0e4b9f2f 3067 if (!ar9300_eeprom_read_word(ah, address, buffer))
ffdc4cbe 3068 goto error;
15c9ee7a 3069
ffdc4cbe
FF
3070 address -= 2;
3071 buffer += 2;
3072 }
3073
3074 if (count % 2)
0e4b9f2f 3075 if (!ar9300_eeprom_read_byte(ah, address, buffer))
ffdc4cbe 3076 goto error;
15c9ee7a 3077
15c9ee7a 3078 return true;
ffdc4cbe
FF
3079
3080error:
d2182b69
JP
3081 ath_dbg(common, EEPROM, "unable to read eeprom region at offset %d\n",
3082 address);
ffdc4cbe 3083 return false;
15c9ee7a
SB
3084}
3085
488f6ba7
FF
3086static bool ar9300_otp_read_word(struct ath_hw *ah, int addr, u32 *data)
3087{
3088 REG_READ(ah, AR9300_OTP_BASE + (4 * addr));
3089
3090 if (!ath9k_hw_wait(ah, AR9300_OTP_STATUS, AR9300_OTP_STATUS_TYPE,
3091 AR9300_OTP_STATUS_VALID, 1000))
3092 return false;
3093
3094 *data = REG_READ(ah, AR9300_OTP_READ_DATA);
3095 return true;
3096}
3097
3098static bool ar9300_read_otp(struct ath_hw *ah, int address, u8 *buffer,
3099 int count)
3100{
3101 u32 data;
3102 int i;
3103
3104 for (i = 0; i < count; i++) {
3105 int offset = 8 * ((address - i) % 4);
3106 if (!ar9300_otp_read_word(ah, (address - i) / 4, &data))
3107 return false;
3108
3109 buffer[i] = (data >> offset) & 0xff;
3110 }
3111
3112 return true;
3113}
3114
3115
15c9ee7a
SB
3116static void ar9300_comp_hdr_unpack(u8 *best, int *code, int *reference,
3117 int *length, int *major, int *minor)
3118{
3119 unsigned long value[4];
3120
3121 value[0] = best[0];
3122 value[1] = best[1];
3123 value[2] = best[2];
3124 value[3] = best[3];
3125 *code = ((value[0] >> 5) & 0x0007);
3126 *reference = (value[0] & 0x001f) | ((value[1] >> 2) & 0x0020);
3127 *length = ((value[1] << 4) & 0x07f0) | ((value[2] >> 4) & 0x000f);
3128 *major = (value[2] & 0x000f);
3129 *minor = (value[3] & 0x00ff);
3130}
3131
3132static u16 ar9300_comp_cksum(u8 *data, int dsize)
3133{
3134 int it, checksum = 0;
3135
3136 for (it = 0; it < dsize; it++) {
3137 checksum += data[it];
3138 checksum &= 0xffff;
3139 }
3140
3141 return checksum;
3142}
3143
3144static bool ar9300_uncompress_block(struct ath_hw *ah,
3145 u8 *mptr,
3146 int mdataSize,
3147 u8 *block,
3148 int size)
3149{
3150 int it;
3151 int spot;
3152 int offset;
3153 int length;
3154 struct ath_common *common = ath9k_hw_common(ah);
3155
3156 spot = 0;
3157
3158 for (it = 0; it < size; it += (length+2)) {
3159 offset = block[it];
3160 offset &= 0xff;
3161 spot += offset;
3162 length = block[it+1];
3163 length &= 0xff;
3164
803288e6 3165 if (length > 0 && spot >= 0 && spot+length <= mdataSize) {
d2182b69 3166 ath_dbg(common, EEPROM,
226afe68
JP
3167 "Restore at %d: spot=%d offset=%d length=%d\n",
3168 it, spot, offset, length);
15c9ee7a
SB
3169 memcpy(&mptr[spot], &block[it+2], length);
3170 spot += length;
3171 } else if (length > 0) {
d2182b69 3172 ath_dbg(common, EEPROM,
226afe68
JP
3173 "Bad restore at %d: spot=%d offset=%d length=%d\n",
3174 it, spot, offset, length);
15c9ee7a
SB
3175 return false;
3176 }
3177 }
3178 return true;
3179}
3180
3181static int ar9300_compress_decision(struct ath_hw *ah,
3182 int it,
3183 int code,
3184 int reference,
3185 u8 *mptr,
3186 u8 *word, int length, int mdata_size)
3187{
3188 struct ath_common *common = ath9k_hw_common(ah);
30923549 3189 const struct ar9300_eeprom *eep = NULL;
15c9ee7a
SB
3190
3191 switch (code) {
3192 case _CompressNone:
3193 if (length != mdata_size) {
d2182b69 3194 ath_dbg(common, EEPROM,
226afe68
JP
3195 "EEPROM structure size mismatch memory=%d eeprom=%d\n",
3196 mdata_size, length);
15c9ee7a
SB
3197 return -1;
3198 }
2c208890 3199 memcpy(mptr, word + COMP_HDR_LEN, length);
d2182b69 3200 ath_dbg(common, EEPROM,
226afe68
JP
3201 "restored eeprom %d: uncompressed, length %d\n",
3202 it, length);
15c9ee7a
SB
3203 break;
3204 case _CompressBlock:
3205 if (reference == 0) {
15c9ee7a 3206 } else {
30923549
SB
3207 eep = ar9003_eeprom_struct_find_by_id(reference);
3208 if (eep == NULL) {
d2182b69 3209 ath_dbg(common, EEPROM,
25985edc 3210 "can't find reference eeprom struct %d\n",
226afe68 3211 reference);
15c9ee7a
SB
3212 return -1;
3213 }
30923549 3214 memcpy(mptr, eep, mdata_size);
15c9ee7a 3215 }
d2182b69 3216 ath_dbg(common, EEPROM,
226afe68
JP
3217 "restore eeprom %d: block, reference %d, length %d\n",
3218 it, reference, length);
15c9ee7a 3219 ar9300_uncompress_block(ah, mptr, mdata_size,
2c208890 3220 (word + COMP_HDR_LEN), length);
15c9ee7a
SB
3221 break;
3222 default:
d2182b69 3223 ath_dbg(common, EEPROM, "unknown compression code %d\n", code);
15c9ee7a
SB
3224 return -1;
3225 }
3226 return 0;
3227}
3228
488f6ba7
FF
3229typedef bool (*eeprom_read_op)(struct ath_hw *ah, int address, u8 *buffer,
3230 int count);
3231
3232static bool ar9300_check_header(void *data)
3233{
3234 u32 *word = data;
3235 return !(*word == 0 || *word == ~0);
3236}
3237
3238static bool ar9300_check_eeprom_header(struct ath_hw *ah, eeprom_read_op read,
3239 int base_addr)
3240{
3241 u8 header[4];
3242
3243 if (!read(ah, base_addr, header, 4))
3244 return false;
3245
3246 return ar9300_check_header(header);
3247}
3248
aaa13ca2
FF
3249static int ar9300_eeprom_restore_flash(struct ath_hw *ah, u8 *mptr,
3250 int mdata_size)
3251{
aaa13ca2
FF
3252 u16 *data = (u16 *) mptr;
3253 int i;
3254
3255 for (i = 0; i < mdata_size / 2; i++, data++)
0e4b9f2f 3256 ath9k_hw_nvram_read(ah, i, data);
aaa13ca2
FF
3257
3258 return 0;
3259}
15c9ee7a
SB
3260/*
3261 * Read the configuration data from the eeprom.
3262 * The data can be put in any specified memory buffer.
3263 *
3264 * Returns -1 on error.
3265 * Returns address of next memory location on success.
3266 */
3267static int ar9300_eeprom_restore_internal(struct ath_hw *ah,
3268 u8 *mptr, int mdata_size)
3269{
3270#define MDEFAULT 15
3271#define MSTATE 100
3272 int cptr;
3273 u8 *word;
3274 int code;
3275 int reference, length, major, minor;
3276 int osize;
3277 int it;
3278 u16 checksum, mchecksum;
3279 struct ath_common *common = ath9k_hw_common(ah);
01967360 3280 struct ar9300_eeprom *eep;
488f6ba7 3281 eeprom_read_op read;
15c9ee7a 3282
01967360
FF
3283 if (ath9k_hw_use_flash(ah)) {
3284 u8 txrx;
3285
3286 ar9300_eeprom_restore_flash(ah, mptr, mdata_size);
3287
3288 /* check if eeprom contains valid data */
3289 eep = (struct ar9300_eeprom *) mptr;
3290 txrx = eep->baseEepHeader.txrxMask;
3291 if (txrx != 0 && txrx != 0xff)
3292 return 0;
3293 }
aaa13ca2 3294
15c9ee7a
SB
3295 word = kzalloc(2048, GFP_KERNEL);
3296 if (!word)
1ba45b9e 3297 return -ENOMEM;
15c9ee7a
SB
3298
3299 memcpy(mptr, &ar9300_default, mdata_size);
3300
488f6ba7 3301 read = ar9300_read_eeprom;
60e0c3a7
VT
3302 if (AR_SREV_9485(ah))
3303 cptr = AR9300_BASE_ADDR_4K;
5b5c033b
GJ
3304 else if (AR_SREV_9330(ah))
3305 cptr = AR9300_BASE_ADDR_512;
60e0c3a7
VT
3306 else
3307 cptr = AR9300_BASE_ADDR;
d2182b69
JP
3308 ath_dbg(common, EEPROM, "Trying EEPROM access at Address 0x%04x\n",
3309 cptr);
488f6ba7
FF
3310 if (ar9300_check_eeprom_header(ah, read, cptr))
3311 goto found;
3312
3313 cptr = AR9300_BASE_ADDR_512;
d2182b69
JP
3314 ath_dbg(common, EEPROM, "Trying EEPROM access at Address 0x%04x\n",
3315 cptr);
488f6ba7
FF
3316 if (ar9300_check_eeprom_header(ah, read, cptr))
3317 goto found;
3318
3319 read = ar9300_read_otp;
3320 cptr = AR9300_BASE_ADDR;
d2182b69 3321 ath_dbg(common, EEPROM, "Trying OTP access at Address 0x%04x\n", cptr);
488f6ba7
FF
3322 if (ar9300_check_eeprom_header(ah, read, cptr))
3323 goto found;
3324
3325 cptr = AR9300_BASE_ADDR_512;
d2182b69 3326 ath_dbg(common, EEPROM, "Trying OTP access at Address 0x%04x\n", cptr);
488f6ba7
FF
3327 if (ar9300_check_eeprom_header(ah, read, cptr))
3328 goto found;
3329
3330 goto fail;
3331
3332found:
d2182b69 3333 ath_dbg(common, EEPROM, "Found valid EEPROM data\n");
488f6ba7 3334
15c9ee7a 3335 for (it = 0; it < MSTATE; it++) {
488f6ba7 3336 if (!read(ah, cptr, word, COMP_HDR_LEN))
15c9ee7a
SB
3337 goto fail;
3338
488f6ba7 3339 if (!ar9300_check_header(word))
15c9ee7a
SB
3340 break;
3341
3342 ar9300_comp_hdr_unpack(word, &code, &reference,
3343 &length, &major, &minor);
d2182b69 3344 ath_dbg(common, EEPROM,
226afe68
JP
3345 "Found block at %x: code=%d ref=%d length=%d major=%d minor=%d\n",
3346 cptr, code, reference, length, major, minor);
60e0c3a7 3347 if ((!AR_SREV_9485(ah) && length >= 1024) ||
d0ce2d17 3348 (AR_SREV_9485(ah) && length > EEPROM_DATA_LEN_9485)) {
d2182b69 3349 ath_dbg(common, EEPROM, "Skipping bad header\n");
15c9ee7a
SB
3350 cptr -= COMP_HDR_LEN;
3351 continue;
3352 }
3353
3354 osize = length;
488f6ba7 3355 read(ah, cptr, word, COMP_HDR_LEN + osize + COMP_CKSUM_LEN);
15c9ee7a 3356 checksum = ar9300_comp_cksum(&word[COMP_HDR_LEN], length);
78fa99ab 3357 mchecksum = get_unaligned_le16(&word[COMP_HDR_LEN + osize]);
d2182b69
JP
3358 ath_dbg(common, EEPROM, "checksum %x %x\n",
3359 checksum, mchecksum);
15c9ee7a
SB
3360 if (checksum == mchecksum) {
3361 ar9300_compress_decision(ah, it, code, reference, mptr,
3362 word, length, mdata_size);
3363 } else {
d2182b69 3364 ath_dbg(common, EEPROM,
226afe68 3365 "skipping block with bad checksum\n");
15c9ee7a
SB
3366 }
3367 cptr -= (COMP_HDR_LEN + osize + COMP_CKSUM_LEN);
3368 }
3369
3370 kfree(word);
3371 return cptr;
3372
3373fail:
3374 kfree(word);
3375 return -1;
3376}
3377
3378/*
3379 * Restore the configuration structure by reading the eeprom.
3380 * This function destroys any existing in-memory structure
3381 * content.
3382 */
3383static bool ath9k_hw_ar9300_fill_eeprom(struct ath_hw *ah)
3384{
ffdc4cbe 3385 u8 *mptr = (u8 *) &ah->eeprom.ar9300_eep;
15c9ee7a 3386
ffdc4cbe
FF
3387 if (ar9300_eeprom_restore_internal(ah, mptr,
3388 sizeof(struct ar9300_eeprom)) < 0)
3389 return false;
15c9ee7a 3390
ffdc4cbe 3391 return true;
15c9ee7a
SB
3392}
3393
26526202
RM
3394#if defined(CONFIG_ATH9K_DEBUGFS) || defined(CONFIG_ATH9K_HTC_DEBUGFS)
3395static u32 ar9003_dump_modal_eeprom(char *buf, u32 len, u32 size,
3396 struct ar9300_modal_eep_header *modal_hdr)
3397{
3398 PR_EEP("Chain0 Ant. Control", le16_to_cpu(modal_hdr->antCtrlChain[0]));
3399 PR_EEP("Chain1 Ant. Control", le16_to_cpu(modal_hdr->antCtrlChain[1]));
3400 PR_EEP("Chain2 Ant. Control", le16_to_cpu(modal_hdr->antCtrlChain[2]));
3401 PR_EEP("Ant. Common Control", le32_to_cpu(modal_hdr->antCtrlCommon));
3402 PR_EEP("Ant. Common Control2", le32_to_cpu(modal_hdr->antCtrlCommon2));
3403 PR_EEP("Ant. Gain", modal_hdr->antennaGain);
3404 PR_EEP("Switch Settle", modal_hdr->switchSettling);
3405 PR_EEP("Chain0 xatten1DB", modal_hdr->xatten1DB[0]);
3406 PR_EEP("Chain1 xatten1DB", modal_hdr->xatten1DB[1]);
3407 PR_EEP("Chain2 xatten1DB", modal_hdr->xatten1DB[2]);
3408 PR_EEP("Chain0 xatten1Margin", modal_hdr->xatten1Margin[0]);
3409 PR_EEP("Chain1 xatten1Margin", modal_hdr->xatten1Margin[1]);
3410 PR_EEP("Chain2 xatten1Margin", modal_hdr->xatten1Margin[2]);
3411 PR_EEP("Temp Slope", modal_hdr->tempSlope);
3412 PR_EEP("Volt Slope", modal_hdr->voltSlope);
3413 PR_EEP("spur Channels0", modal_hdr->spurChans[0]);
3414 PR_EEP("spur Channels1", modal_hdr->spurChans[1]);
3415 PR_EEP("spur Channels2", modal_hdr->spurChans[2]);
3416 PR_EEP("spur Channels3", modal_hdr->spurChans[3]);
3417 PR_EEP("spur Channels4", modal_hdr->spurChans[4]);
3418 PR_EEP("Chain0 NF Threshold", modal_hdr->noiseFloorThreshCh[0]);
3419 PR_EEP("Chain1 NF Threshold", modal_hdr->noiseFloorThreshCh[1]);
3420 PR_EEP("Chain2 NF Threshold", modal_hdr->noiseFloorThreshCh[2]);
df222edc 3421 PR_EEP("Quick Drop", modal_hdr->quick_drop);
202bff08 3422 PR_EEP("txEndToXpaOff", modal_hdr->txEndToXpaOff);
26526202
RM
3423 PR_EEP("xPA Bias Level", modal_hdr->xpaBiasLvl);
3424 PR_EEP("txFrameToDataStart", modal_hdr->txFrameToDataStart);
3425 PR_EEP("txFrameToPaOn", modal_hdr->txFrameToPaOn);
3426 PR_EEP("txFrameToXpaOn", modal_hdr->txFrameToXpaOn);
3427 PR_EEP("txClip", modal_hdr->txClip);
3428 PR_EEP("ADC Desired size", modal_hdr->adcDesiredSize);
26526202
RM
3429
3430 return len;
3431}
3432
3433static u32 ath9k_hw_ar9003_dump_eeprom(struct ath_hw *ah, bool dump_base_hdr,
3434 u8 *buf, u32 len, u32 size)
3435{
3436 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
3437 struct ar9300_base_eep_hdr *pBase;
3438
3439 if (!dump_base_hdr) {
5e88ba62
ZK
3440 len += scnprintf(buf + len, size - len,
3441 "%20s :\n", "2GHz modal Header");
d25360b1 3442 len = ar9003_dump_modal_eeprom(buf, len, size,
26526202 3443 &eep->modalHeader2G);
5e88ba62
ZK
3444 len += scnprintf(buf + len, size - len,
3445 "%20s :\n", "5GHz modal Header");
d25360b1 3446 len = ar9003_dump_modal_eeprom(buf, len, size,
26526202
RM
3447 &eep->modalHeader5G);
3448 goto out;
3449 }
3450
3451 pBase = &eep->baseEepHeader;
3452
3453 PR_EEP("EEPROM Version", ah->eeprom.ar9300_eep.eepromVersion);
3454 PR_EEP("RegDomain1", le16_to_cpu(pBase->regDmn[0]));
3455 PR_EEP("RegDomain2", le16_to_cpu(pBase->regDmn[1]));
3456 PR_EEP("TX Mask", (pBase->txrxMask >> 4));
3457 PR_EEP("RX Mask", (pBase->txrxMask & 0x0f));
3458 PR_EEP("Allow 5GHz", !!(pBase->opCapFlags.opFlags &
3459 AR5416_OPFLAGS_11A));
3460 PR_EEP("Allow 2GHz", !!(pBase->opCapFlags.opFlags &
3461 AR5416_OPFLAGS_11G));
3462 PR_EEP("Disable 2GHz HT20", !!(pBase->opCapFlags.opFlags &
3463 AR5416_OPFLAGS_N_2G_HT20));
3464 PR_EEP("Disable 2GHz HT40", !!(pBase->opCapFlags.opFlags &
3465 AR5416_OPFLAGS_N_2G_HT40));
3466 PR_EEP("Disable 5Ghz HT20", !!(pBase->opCapFlags.opFlags &
3467 AR5416_OPFLAGS_N_5G_HT20));
3468 PR_EEP("Disable 5Ghz HT40", !!(pBase->opCapFlags.opFlags &
3469 AR5416_OPFLAGS_N_5G_HT40));
3470 PR_EEP("Big Endian", !!(pBase->opCapFlags.eepMisc & 0x01));
3471 PR_EEP("RF Silent", pBase->rfSilent);
3472 PR_EEP("BT option", pBase->blueToothOptions);
3473 PR_EEP("Device Cap", pBase->deviceCap);
3474 PR_EEP("Device Type", pBase->deviceType);
3475 PR_EEP("Power Table Offset", pBase->pwrTableOffset);
3476 PR_EEP("Tuning Caps1", pBase->params_for_tuning_caps[0]);
3477 PR_EEP("Tuning Caps2", pBase->params_for_tuning_caps[1]);
3478 PR_EEP("Enable Tx Temp Comp", !!(pBase->featureEnable & BIT(0)));
3479 PR_EEP("Enable Tx Volt Comp", !!(pBase->featureEnable & BIT(1)));
3480 PR_EEP("Enable fast clock", !!(pBase->featureEnable & BIT(2)));
3481 PR_EEP("Enable doubling", !!(pBase->featureEnable & BIT(3)));
3482 PR_EEP("Internal regulator", !!(pBase->featureEnable & BIT(4)));
3483 PR_EEP("Enable Paprd", !!(pBase->featureEnable & BIT(5)));
3484 PR_EEP("Driver Strength", !!(pBase->miscConfiguration & BIT(0)));
df222edc 3485 PR_EEP("Quick Drop", !!(pBase->miscConfiguration & BIT(1)));
26526202
RM
3486 PR_EEP("Chain mask Reduce", (pBase->miscConfiguration >> 0x3) & 0x1);
3487 PR_EEP("Write enable Gpio", pBase->eepromWriteEnableGpio);
3488 PR_EEP("WLAN Disable Gpio", pBase->wlanDisableGpio);
3489 PR_EEP("WLAN LED Gpio", pBase->wlanLedGpio);
3490 PR_EEP("Rx Band Select Gpio", pBase->rxBandSelectGpio);
3491 PR_EEP("Tx Gain", pBase->txrxgain >> 4);
3492 PR_EEP("Rx Gain", pBase->txrxgain & 0xf);
3493 PR_EEP("SW Reg", le32_to_cpu(pBase->swreg));
3494
5e88ba62
ZK
3495 len += scnprintf(buf + len, size - len, "%20s : %pM\n", "MacAddress",
3496 ah->eeprom.ar9300_eep.macAddr);
26526202
RM
3497out:
3498 if (len > size)
3499 len = size;
3500
3501 return len;
3502}
3503#else
3504static u32 ath9k_hw_ar9003_dump_eeprom(struct ath_hw *ah, bool dump_base_hdr,
3505 u8 *buf, u32 len, u32 size)
3506{
3507 return 0;
3508}
3509#endif
3510
15c9ee7a
SB
3511/* XXX: review hardware docs */
3512static int ath9k_hw_ar9300_get_eeprom_ver(struct ath_hw *ah)
3513{
3514 return ah->eeprom.ar9300_eep.eepromVersion;
3515}
3516
3517/* XXX: could be read from the eepromVersion, not sure yet */
3518static int ath9k_hw_ar9300_get_eeprom_rev(struct ath_hw *ah)
3519{
3520 return 0;
3521}
3522
0aefc591
FF
3523static struct ar9300_modal_eep_header *ar9003_modal_header(struct ath_hw *ah,
3524 bool is2ghz)
15c9ee7a
SB
3525{
3526 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
3527
3528 if (is2ghz)
0aefc591 3529 return &eep->modalHeader2G;
15c9ee7a 3530 else
0aefc591 3531 return &eep->modalHeader5G;
15c9ee7a
SB
3532}
3533
3534static void ar9003_hw_xpa_bias_level_apply(struct ath_hw *ah, bool is2ghz)
3535{
0aefc591 3536 int bias = ar9003_modal_header(ah, is2ghz)->xpaBiasLvl;
9936e65f 3537
dc9aa5fc 3538 if (AR_SREV_9485(ah) || AR_SREV_9330(ah) || AR_SREV_9340(ah))
9936e65f 3539 REG_RMW_FIELD(ah, AR_CH0_TOP2, AR_CH0_TOP2_XPABIASLVL, bias);
a4a2954f 3540 else if (AR_SREV_9462(ah) || AR_SREV_9550(ah) || AR_SREV_9565(ah))
2577c6e8 3541 REG_RMW_FIELD(ah, AR_CH0_TOP, AR_CH0_TOP_XPABIASLVL, bias);
9936e65f
VT
3542 else {
3543 REG_RMW_FIELD(ah, AR_CH0_TOP, AR_CH0_TOP_XPABIASLVL, bias);
165af96d
RM
3544 REG_RMW_FIELD(ah, AR_CH0_THERM,
3545 AR_CH0_THERM_XPABIASLVL_MSB,
3546 bias >> 2);
3547 REG_RMW_FIELD(ah, AR_CH0_THERM,
3548 AR_CH0_THERM_XPASHORT2GND, 1);
9936e65f 3549 }
15c9ee7a
SB
3550}
3551
0aefc591 3552static u16 ar9003_switch_com_spdt_get(struct ath_hw *ah, bool is2ghz)
2577c6e8 3553{
0aefc591 3554 return le16_to_cpu(ar9003_modal_header(ah, is2ghz)->switchcomspdt);
2577c6e8
SB
3555}
3556
84893817 3557u32 ar9003_hw_ant_ctrl_common_get(struct ath_hw *ah, bool is2ghz)
15c9ee7a 3558{
0aefc591 3559 return le32_to_cpu(ar9003_modal_header(ah, is2ghz)->antCtrlCommon);
15c9ee7a
SB
3560}
3561
84893817 3562u32 ar9003_hw_ant_ctrl_common_2_get(struct ath_hw *ah, bool is2ghz)
15c9ee7a 3563{
0aefc591 3564 return le32_to_cpu(ar9003_modal_header(ah, is2ghz)->antCtrlCommon2);
15c9ee7a
SB
3565}
3566
0aefc591 3567static u16 ar9003_hw_ant_ctrl_chain_get(struct ath_hw *ah, int chain,
15c9ee7a
SB
3568 bool is2ghz)
3569{
0aefc591 3570 __le16 val = ar9003_modal_header(ah, is2ghz)->antCtrlChain[chain];
ffdc4cbe 3571 return le16_to_cpu(val);
15c9ee7a
SB
3572}
3573
3574static void ar9003_hw_ant_ctrl_apply(struct ath_hw *ah, bool is2ghz)
3575{
7bdea96a 3576 struct ath_common *common = ath9k_hw_common(ah);
915154b6 3577 struct ath9k_hw_capabilities *pCap = &ah->caps;
2976bc5e 3578 int chain;
9b60b64b 3579 u32 regval, value, gpio;
2976bc5e
VT
3580 static const u32 switch_chain_reg[AR9300_MAX_CHAINS] = {
3581 AR_PHY_SWITCH_CHAIN_0,
3582 AR_PHY_SWITCH_CHAIN_1,
3583 AR_PHY_SWITCH_CHAIN_2,
3584 };
3585
9b60b64b
SM
3586 if (AR_SREV_9485(ah) && (ar9003_hw_get_rx_gain_idx(ah) == 0)) {
3587 if (ah->config.xlna_gpio)
3588 gpio = ah->config.xlna_gpio;
3589 else
3590 gpio = AR9300_EXT_LNA_CTL_GPIO_AR9485;
3591
bac76a3d 3592 ath9k_hw_cfg_output(ah, gpio,
30d5b709 3593 AR_GPIO_OUTPUT_MUX_AS_PCIE_ATTENTION_LED);
9b60b64b 3594 }
30d5b709
SM
3595
3596 value = ar9003_hw_ant_ctrl_common_get(ah, is2ghz);
2976bc5e 3597
a4a2954f 3598 if (AR_SREV_9462(ah) || AR_SREV_9565(ah)) {
2577c6e8 3599 REG_RMW_FIELD(ah, AR_PHY_SWITCH_COM,
423e38e8 3600 AR_SWITCH_TABLE_COM_AR9462_ALL, value);
2c323058 3601 } else if (AR_SREV_9550(ah) || AR_SREV_9531(ah)) {
2d00de48
GJ
3602 REG_RMW_FIELD(ah, AR_PHY_SWITCH_COM,
3603 AR_SWITCH_TABLE_COM_AR9550_ALL, value);
2577c6e8
SB
3604 } else
3605 REG_RMW_FIELD(ah, AR_PHY_SWITCH_COM,
3606 AR_SWITCH_TABLE_COM_ALL, value);
3607
3608
3609 /*
423e38e8 3610 * AR9462 defines new switch table for BT/WLAN,
2577c6e8
SB
3611 * here's new field name in XXX.ref for both 2G and 5G.
3612 * Register: [GLB_CONTROL] GLB_CONTROL (@0x20044)
3613 * 15:12 R/W SWITCH_TABLE_COM_SPDT_WLAN_RX
3614 * SWITCH_TABLE_COM_SPDT_WLAN_RX
3615 *
3616 * 11:8 R/W SWITCH_TABLE_COM_SPDT_WLAN_TX
3617 * SWITCH_TABLE_COM_SPDT_WLAN_TX
3618 *
3619 * 7:4 R/W SWITCH_TABLE_COM_SPDT_WLAN_IDLE
3620 * SWITCH_TABLE_COM_SPDT_WLAN_IDLE
3621 */
2b5e54e2 3622 if (AR_SREV_9462_20_OR_LATER(ah) || AR_SREV_9565(ah)) {
2577c6e8
SB
3623 value = ar9003_switch_com_spdt_get(ah, is2ghz);
3624 REG_RMW_FIELD(ah, AR_PHY_GLB_CONTROL,
3625 AR_SWITCH_TABLE_COM_SPDT_ALL, value);
9dc08ece 3626 REG_SET_BIT(ah, AR_PHY_GLB_CONTROL, AR_BTCOEX_CTRL_SPDT_ENABLE);
2577c6e8 3627 }
15c9ee7a
SB
3628
3629 value = ar9003_hw_ant_ctrl_common_2_get(ah, is2ghz);
b21e3e14 3630 if (AR_SREV_9485(ah) && common->bt_ant_diversity) {
53b2f828
JL
3631 value &= ~AR_SWITCH_TABLE_COM2_ALL;
3632 value |= ah->config.ant_ctrl_comm2g_switch_enable;
b21e3e14
SM
3633
3634 }
15c9ee7a
SB
3635 REG_RMW_FIELD(ah, AR_PHY_SWITCH_COM_2, AR_SWITCH_TABLE_COM2_ALL, value);
3636
ef95e58d
SM
3637 if ((AR_SREV_9462(ah)) && (ah->rxchainmask == 0x2)) {
3638 value = ar9003_hw_ant_ctrl_chain_get(ah, 1, is2ghz);
3639 REG_RMW_FIELD(ah, switch_chain_reg[0],
3640 AR_SWITCH_TABLE_ALL, value);
3641 }
3642
2976bc5e
VT
3643 for (chain = 0; chain < AR9300_MAX_CHAINS; chain++) {
3644 if ((ah->rxchainmask & BIT(chain)) ||
3645 (ah->txchainmask & BIT(chain))) {
3646 value = ar9003_hw_ant_ctrl_chain_get(ah, chain,
3647 is2ghz);
3648 REG_RMW_FIELD(ah, switch_chain_reg[chain],
3649 AR_SWITCH_TABLE_ALL, value);
3650 }
47e84dfb 3651 }
15c9ee7a 3652
a4a2954f 3653 if (AR_SREV_9330(ah) || AR_SREV_9485(ah) || AR_SREV_9565(ah)) {
47e84dfb 3654 value = ath9k_hw_ar9300_get_eeprom(ah, EEP_ANT_DIV_CTL1);
842ca780
MSS
3655 /*
3656 * main_lnaconf, alt_lnaconf, main_tb, alt_tb
3657 * are the fields present
3658 */
3659 regval = REG_READ(ah, AR_PHY_MC_GAIN_CTRL);
3660 regval &= (~AR_ANT_DIV_CTRL_ALL);
3661 regval |= (value & 0x3f) << AR_ANT_DIV_CTRL_ALL_S;
3662 /* enable_lnadiv */
9aa49ea3
SM
3663 regval &= (~AR_PHY_ANT_DIV_LNADIV);
3664 regval |= ((value >> 6) & 0x1) << AR_PHY_ANT_DIV_LNADIV_S;
362cd03f 3665
b21e3e14
SM
3666 if (AR_SREV_9485(ah) && common->bt_ant_diversity)
3667 regval |= AR_ANT_DIV_ENABLE;
3668
362cd03f 3669 if (AR_SREV_9565(ah)) {
7bdea96a 3670 if (common->bt_ant_diversity) {
362cd03f 3671 regval |= (1 << AR_PHY_ANT_SW_RX_PROT_S);
c9468682
SM
3672
3673 REG_SET_BIT(ah, AR_PHY_RESTART,
3674 AR_PHY_RESTART_ENABLE_DIV_M2FLAG);
3675
3676 /* Force WLAN LNA diversity ON */
3677 REG_SET_BIT(ah, AR_BTCOEX_WL_LNADIV,
3678 AR_BTCOEX_WL_LNADIV_FORCE_ON);
362cd03f
SM
3679 } else {
3680 regval &= ~(1 << AR_PHY_ANT_DIV_LNADIV_S);
3681 regval &= ~(1 << AR_PHY_ANT_SW_RX_PROT_S);
c9468682
SM
3682
3683 REG_CLR_BIT(ah, AR_PHY_MC_GAIN_CTRL,
3684 (1 << AR_PHY_ANT_SW_RX_PROT_S));
3685
3686 /* Force WLAN LNA diversity OFF */
3687 REG_CLR_BIT(ah, AR_BTCOEX_WL_LNADIV,
3688 AR_BTCOEX_WL_LNADIV_FORCE_ON);
362cd03f
SM
3689 }
3690 }
3691
842ca780
MSS
3692 REG_WRITE(ah, AR_PHY_MC_GAIN_CTRL, regval);
3693
b21e3e14 3694 /* enable fast_div */
842ca780
MSS
3695 regval = REG_READ(ah, AR_PHY_CCK_DETECT);
3696 regval &= (~AR_FAST_DIV_ENABLE);
9aa49ea3 3697 regval |= ((value >> 7) & 0x1) << AR_FAST_DIV_ENABLE_S;
b21e3e14 3698
c9468682
SM
3699 if ((AR_SREV_9485(ah) || AR_SREV_9565(ah))
3700 && common->bt_ant_diversity)
b21e3e14
SM
3701 regval |= AR_FAST_DIV_ENABLE;
3702
842ca780 3703 REG_WRITE(ah, AR_PHY_CCK_DETECT, regval);
915154b6
SM
3704
3705 if (pCap->hw_caps & ATH9K_HW_CAP_ANT_DIV_COMB) {
842ca780
MSS
3706 regval = REG_READ(ah, AR_PHY_MC_GAIN_CTRL);
3707 /*
3708 * clear bits 25-30 main_lnaconf, alt_lnaconf,
3709 * main_tb, alt_tb
3710 */
9aa49ea3
SM
3711 regval &= (~(AR_PHY_ANT_DIV_MAIN_LNACONF |
3712 AR_PHY_ANT_DIV_ALT_LNACONF |
3713 AR_PHY_ANT_DIV_ALT_GAINTB |
3714 AR_PHY_ANT_DIV_MAIN_GAINTB));
842ca780 3715 /* by default use LNA1 for the main antenna */
c2b8359d 3716 regval |= (ATH_ANT_DIV_COMB_LNA1 <<
9aa49ea3 3717 AR_PHY_ANT_DIV_MAIN_LNACONF_S);
c2b8359d 3718 regval |= (ATH_ANT_DIV_COMB_LNA2 <<
9aa49ea3 3719 AR_PHY_ANT_DIV_ALT_LNACONF_S);
842ca780
MSS
3720 REG_WRITE(ah, AR_PHY_MC_GAIN_CTRL, regval);
3721 }
47e84dfb 3722 }
15c9ee7a
SB
3723}
3724
3725static void ar9003_hw_drive_strength_apply(struct ath_hw *ah)
3726{
0aefc591
FF
3727 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
3728 struct ar9300_base_eep_hdr *pBase = &eep->baseEepHeader;
15c9ee7a
SB
3729 int drive_strength;
3730 unsigned long reg;
3731
0aefc591 3732 drive_strength = pBase->miscConfiguration & BIT(0);
15c9ee7a
SB
3733 if (!drive_strength)
3734 return;
3735
3736 reg = REG_READ(ah, AR_PHY_65NM_CH0_BIAS1);
3737 reg &= ~0x00ffffc0;
3738 reg |= 0x5 << 21;
3739 reg |= 0x5 << 18;
3740 reg |= 0x5 << 15;
3741 reg |= 0x5 << 12;
3742 reg |= 0x5 << 9;
3743 reg |= 0x5 << 6;
3744 REG_WRITE(ah, AR_PHY_65NM_CH0_BIAS1, reg);
3745
3746 reg = REG_READ(ah, AR_PHY_65NM_CH0_BIAS2);
3747 reg &= ~0xffffffe0;
3748 reg |= 0x5 << 29;
3749 reg |= 0x5 << 26;
3750 reg |= 0x5 << 23;
3751 reg |= 0x5 << 20;
3752 reg |= 0x5 << 17;
3753 reg |= 0x5 << 14;
3754 reg |= 0x5 << 11;
3755 reg |= 0x5 << 8;
3756 reg |= 0x5 << 5;
3757 REG_WRITE(ah, AR_PHY_65NM_CH0_BIAS2, reg);
3758
3759 reg = REG_READ(ah, AR_PHY_65NM_CH0_BIAS4);
3760 reg &= ~0xff800000;
3761 reg |= 0x5 << 29;
3762 reg |= 0x5 << 26;
3763 reg |= 0x5 << 23;
3764 REG_WRITE(ah, AR_PHY_65NM_CH0_BIAS4, reg);
3765}
3766
f4475a6e
VT
3767static u16 ar9003_hw_atten_chain_get(struct ath_hw *ah, int chain,
3768 struct ath9k_channel *chan)
3769{
3770 int f[3], t[3];
3771 u16 value;
3772 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
3773
3774 if (chain >= 0 && chain < 3) {
3775 if (IS_CHAN_2GHZ(chan))
3776 return eep->modalHeader2G.xatten1DB[chain];
3777 else if (eep->base_ext2.xatten1DBLow[chain] != 0) {
3778 t[0] = eep->base_ext2.xatten1DBLow[chain];
3779 f[0] = 5180;
3780 t[1] = eep->modalHeader5G.xatten1DB[chain];
3781 f[1] = 5500;
3782 t[2] = eep->base_ext2.xatten1DBHigh[chain];
3783 f[2] = 5785;
3784 value = ar9003_hw_power_interpolate((s32) chan->channel,
3785 f, t, 3);
3786 return value;
3787 } else
3788 return eep->modalHeader5G.xatten1DB[chain];
3789 }
3790
3791 return 0;
3792}
3793
3794
3795static u16 ar9003_hw_atten_chain_get_margin(struct ath_hw *ah, int chain,
3796 struct ath9k_channel *chan)
3797{
3798 int f[3], t[3];
3799 u16 value;
3800 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
3801
3802 if (chain >= 0 && chain < 3) {
3803 if (IS_CHAN_2GHZ(chan))
3804 return eep->modalHeader2G.xatten1Margin[chain];
3805 else if (eep->base_ext2.xatten1MarginLow[chain] != 0) {
3806 t[0] = eep->base_ext2.xatten1MarginLow[chain];
3807 f[0] = 5180;
3808 t[1] = eep->modalHeader5G.xatten1Margin[chain];
3809 f[1] = 5500;
3810 t[2] = eep->base_ext2.xatten1MarginHigh[chain];
3811 f[2] = 5785;
3812 value = ar9003_hw_power_interpolate((s32) chan->channel,
3813 f, t, 3);
3814 return value;
3815 } else
3816 return eep->modalHeader5G.xatten1Margin[chain];
3817 }
3818
3819 return 0;
3820}
3821
3822static void ar9003_hw_atten_apply(struct ath_hw *ah, struct ath9k_channel *chan)
3823{
3824 int i;
3825 u16 value;
3826 unsigned long ext_atten_reg[3] = {AR_PHY_EXT_ATTEN_CTL_0,
3827 AR_PHY_EXT_ATTEN_CTL_1,
3828 AR_PHY_EXT_ATTEN_CTL_2,
3829 };
3830
ef95e58d
SM
3831 if ((AR_SREV_9462(ah)) && (ah->rxchainmask == 0x2)) {
3832 value = ar9003_hw_atten_chain_get(ah, 1, chan);
3833 REG_RMW_FIELD(ah, ext_atten_reg[0],
3834 AR_PHY_EXT_ATTEN_CTL_XATTEN1_DB, value);
3835
3836 value = ar9003_hw_atten_chain_get_margin(ah, 1, chan);
3837 REG_RMW_FIELD(ah, ext_atten_reg[0],
3838 AR_PHY_EXT_ATTEN_CTL_XATTEN1_MARGIN,
3839 value);
3840 }
3841
f4475a6e
VT
3842 /* Test value. if 0 then attenuation is unused. Don't load anything. */
3843 for (i = 0; i < 3; i++) {
2976bc5e
VT
3844 if (ah->txchainmask & BIT(i)) {
3845 value = ar9003_hw_atten_chain_get(ah, i, chan);
3846 REG_RMW_FIELD(ah, ext_atten_reg[i],
3847 AR_PHY_EXT_ATTEN_CTL_XATTEN1_DB, value);
3848
9b60b64b
SM
3849 if (AR_SREV_9485(ah) &&
3850 (ar9003_hw_get_rx_gain_idx(ah) == 0) &&
3851 ah->config.xatten_margin_cfg)
3852 value = 5;
3853 else
3854 value = ar9003_hw_atten_chain_get_margin(ah, i, chan);
3855
e083a42e
SM
3856 if (ah->config.alt_mingainidx)
3857 REG_RMW_FIELD(ah, AR_PHY_EXT_ATTEN_CTL_0,
3858 AR_PHY_EXT_ATTEN_CTL_XATTEN1_MARGIN,
3859 value);
3860
2976bc5e
VT
3861 REG_RMW_FIELD(ah, ext_atten_reg[i],
3862 AR_PHY_EXT_ATTEN_CTL_XATTEN1_MARGIN,
3863 value);
3864 }
f4475a6e
VT
3865 }
3866}
3867
ab09b5b4
VT
3868static bool is_pmu_set(struct ath_hw *ah, u32 pmu_reg, int pmu_set)
3869{
3870 int timeout = 100;
3871
3872 while (pmu_set != REG_READ(ah, pmu_reg)) {
3873 if (timeout-- == 0)
3874 return false;
3875 REG_WRITE(ah, pmu_reg, pmu_set);
3876 udelay(10);
3877 }
3878
3879 return true;
3880}
3881
bfc441a4 3882void ar9003_hw_internal_regulator_apply(struct ath_hw *ah)
15c9ee7a 3883{
0aefc591
FF
3884 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
3885 struct ar9300_base_eep_hdr *pBase = &eep->baseEepHeader;
2577c6e8 3886 u32 reg_val;
15c9ee7a 3887
0aefc591 3888 if (pBase->featureEnable & BIT(4)) {
4187afa2 3889 if (AR_SREV_9330(ah) || AR_SREV_9485(ah)) {
ab09b5b4
VT
3890 int reg_pmu_set;
3891
3892 reg_pmu_set = REG_READ(ah, AR_PHY_PMU2) & ~AR_PHY_PMU2_PGM;
3893 REG_WRITE(ah, AR_PHY_PMU2, reg_pmu_set);
3894 if (!is_pmu_set(ah, AR_PHY_PMU2, reg_pmu_set))
3895 return;
3896
4187afa2
GJ
3897 if (AR_SREV_9330(ah)) {
3898 if (ah->is_clk_25mhz) {
3899 reg_pmu_set = (3 << 1) | (8 << 4) |
3900 (3 << 8) | (1 << 14) |
3901 (6 << 17) | (1 << 20) |
3902 (3 << 24);
3903 } else {
3904 reg_pmu_set = (4 << 1) | (7 << 4) |
3905 (3 << 8) | (1 << 14) |
3906 (6 << 17) | (1 << 20) |
3907 (3 << 24);
3908 }
3909 } else {
3910 reg_pmu_set = (5 << 1) | (7 << 4) |
1fa707aa 3911 (2 << 8) | (2 << 14) |
4187afa2
GJ
3912 (6 << 17) | (1 << 20) |
3913 (3 << 24) | (1 << 28);
3914 }
ab09b5b4
VT
3915
3916 REG_WRITE(ah, AR_PHY_PMU1, reg_pmu_set);
3917 if (!is_pmu_set(ah, AR_PHY_PMU1, reg_pmu_set))
3918 return;
3919
3920 reg_pmu_set = (REG_READ(ah, AR_PHY_PMU2) & ~0xFFC00000)
3921 | (4 << 26);
3922 REG_WRITE(ah, AR_PHY_PMU2, reg_pmu_set);
3923 if (!is_pmu_set(ah, AR_PHY_PMU2, reg_pmu_set))
3924 return;
3925
3926 reg_pmu_set = (REG_READ(ah, AR_PHY_PMU2) & ~0x00200000)
3927 | (1 << 21);
3928 REG_WRITE(ah, AR_PHY_PMU2, reg_pmu_set);
3929 if (!is_pmu_set(ah, AR_PHY_PMU2, reg_pmu_set))
3930 return;
a4a2954f 3931 } else if (AR_SREV_9462(ah) || AR_SREV_9565(ah)) {
0aefc591 3932 reg_val = le32_to_cpu(pBase->swreg);
2577c6e8 3933 REG_WRITE(ah, AR_PHY_PMU1, reg_val);
ab09b5b4
VT
3934 } else {
3935 /* Internal regulator is ON. Write swreg register. */
0aefc591 3936 reg_val = le32_to_cpu(pBase->swreg);
ab09b5b4
VT
3937 REG_WRITE(ah, AR_RTC_REG_CONTROL1,
3938 REG_READ(ah, AR_RTC_REG_CONTROL1) &
3939 (~AR_RTC_REG_CONTROL1_SWREG_PROGRAM));
2577c6e8 3940 REG_WRITE(ah, AR_RTC_REG_CONTROL0, reg_val);
ab09b5b4
VT
3941 /* Set REG_CONTROL1.SWREG_PROGRAM */
3942 REG_WRITE(ah, AR_RTC_REG_CONTROL1,
3943 REG_READ(ah,
3944 AR_RTC_REG_CONTROL1) |
3945 AR_RTC_REG_CONTROL1_SWREG_PROGRAM);
3946 }
15c9ee7a 3947 } else {
4187afa2 3948 if (AR_SREV_9330(ah) || AR_SREV_9485(ah)) {
ab09b5b4
VT
3949 REG_RMW_FIELD(ah, AR_PHY_PMU2, AR_PHY_PMU2_PGM, 0);
3950 while (REG_READ_FIELD(ah, AR_PHY_PMU2,
2577c6e8 3951 AR_PHY_PMU2_PGM))
ab09b5b4
VT
3952 udelay(10);
3953
3954 REG_RMW_FIELD(ah, AR_PHY_PMU1, AR_PHY_PMU1_PWD, 0x1);
3955 while (!REG_READ_FIELD(ah, AR_PHY_PMU1,
2577c6e8 3956 AR_PHY_PMU1_PWD))
ab09b5b4
VT
3957 udelay(10);
3958 REG_RMW_FIELD(ah, AR_PHY_PMU2, AR_PHY_PMU2_PGM, 0x1);
3959 while (!REG_READ_FIELD(ah, AR_PHY_PMU2,
2577c6e8 3960 AR_PHY_PMU2_PGM))
ab09b5b4 3961 udelay(10);
a4a2954f 3962 } else if (AR_SREV_9462(ah) || AR_SREV_9565(ah))
2577c6e8
SB
3963 REG_RMW_FIELD(ah, AR_PHY_PMU1, AR_PHY_PMU1_PWD, 0x1);
3964 else {
3965 reg_val = REG_READ(ah, AR_RTC_SLEEP_CLK) |
3966 AR_RTC_FORCE_SWREG_PRD;
3967 REG_WRITE(ah, AR_RTC_SLEEP_CLK, reg_val);
3968 }
15c9ee7a 3969 }
ab09b5b4 3970
15c9ee7a
SB
3971}
3972
dd040f76
VT
3973static void ar9003_hw_apply_tuning_caps(struct ath_hw *ah)
3974{
3975 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
3976 u8 tuning_caps_param = eep->baseEepHeader.params_for_tuning_caps[0];
3977
2c323058 3978 if (AR_SREV_9340(ah) || AR_SREV_9531(ah))
08a4a1ab
FF
3979 return;
3980
dd040f76
VT
3981 if (eep->baseEepHeader.featureEnable & 0x40) {
3982 tuning_caps_param &= 0x7f;
3983 REG_RMW_FIELD(ah, AR_CH0_XTAL, AR_CH0_XTAL_CAPINDAC,
3984 tuning_caps_param);
3985 REG_RMW_FIELD(ah, AR_CH0_XTAL, AR_CH0_XTAL_CAPOUTDAC,
3986 tuning_caps_param);
15c9ee7a
SB
3987 }
3988}
3989
df222edc
RM
3990static void ar9003_hw_quick_drop_apply(struct ath_hw *ah, u16 freq)
3991{
3992 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
0aefc591
FF
3993 struct ar9300_base_eep_hdr *pBase = &eep->baseEepHeader;
3994 int quick_drop;
df222edc
RM
3995 s32 t[3], f[3] = {5180, 5500, 5785};
3996
93c1cfbe 3997 if (!(pBase->miscConfiguration & BIT(4)))
df222edc
RM
3998 return;
3999
93c1cfbe
SM
4000 if (AR_SREV_9300(ah) || AR_SREV_9580(ah) || AR_SREV_9340(ah)) {
4001 if (freq < 4000) {
4002 quick_drop = eep->modalHeader2G.quick_drop;
4003 } else {
4004 t[0] = eep->base_ext1.quick_drop_low;
4005 t[1] = eep->modalHeader5G.quick_drop;
4006 t[2] = eep->base_ext1.quick_drop_high;
4007 quick_drop = ar9003_hw_power_interpolate(freq, f, t, 3);
4008 }
4009 REG_RMW_FIELD(ah, AR_PHY_AGC, AR_PHY_AGC_QUICK_DROP, quick_drop);
df222edc 4010 }
df222edc
RM
4011}
4012
0aefc591 4013static void ar9003_hw_txend_to_xpa_off_apply(struct ath_hw *ah, bool is2ghz)
202bff08 4014{
202bff08
RM
4015 u32 value;
4016
0aefc591 4017 value = ar9003_modal_header(ah, is2ghz)->txEndToXpaOff;
202bff08
RM
4018
4019 REG_RMW_FIELD(ah, AR_PHY_XPA_TIMING_CTL,
4020 AR_PHY_XPA_TIMING_CTL_TX_END_XPAB_OFF, value);
4021 REG_RMW_FIELD(ah, AR_PHY_XPA_TIMING_CTL,
4022 AR_PHY_XPA_TIMING_CTL_TX_END_XPAA_OFF, value);
4023}
4024
0aefc591 4025static void ar9003_hw_xpa_timing_control_apply(struct ath_hw *ah, bool is2ghz)
89be49e1
FF
4026{
4027 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
4028 u8 xpa_ctl;
4029
4030 if (!(eep->baseEepHeader.featureEnable & 0x80))
4031 return;
4032
2c323058
SM
4033 if (!AR_SREV_9300(ah) &&
4034 !AR_SREV_9340(ah) &&
4035 !AR_SREV_9580(ah) &&
4036 !AR_SREV_9531(ah))
89be49e1
FF
4037 return;
4038
0aefc591
FF
4039 xpa_ctl = ar9003_modal_header(ah, is2ghz)->txFrameToXpaOn;
4040 if (is2ghz)
89be49e1
FF
4041 REG_RMW_FIELD(ah, AR_PHY_XPA_TIMING_CTL,
4042 AR_PHY_XPA_TIMING_CTL_FRAME_XPAB_ON, xpa_ctl);
0aefc591 4043 else
89be49e1
FF
4044 REG_RMW_FIELD(ah, AR_PHY_XPA_TIMING_CTL,
4045 AR_PHY_XPA_TIMING_CTL_FRAME_XPAA_ON, xpa_ctl);
89be49e1
FF
4046}
4047
3e2ea543
FF
4048static void ar9003_hw_xlna_bias_strength_apply(struct ath_hw *ah, bool is2ghz)
4049{
4050 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
4051 u8 bias;
4052
a1783a7b 4053 if (!(eep->baseEepHeader.miscConfiguration & 0x40))
3e2ea543
FF
4054 return;
4055
4056 if (!AR_SREV_9300(ah))
4057 return;
4058
4059 bias = ar9003_modal_header(ah, is2ghz)->xlna_bias_strength;
4060 REG_RMW_FIELD(ah, AR_PHY_65NM_CH0_RXTX4, AR_PHY_65NM_RXTX4_XLNA_BIAS,
4061 bias & 0x3);
4062 bias >>= 2;
4063 REG_RMW_FIELD(ah, AR_PHY_65NM_CH1_RXTX4, AR_PHY_65NM_RXTX4_XLNA_BIAS,
4064 bias & 0x3);
4065 bias >>= 2;
4066 REG_RMW_FIELD(ah, AR_PHY_65NM_CH2_RXTX4, AR_PHY_65NM_RXTX4_XLNA_BIAS,
4067 bias & 0x3);
4068}
4069
02eba421
RM
4070static int ar9003_hw_get_thermometer(struct ath_hw *ah)
4071{
4072 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
4073 struct ar9300_base_eep_hdr *pBase = &eep->baseEepHeader;
4074 int thermometer = (pBase->miscConfiguration >> 1) & 0x3;
4075
4076 return --thermometer;
4077}
4078
4079static void ar9003_hw_thermometer_apply(struct ath_hw *ah)
4080{
4081 int thermometer = ar9003_hw_get_thermometer(ah);
4082 u8 therm_on = (thermometer < 0) ? 0 : 1;
4083
4084 REG_RMW_FIELD(ah, AR_PHY_65NM_CH0_RXTX4,
4085 AR_PHY_65NM_CH0_RXTX4_THERM_ON_OVR, therm_on);
4086 if (ah->caps.tx_chainmask & BIT(1))
4087 REG_RMW_FIELD(ah, AR_PHY_65NM_CH1_RXTX4,
4088 AR_PHY_65NM_CH0_RXTX4_THERM_ON_OVR, therm_on);
4089 if (ah->caps.tx_chainmask & BIT(2))
4090 REG_RMW_FIELD(ah, AR_PHY_65NM_CH2_RXTX4,
4091 AR_PHY_65NM_CH0_RXTX4_THERM_ON_OVR, therm_on);
4092
4093 therm_on = (thermometer < 0) ? 0 : (thermometer == 0);
4094 REG_RMW_FIELD(ah, AR_PHY_65NM_CH0_RXTX4,
4095 AR_PHY_65NM_CH0_RXTX4_THERM_ON, therm_on);
4096 if (ah->caps.tx_chainmask & BIT(1)) {
4097 therm_on = (thermometer < 0) ? 0 : (thermometer == 1);
4098 REG_RMW_FIELD(ah, AR_PHY_65NM_CH1_RXTX4,
4099 AR_PHY_65NM_CH0_RXTX4_THERM_ON, therm_on);
4100 }
4101 if (ah->caps.tx_chainmask & BIT(2)) {
4102 therm_on = (thermometer < 0) ? 0 : (thermometer == 2);
4103 REG_RMW_FIELD(ah, AR_PHY_65NM_CH2_RXTX4,
4104 AR_PHY_65NM_CH0_RXTX4_THERM_ON, therm_on);
4105 }
4106}
4107
80fe43f2
RM
4108static void ar9003_hw_thermo_cal_apply(struct ath_hw *ah)
4109{
4110 u32 data, ko, kg;
4111
2b5e54e2 4112 if (!AR_SREV_9462_20_OR_LATER(ah))
80fe43f2 4113 return;
2b5e54e2 4114
80fe43f2
RM
4115 ar9300_otp_read_word(ah, 1, &data);
4116 ko = data & 0xff;
4117 kg = (data >> 8) & 0xff;
4118 if (ko || kg) {
4119 REG_RMW_FIELD(ah, AR_PHY_BB_THERM_ADC_3,
4120 AR_PHY_BB_THERM_ADC_3_THERM_ADC_OFFSET, ko);
4121 REG_RMW_FIELD(ah, AR_PHY_BB_THERM_ADC_3,
4122 AR_PHY_BB_THERM_ADC_3_THERM_ADC_SCALE_GAIN,
4123 kg + 256);
4124 }
4125}
4126
3533bf6b
SM
4127static void ar9003_hw_apply_minccapwr_thresh(struct ath_hw *ah,
4128 bool is2ghz)
4129{
4130 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
4131 const u_int32_t cca_ctrl[AR9300_MAX_CHAINS] = {
4132 AR_PHY_CCA_CTRL_0,
4133 AR_PHY_CCA_CTRL_1,
4134 AR_PHY_CCA_CTRL_2,
4135 };
4136 int chain;
4137 u32 val;
4138
4139 if (is2ghz) {
4140 if (!(eep->base_ext1.misc_enable & BIT(2)))
4141 return;
4142 } else {
4143 if (!(eep->base_ext1.misc_enable & BIT(3)))
4144 return;
4145 }
4146
4147 for (chain = 0; chain < AR9300_MAX_CHAINS; chain++) {
4148 if (!(ah->caps.tx_chainmask & BIT(chain)))
4149 continue;
4150
4151 val = ar9003_modal_header(ah, is2ghz)->noiseFloorThreshCh[chain];
4152 REG_RMW_FIELD(ah, cca_ctrl[chain],
4153 AR_PHY_EXT_CCA0_THRESH62_1, val);
4154 }
4155
4156}
4157
15c9ee7a
SB
4158static void ath9k_hw_ar9300_set_board_values(struct ath_hw *ah,
4159 struct ath9k_channel *chan)
4160{
0aefc591
FF
4161 bool is2ghz = IS_CHAN_2GHZ(chan);
4162 ar9003_hw_xpa_timing_control_apply(ah, is2ghz);
4163 ar9003_hw_xpa_bias_level_apply(ah, is2ghz);
4164 ar9003_hw_ant_ctrl_apply(ah, is2ghz);
15c9ee7a 4165 ar9003_hw_drive_strength_apply(ah);
3e2ea543 4166 ar9003_hw_xlna_bias_strength_apply(ah, is2ghz);
f4475a6e 4167 ar9003_hw_atten_apply(ah, chan);
df222edc 4168 ar9003_hw_quick_drop_apply(ah, chan->channel);
2c323058 4169 if (!AR_SREV_9330(ah) && !AR_SREV_9340(ah) && !AR_SREV_9531(ah))
3594beae 4170 ar9003_hw_internal_regulator_apply(ah);
08a4a1ab 4171 ar9003_hw_apply_tuning_caps(ah);
3533bf6b 4172 ar9003_hw_apply_minccapwr_thresh(ah, chan);
0aefc591 4173 ar9003_hw_txend_to_xpa_off_apply(ah, is2ghz);
02eba421 4174 ar9003_hw_thermometer_apply(ah);
80fe43f2 4175 ar9003_hw_thermo_cal_apply(ah);
15c9ee7a
SB
4176}
4177
4178static void ath9k_hw_ar9300_set_addac(struct ath_hw *ah,
4179 struct ath9k_channel *chan)
4180{
4181}
4182
4183/*
4184 * Returns the interpolated y value corresponding to the specified x value
4185 * from the np ordered pairs of data (px,py).
4186 * The pairs do not have to be in any order.
4187 * If the specified x value is less than any of the px,
4188 * the returned y value is equal to the py for the lowest px.
4189 * If the specified x value is greater than any of the px,
4190 * the returned y value is equal to the py for the highest px.
4191 */
4192static int ar9003_hw_power_interpolate(int32_t x,
4193 int32_t *px, int32_t *py, u_int16_t np)
4194{
4195 int ip = 0;
4196 int lx = 0, ly = 0, lhave = 0;
4197 int hx = 0, hy = 0, hhave = 0;
4198 int dx = 0;
4199 int y = 0;
4200
4201 lhave = 0;
4202 hhave = 0;
4203
4204 /* identify best lower and higher x calibration measurement */
4205 for (ip = 0; ip < np; ip++) {
4206 dx = x - px[ip];
4207
4208 /* this measurement is higher than our desired x */
4209 if (dx <= 0) {
4210 if (!hhave || dx > (x - hx)) {
4211 /* new best higher x measurement */
4212 hx = px[ip];
4213 hy = py[ip];
4214 hhave = 1;
4215 }
4216 }
4217 /* this measurement is lower than our desired x */
4218 if (dx >= 0) {
4219 if (!lhave || dx < (x - lx)) {
4220 /* new best lower x measurement */
4221 lx = px[ip];
4222 ly = py[ip];
4223 lhave = 1;
4224 }
4225 }
4226 }
4227
4228 /* the low x is good */
4229 if (lhave) {
4230 /* so is the high x */
4231 if (hhave) {
4232 /* they're the same, so just pick one */
4233 if (hx == lx)
4234 y = ly;
4235 else /* interpolate */
bc206802 4236 y = interpolate(x, lx, hx, ly, hy);
15c9ee7a
SB
4237 } else /* only low is good, use it */
4238 y = ly;
4239 } else if (hhave) /* only high is good, use it */
4240 y = hy;
4241 else /* nothing is good,this should never happen unless np=0, ???? */
4242 y = -(1 << 30);
4243 return y;
4244}
4245
4246static u8 ar9003_hw_eeprom_get_tgt_pwr(struct ath_hw *ah,
4247 u16 rateIndex, u16 freq, bool is2GHz)
4248{
4249 u16 numPiers, i;
4250 s32 targetPowerArray[AR9300_NUM_5G_20_TARGET_POWERS];
4251 s32 freqArray[AR9300_NUM_5G_20_TARGET_POWERS];
4252 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
4253 struct cal_tgt_pow_legacy *pEepromTargetPwr;
4254 u8 *pFreqBin;
4255
4256 if (is2GHz) {
d10baf99 4257 numPiers = AR9300_NUM_2G_20_TARGET_POWERS;
15c9ee7a
SB
4258 pEepromTargetPwr = eep->calTargetPower2G;
4259 pFreqBin = eep->calTarget_freqbin_2G;
4260 } else {
4261 numPiers = AR9300_NUM_5G_20_TARGET_POWERS;
4262 pEepromTargetPwr = eep->calTargetPower5G;
4263 pFreqBin = eep->calTarget_freqbin_5G;
4264 }
4265
4266 /*
4267 * create array of channels and targetpower from
4268 * targetpower piers stored on eeprom
4269 */
4270 for (i = 0; i < numPiers; i++) {
8edb254c 4271 freqArray[i] = ath9k_hw_fbin2freq(pFreqBin[i], is2GHz);
15c9ee7a
SB
4272 targetPowerArray[i] = pEepromTargetPwr[i].tPow2x[rateIndex];
4273 }
4274
4275 /* interpolate to get target power for given frequency */
4276 return (u8) ar9003_hw_power_interpolate((s32) freq,
4277 freqArray,
4278 targetPowerArray, numPiers);
4279}
4280
4281static u8 ar9003_hw_eeprom_get_ht20_tgt_pwr(struct ath_hw *ah,
4282 u16 rateIndex,
4283 u16 freq, bool is2GHz)
4284{
4285 u16 numPiers, i;
4286 s32 targetPowerArray[AR9300_NUM_5G_20_TARGET_POWERS];
4287 s32 freqArray[AR9300_NUM_5G_20_TARGET_POWERS];
4288 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
4289 struct cal_tgt_pow_ht *pEepromTargetPwr;
4290 u8 *pFreqBin;
4291
4292 if (is2GHz) {
d10baf99 4293 numPiers = AR9300_NUM_2G_20_TARGET_POWERS;
15c9ee7a
SB
4294 pEepromTargetPwr = eep->calTargetPower2GHT20;
4295 pFreqBin = eep->calTarget_freqbin_2GHT20;
4296 } else {
4297 numPiers = AR9300_NUM_5G_20_TARGET_POWERS;
4298 pEepromTargetPwr = eep->calTargetPower5GHT20;
4299 pFreqBin = eep->calTarget_freqbin_5GHT20;
4300 }
4301
4302 /*
4303 * create array of channels and targetpower
4304 * from targetpower piers stored on eeprom
4305 */
4306 for (i = 0; i < numPiers; i++) {
8edb254c 4307 freqArray[i] = ath9k_hw_fbin2freq(pFreqBin[i], is2GHz);
15c9ee7a
SB
4308 targetPowerArray[i] = pEepromTargetPwr[i].tPow2x[rateIndex];
4309 }
4310
4311 /* interpolate to get target power for given frequency */
4312 return (u8) ar9003_hw_power_interpolate((s32) freq,
4313 freqArray,
4314 targetPowerArray, numPiers);
4315}
4316
4317static u8 ar9003_hw_eeprom_get_ht40_tgt_pwr(struct ath_hw *ah,
4318 u16 rateIndex,
4319 u16 freq, bool is2GHz)
4320{
4321 u16 numPiers, i;
4322 s32 targetPowerArray[AR9300_NUM_5G_40_TARGET_POWERS];
4323 s32 freqArray[AR9300_NUM_5G_40_TARGET_POWERS];
4324 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
4325 struct cal_tgt_pow_ht *pEepromTargetPwr;
4326 u8 *pFreqBin;
4327
4328 if (is2GHz) {
4329 numPiers = AR9300_NUM_2G_40_TARGET_POWERS;
4330 pEepromTargetPwr = eep->calTargetPower2GHT40;
4331 pFreqBin = eep->calTarget_freqbin_2GHT40;
4332 } else {
4333 numPiers = AR9300_NUM_5G_40_TARGET_POWERS;
4334 pEepromTargetPwr = eep->calTargetPower5GHT40;
4335 pFreqBin = eep->calTarget_freqbin_5GHT40;
4336 }
4337
4338 /*
4339 * create array of channels and targetpower from
4340 * targetpower piers stored on eeprom
4341 */
4342 for (i = 0; i < numPiers; i++) {
8edb254c 4343 freqArray[i] = ath9k_hw_fbin2freq(pFreqBin[i], is2GHz);
15c9ee7a
SB
4344 targetPowerArray[i] = pEepromTargetPwr[i].tPow2x[rateIndex];
4345 }
4346
4347 /* interpolate to get target power for given frequency */
4348 return (u8) ar9003_hw_power_interpolate((s32) freq,
4349 freqArray,
4350 targetPowerArray, numPiers);
4351}
4352
4353static u8 ar9003_hw_eeprom_get_cck_tgt_pwr(struct ath_hw *ah,
4354 u16 rateIndex, u16 freq)
4355{
4356 u16 numPiers = AR9300_NUM_2G_CCK_TARGET_POWERS, i;
4357 s32 targetPowerArray[AR9300_NUM_2G_CCK_TARGET_POWERS];
4358 s32 freqArray[AR9300_NUM_2G_CCK_TARGET_POWERS];
4359 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
4360 struct cal_tgt_pow_legacy *pEepromTargetPwr = eep->calTargetPowerCck;
4361 u8 *pFreqBin = eep->calTarget_freqbin_Cck;
4362
4363 /*
4364 * create array of channels and targetpower from
4365 * targetpower piers stored on eeprom
4366 */
4367 for (i = 0; i < numPiers; i++) {
8edb254c 4368 freqArray[i] = ath9k_hw_fbin2freq(pFreqBin[i], 1);
15c9ee7a
SB
4369 targetPowerArray[i] = pEepromTargetPwr[i].tPow2x[rateIndex];
4370 }
4371
4372 /* interpolate to get target power for given frequency */
4373 return (u8) ar9003_hw_power_interpolate((s32) freq,
4374 freqArray,
4375 targetPowerArray, numPiers);
4376}
4377
4378/* Set tx power registers to array of values passed in */
4379static int ar9003_hw_tx_power_regwrite(struct ath_hw *ah, u8 * pPwrArray)
4380{
4381#define POW_SM(_r, _s) (((_r) & 0x3f) << (_s))
4382 /* make sure forced gain is not set */
4a4fdf2e 4383 REG_WRITE(ah, AR_PHY_TX_FORCED_GAIN, 0);
15c9ee7a
SB
4384
4385 /* Write the OFDM power per rate set */
4386
4387 /* 6 (LSB), 9, 12, 18 (MSB) */
4a4fdf2e 4388 REG_WRITE(ah, AR_PHY_POWER_TX_RATE(0),
15c9ee7a
SB
4389 POW_SM(pPwrArray[ALL_TARGET_LEGACY_6_24], 24) |
4390 POW_SM(pPwrArray[ALL_TARGET_LEGACY_6_24], 16) |
4391 POW_SM(pPwrArray[ALL_TARGET_LEGACY_6_24], 8) |
4392 POW_SM(pPwrArray[ALL_TARGET_LEGACY_6_24], 0));
4393
4394 /* 24 (LSB), 36, 48, 54 (MSB) */
4a4fdf2e 4395 REG_WRITE(ah, AR_PHY_POWER_TX_RATE(1),
15c9ee7a
SB
4396 POW_SM(pPwrArray[ALL_TARGET_LEGACY_54], 24) |
4397 POW_SM(pPwrArray[ALL_TARGET_LEGACY_48], 16) |
4398 POW_SM(pPwrArray[ALL_TARGET_LEGACY_36], 8) |
4399 POW_SM(pPwrArray[ALL_TARGET_LEGACY_6_24], 0));
4400
4401 /* Write the CCK power per rate set */
4402
4403 /* 1L (LSB), reserved, 2L, 2S (MSB) */
4a4fdf2e 4404 REG_WRITE(ah, AR_PHY_POWER_TX_RATE(2),
15c9ee7a
SB
4405 POW_SM(pPwrArray[ALL_TARGET_LEGACY_1L_5L], 24) |
4406 POW_SM(pPwrArray[ALL_TARGET_LEGACY_1L_5L], 16) |
4407 /* POW_SM(txPowerTimes2, 8) | this is reserved for AR9003 */
4408 POW_SM(pPwrArray[ALL_TARGET_LEGACY_1L_5L], 0));
4409
4410 /* 5.5L (LSB), 5.5S, 11L, 11S (MSB) */
4a4fdf2e 4411 REG_WRITE(ah, AR_PHY_POWER_TX_RATE(3),
15c9ee7a
SB
4412 POW_SM(pPwrArray[ALL_TARGET_LEGACY_11S], 24) |
4413 POW_SM(pPwrArray[ALL_TARGET_LEGACY_11L], 16) |
4414 POW_SM(pPwrArray[ALL_TARGET_LEGACY_5S], 8) |
4415 POW_SM(pPwrArray[ALL_TARGET_LEGACY_1L_5L], 0)
4416 );
4417
cf3a03b9
LR
4418 /* Write the power for duplicated frames - HT40 */
4419
4420 /* dup40_cck (LSB), dup40_ofdm, ext20_cck, ext20_ofdm (MSB) */
8d7763b4 4421 REG_WRITE(ah, AR_PHY_POWER_TX_RATE(8),
cf3a03b9
LR
4422 POW_SM(pPwrArray[ALL_TARGET_LEGACY_6_24], 24) |
4423 POW_SM(pPwrArray[ALL_TARGET_LEGACY_1L_5L], 16) |
4424 POW_SM(pPwrArray[ALL_TARGET_LEGACY_6_24], 8) |
4425 POW_SM(pPwrArray[ALL_TARGET_LEGACY_1L_5L], 0)
4426 );
4427
15c9ee7a
SB
4428 /* Write the HT20 power per rate set */
4429
4430 /* 0/8/16 (LSB), 1-3/9-11/17-19, 4, 5 (MSB) */
4a4fdf2e 4431 REG_WRITE(ah, AR_PHY_POWER_TX_RATE(4),
15c9ee7a
SB
4432 POW_SM(pPwrArray[ALL_TARGET_HT20_5], 24) |
4433 POW_SM(pPwrArray[ALL_TARGET_HT20_4], 16) |
4434 POW_SM(pPwrArray[ALL_TARGET_HT20_1_3_9_11_17_19], 8) |
4435 POW_SM(pPwrArray[ALL_TARGET_HT20_0_8_16], 0)
4436 );
4437
4438 /* 6 (LSB), 7, 12, 13 (MSB) */
4a4fdf2e 4439 REG_WRITE(ah, AR_PHY_POWER_TX_RATE(5),
15c9ee7a
SB
4440 POW_SM(pPwrArray[ALL_TARGET_HT20_13], 24) |
4441 POW_SM(pPwrArray[ALL_TARGET_HT20_12], 16) |
4442 POW_SM(pPwrArray[ALL_TARGET_HT20_7], 8) |
4443 POW_SM(pPwrArray[ALL_TARGET_HT20_6], 0)
4444 );
4445
4446 /* 14 (LSB), 15, 20, 21 */
4a4fdf2e 4447 REG_WRITE(ah, AR_PHY_POWER_TX_RATE(9),
15c9ee7a
SB
4448 POW_SM(pPwrArray[ALL_TARGET_HT20_21], 24) |
4449 POW_SM(pPwrArray[ALL_TARGET_HT20_20], 16) |
4450 POW_SM(pPwrArray[ALL_TARGET_HT20_15], 8) |
4451 POW_SM(pPwrArray[ALL_TARGET_HT20_14], 0)
4452 );
4453
4454 /* Mixed HT20 and HT40 rates */
4455
4456 /* HT20 22 (LSB), HT20 23, HT40 22, HT40 23 (MSB) */
4a4fdf2e 4457 REG_WRITE(ah, AR_PHY_POWER_TX_RATE(10),
15c9ee7a
SB
4458 POW_SM(pPwrArray[ALL_TARGET_HT40_23], 24) |
4459 POW_SM(pPwrArray[ALL_TARGET_HT40_22], 16) |
4460 POW_SM(pPwrArray[ALL_TARGET_HT20_23], 8) |
4461 POW_SM(pPwrArray[ALL_TARGET_HT20_22], 0)
4462 );
4463
4464 /*
4465 * Write the HT40 power per rate set
4466 * correct PAR difference between HT40 and HT20/LEGACY
4467 * 0/8/16 (LSB), 1-3/9-11/17-19, 4, 5 (MSB)
4468 */
4a4fdf2e 4469 REG_WRITE(ah, AR_PHY_POWER_TX_RATE(6),
15c9ee7a
SB
4470 POW_SM(pPwrArray[ALL_TARGET_HT40_5], 24) |
4471 POW_SM(pPwrArray[ALL_TARGET_HT40_4], 16) |
4472 POW_SM(pPwrArray[ALL_TARGET_HT40_1_3_9_11_17_19], 8) |
4473 POW_SM(pPwrArray[ALL_TARGET_HT40_0_8_16], 0)
4474 );
4475
4476 /* 6 (LSB), 7, 12, 13 (MSB) */
4a4fdf2e 4477 REG_WRITE(ah, AR_PHY_POWER_TX_RATE(7),
15c9ee7a
SB
4478 POW_SM(pPwrArray[ALL_TARGET_HT40_13], 24) |
4479 POW_SM(pPwrArray[ALL_TARGET_HT40_12], 16) |
4480 POW_SM(pPwrArray[ALL_TARGET_HT40_7], 8) |
4481 POW_SM(pPwrArray[ALL_TARGET_HT40_6], 0)
4482 );
4483
4484 /* 14 (LSB), 15, 20, 21 */
4a4fdf2e 4485 REG_WRITE(ah, AR_PHY_POWER_TX_RATE(11),
15c9ee7a
SB
4486 POW_SM(pPwrArray[ALL_TARGET_HT40_21], 24) |
4487 POW_SM(pPwrArray[ALL_TARGET_HT40_20], 16) |
4488 POW_SM(pPwrArray[ALL_TARGET_HT40_15], 8) |
4489 POW_SM(pPwrArray[ALL_TARGET_HT40_14], 0)
4490 );
4491
4492 return 0;
4493#undef POW_SM
4494}
4495
75acd5a8
GJ
4496static void ar9003_hw_get_legacy_target_powers(struct ath_hw *ah, u16 freq,
4497 u8 *targetPowerValT2,
4498 bool is2GHz)
15c9ee7a 4499{
15c9ee7a
SB
4500 targetPowerValT2[ALL_TARGET_LEGACY_6_24] =
4501 ar9003_hw_eeprom_get_tgt_pwr(ah, LEGACY_TARGET_RATE_6_24, freq,
4502 is2GHz);
4503 targetPowerValT2[ALL_TARGET_LEGACY_36] =
4504 ar9003_hw_eeprom_get_tgt_pwr(ah, LEGACY_TARGET_RATE_36, freq,
4505 is2GHz);
4506 targetPowerValT2[ALL_TARGET_LEGACY_48] =
4507 ar9003_hw_eeprom_get_tgt_pwr(ah, LEGACY_TARGET_RATE_48, freq,
4508 is2GHz);
4509 targetPowerValT2[ALL_TARGET_LEGACY_54] =
4510 ar9003_hw_eeprom_get_tgt_pwr(ah, LEGACY_TARGET_RATE_54, freq,
4511 is2GHz);
75acd5a8
GJ
4512}
4513
4514static void ar9003_hw_get_cck_target_powers(struct ath_hw *ah, u16 freq,
4515 u8 *targetPowerValT2)
4516{
15c9ee7a
SB
4517 targetPowerValT2[ALL_TARGET_LEGACY_1L_5L] =
4518 ar9003_hw_eeprom_get_cck_tgt_pwr(ah, LEGACY_TARGET_RATE_1L_5L,
4519 freq);
4520 targetPowerValT2[ALL_TARGET_LEGACY_5S] =
4521 ar9003_hw_eeprom_get_cck_tgt_pwr(ah, LEGACY_TARGET_RATE_5S, freq);
4522 targetPowerValT2[ALL_TARGET_LEGACY_11L] =
4523 ar9003_hw_eeprom_get_cck_tgt_pwr(ah, LEGACY_TARGET_RATE_11L, freq);
4524 targetPowerValT2[ALL_TARGET_LEGACY_11S] =
4525 ar9003_hw_eeprom_get_cck_tgt_pwr(ah, LEGACY_TARGET_RATE_11S, freq);
75acd5a8
GJ
4526}
4527
4528static void ar9003_hw_get_ht20_target_powers(struct ath_hw *ah, u16 freq,
4529 u8 *targetPowerValT2, bool is2GHz)
4530{
15c9ee7a
SB
4531 targetPowerValT2[ALL_TARGET_HT20_0_8_16] =
4532 ar9003_hw_eeprom_get_ht20_tgt_pwr(ah, HT_TARGET_RATE_0_8_16, freq,
4533 is2GHz);
4534 targetPowerValT2[ALL_TARGET_HT20_1_3_9_11_17_19] =
4535 ar9003_hw_eeprom_get_ht20_tgt_pwr(ah, HT_TARGET_RATE_1_3_9_11_17_19,
4536 freq, is2GHz);
4537 targetPowerValT2[ALL_TARGET_HT20_4] =
4538 ar9003_hw_eeprom_get_ht20_tgt_pwr(ah, HT_TARGET_RATE_4, freq,
4539 is2GHz);
4540 targetPowerValT2[ALL_TARGET_HT20_5] =
4541 ar9003_hw_eeprom_get_ht20_tgt_pwr(ah, HT_TARGET_RATE_5, freq,
4542 is2GHz);
4543 targetPowerValT2[ALL_TARGET_HT20_6] =
4544 ar9003_hw_eeprom_get_ht20_tgt_pwr(ah, HT_TARGET_RATE_6, freq,
4545 is2GHz);
4546 targetPowerValT2[ALL_TARGET_HT20_7] =
4547 ar9003_hw_eeprom_get_ht20_tgt_pwr(ah, HT_TARGET_RATE_7, freq,
4548 is2GHz);
4549 targetPowerValT2[ALL_TARGET_HT20_12] =
4550 ar9003_hw_eeprom_get_ht20_tgt_pwr(ah, HT_TARGET_RATE_12, freq,
4551 is2GHz);
4552 targetPowerValT2[ALL_TARGET_HT20_13] =
4553 ar9003_hw_eeprom_get_ht20_tgt_pwr(ah, HT_TARGET_RATE_13, freq,
4554 is2GHz);
4555 targetPowerValT2[ALL_TARGET_HT20_14] =
4556 ar9003_hw_eeprom_get_ht20_tgt_pwr(ah, HT_TARGET_RATE_14, freq,
4557 is2GHz);
4558 targetPowerValT2[ALL_TARGET_HT20_15] =
4559 ar9003_hw_eeprom_get_ht20_tgt_pwr(ah, HT_TARGET_RATE_15, freq,
4560 is2GHz);
4561 targetPowerValT2[ALL_TARGET_HT20_20] =
4562 ar9003_hw_eeprom_get_ht20_tgt_pwr(ah, HT_TARGET_RATE_20, freq,
4563 is2GHz);
4564 targetPowerValT2[ALL_TARGET_HT20_21] =
4565 ar9003_hw_eeprom_get_ht20_tgt_pwr(ah, HT_TARGET_RATE_21, freq,
4566 is2GHz);
4567 targetPowerValT2[ALL_TARGET_HT20_22] =
4568 ar9003_hw_eeprom_get_ht20_tgt_pwr(ah, HT_TARGET_RATE_22, freq,
4569 is2GHz);
4570 targetPowerValT2[ALL_TARGET_HT20_23] =
4571 ar9003_hw_eeprom_get_ht20_tgt_pwr(ah, HT_TARGET_RATE_23, freq,
4572 is2GHz);
75acd5a8
GJ
4573}
4574
4575static void ar9003_hw_get_ht40_target_powers(struct ath_hw *ah,
4576 u16 freq,
4577 u8 *targetPowerValT2,
4578 bool is2GHz)
4579{
4580 /* XXX: hard code for now, need to get from eeprom struct */
4581 u8 ht40PowerIncForPdadc = 0;
4582
15c9ee7a
SB
4583 targetPowerValT2[ALL_TARGET_HT40_0_8_16] =
4584 ar9003_hw_eeprom_get_ht40_tgt_pwr(ah, HT_TARGET_RATE_0_8_16, freq,
4585 is2GHz) + ht40PowerIncForPdadc;
4586 targetPowerValT2[ALL_TARGET_HT40_1_3_9_11_17_19] =
4587 ar9003_hw_eeprom_get_ht40_tgt_pwr(ah, HT_TARGET_RATE_1_3_9_11_17_19,
4588 freq,
4589 is2GHz) + ht40PowerIncForPdadc;
4590 targetPowerValT2[ALL_TARGET_HT40_4] =
4591 ar9003_hw_eeprom_get_ht40_tgt_pwr(ah, HT_TARGET_RATE_4, freq,
4592 is2GHz) + ht40PowerIncForPdadc;
4593 targetPowerValT2[ALL_TARGET_HT40_5] =
4594 ar9003_hw_eeprom_get_ht40_tgt_pwr(ah, HT_TARGET_RATE_5, freq,
4595 is2GHz) + ht40PowerIncForPdadc;
4596 targetPowerValT2[ALL_TARGET_HT40_6] =
4597 ar9003_hw_eeprom_get_ht40_tgt_pwr(ah, HT_TARGET_RATE_6, freq,
4598 is2GHz) + ht40PowerIncForPdadc;
4599 targetPowerValT2[ALL_TARGET_HT40_7] =
4600 ar9003_hw_eeprom_get_ht40_tgt_pwr(ah, HT_TARGET_RATE_7, freq,
4601 is2GHz) + ht40PowerIncForPdadc;
4602 targetPowerValT2[ALL_TARGET_HT40_12] =
4603 ar9003_hw_eeprom_get_ht40_tgt_pwr(ah, HT_TARGET_RATE_12, freq,
4604 is2GHz) + ht40PowerIncForPdadc;
4605 targetPowerValT2[ALL_TARGET_HT40_13] =
4606 ar9003_hw_eeprom_get_ht40_tgt_pwr(ah, HT_TARGET_RATE_13, freq,
4607 is2GHz) + ht40PowerIncForPdadc;
4608 targetPowerValT2[ALL_TARGET_HT40_14] =
4609 ar9003_hw_eeprom_get_ht40_tgt_pwr(ah, HT_TARGET_RATE_14, freq,
4610 is2GHz) + ht40PowerIncForPdadc;
4611 targetPowerValT2[ALL_TARGET_HT40_15] =
4612 ar9003_hw_eeprom_get_ht40_tgt_pwr(ah, HT_TARGET_RATE_15, freq,
4613 is2GHz) + ht40PowerIncForPdadc;
4614 targetPowerValT2[ALL_TARGET_HT40_20] =
4615 ar9003_hw_eeprom_get_ht40_tgt_pwr(ah, HT_TARGET_RATE_20, freq,
4616 is2GHz) + ht40PowerIncForPdadc;
4617 targetPowerValT2[ALL_TARGET_HT40_21] =
4618 ar9003_hw_eeprom_get_ht40_tgt_pwr(ah, HT_TARGET_RATE_21, freq,
4619 is2GHz) + ht40PowerIncForPdadc;
4620 targetPowerValT2[ALL_TARGET_HT40_22] =
4621 ar9003_hw_eeprom_get_ht40_tgt_pwr(ah, HT_TARGET_RATE_22, freq,
4622 is2GHz) + ht40PowerIncForPdadc;
4623 targetPowerValT2[ALL_TARGET_HT40_23] =
4624 ar9003_hw_eeprom_get_ht40_tgt_pwr(ah, HT_TARGET_RATE_23, freq,
4625 is2GHz) + ht40PowerIncForPdadc;
75acd5a8
GJ
4626}
4627
4628static void ar9003_hw_get_target_power_eeprom(struct ath_hw *ah,
4629 struct ath9k_channel *chan,
4630 u8 *targetPowerValT2)
4631{
4632 bool is2GHz = IS_CHAN_2GHZ(chan);
4633 unsigned int i = 0;
4634 struct ath_common *common = ath9k_hw_common(ah);
4635 u16 freq = chan->channel;
4636
4637 if (is2GHz)
4638 ar9003_hw_get_cck_target_powers(ah, freq, targetPowerValT2);
4639
4640 ar9003_hw_get_legacy_target_powers(ah, freq, targetPowerValT2, is2GHz);
4641 ar9003_hw_get_ht20_target_powers(ah, freq, targetPowerValT2, is2GHz);
4642
4643 if (IS_CHAN_HT40(chan))
4644 ar9003_hw_get_ht40_target_powers(ah, freq, targetPowerValT2,
4645 is2GHz);
15c9ee7a 4646
a1cbc7a8 4647 for (i = 0; i < ar9300RateSize; i++) {
df401907 4648 ath_dbg(common, REGULATORY, "TPC[%02d] 0x%08x\n",
d2182b69 4649 i, targetPowerValT2[i]);
15c9ee7a 4650 }
15c9ee7a
SB
4651}
4652
4653static int ar9003_hw_cal_pier_get(struct ath_hw *ah,
4654 int mode,
4655 int ipier,
4656 int ichain,
4657 int *pfrequency,
4658 int *pcorrection,
4659 int *ptemperature, int *pvoltage)
4660{
4661 u8 *pCalPier;
4662 struct ar9300_cal_data_per_freq_op_loop *pCalPierStruct;
4663 int is2GHz;
4664 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
4665 struct ath_common *common = ath9k_hw_common(ah);
4666
4667 if (ichain >= AR9300_MAX_CHAINS) {
d2182b69 4668 ath_dbg(common, EEPROM,
226afe68
JP
4669 "Invalid chain index, must be less than %d\n",
4670 AR9300_MAX_CHAINS);
15c9ee7a
SB
4671 return -1;
4672 }
4673
4674 if (mode) { /* 5GHz */
4675 if (ipier >= AR9300_NUM_5G_CAL_PIERS) {
d2182b69 4676 ath_dbg(common, EEPROM,
226afe68
JP
4677 "Invalid 5GHz cal pier index, must be less than %d\n",
4678 AR9300_NUM_5G_CAL_PIERS);
15c9ee7a
SB
4679 return -1;
4680 }
4681 pCalPier = &(eep->calFreqPier5G[ipier]);
4682 pCalPierStruct = &(eep->calPierData5G[ichain][ipier]);
4683 is2GHz = 0;
4684 } else {
4685 if (ipier >= AR9300_NUM_2G_CAL_PIERS) {
d2182b69 4686 ath_dbg(common, EEPROM,
226afe68
JP
4687 "Invalid 2GHz cal pier index, must be less than %d\n",
4688 AR9300_NUM_2G_CAL_PIERS);
15c9ee7a
SB
4689 return -1;
4690 }
4691
4692 pCalPier = &(eep->calFreqPier2G[ipier]);
4693 pCalPierStruct = &(eep->calPierData2G[ichain][ipier]);
4694 is2GHz = 1;
4695 }
4696
8edb254c 4697 *pfrequency = ath9k_hw_fbin2freq(*pCalPier, is2GHz);
15c9ee7a
SB
4698 *pcorrection = pCalPierStruct->refPower;
4699 *ptemperature = pCalPierStruct->tempMeas;
4700 *pvoltage = pCalPierStruct->voltMeas;
4701
4702 return 0;
4703}
4704
2d7caefb
SM
4705static void ar9003_hw_power_control_override(struct ath_hw *ah,
4706 int frequency,
4707 int *correction,
4708 int *voltage, int *temperature)
15c9ee7a 4709{
2d7caefb 4710 int temp_slope = 0, temp_slope1 = 0, temp_slope2 = 0;
15c9ee7a 4711 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
2d7caefb 4712 int f[8], t[8], t1[3], t2[3], i;
15c9ee7a
SB
4713
4714 REG_RMW(ah, AR_PHY_TPC_11_B0,
4715 (correction[0] << AR_PHY_TPC_OLPC_GAIN_DELTA_S),
4716 AR_PHY_TPC_OLPC_GAIN_DELTA);
5f139eba
VT
4717 if (ah->caps.tx_chainmask & BIT(1))
4718 REG_RMW(ah, AR_PHY_TPC_11_B1,
4719 (correction[1] << AR_PHY_TPC_OLPC_GAIN_DELTA_S),
4720 AR_PHY_TPC_OLPC_GAIN_DELTA);
4721 if (ah->caps.tx_chainmask & BIT(2))
4722 REG_RMW(ah, AR_PHY_TPC_11_B2,
4723 (correction[2] << AR_PHY_TPC_OLPC_GAIN_DELTA_S),
4724 AR_PHY_TPC_OLPC_GAIN_DELTA);
15c9ee7a
SB
4725
4726 /* enable open loop power control on chip */
4727 REG_RMW(ah, AR_PHY_TPC_6_B0,
4728 (3 << AR_PHY_TPC_6_ERROR_EST_MODE_S),
4729 AR_PHY_TPC_6_ERROR_EST_MODE);
5f139eba
VT
4730 if (ah->caps.tx_chainmask & BIT(1))
4731 REG_RMW(ah, AR_PHY_TPC_6_B1,
4732 (3 << AR_PHY_TPC_6_ERROR_EST_MODE_S),
4733 AR_PHY_TPC_6_ERROR_EST_MODE);
4734 if (ah->caps.tx_chainmask & BIT(2))
4735 REG_RMW(ah, AR_PHY_TPC_6_B2,
4736 (3 << AR_PHY_TPC_6_ERROR_EST_MODE_S),
4737 AR_PHY_TPC_6_ERROR_EST_MODE);
15c9ee7a
SB
4738
4739 /*
4740 * enable temperature compensation
4741 * Need to use register names
4742 */
2d7caefb
SM
4743 if (frequency < 4000) {
4744 temp_slope = eep->modalHeader2G.tempSlope;
4745 } else {
4746 if (AR_SREV_9550(ah)) {
4747 t[0] = eep->base_ext1.tempslopextension[2];
4748 t1[0] = eep->base_ext1.tempslopextension[3];
4749 t2[0] = eep->base_ext1.tempslopextension[4];
4750 f[0] = 5180;
4751
4752 t[1] = eep->modalHeader5G.tempSlope;
4753 t1[1] = eep->base_ext1.tempslopextension[0];
4754 t2[1] = eep->base_ext1.tempslopextension[1];
4755 f[1] = 5500;
4756
4757 t[2] = eep->base_ext1.tempslopextension[5];
4758 t1[2] = eep->base_ext1.tempslopextension[6];
4759 t2[2] = eep->base_ext1.tempslopextension[7];
4760 f[2] = 5785;
4761
4762 temp_slope = ar9003_hw_power_interpolate(frequency,
4763 f, t, 3);
4764 temp_slope1 = ar9003_hw_power_interpolate(frequency,
4765 f, t1, 3);
4766 temp_slope2 = ar9003_hw_power_interpolate(frequency,
4767 f, t2, 3);
4768
4769 goto tempslope;
420e2b1b 4770 }
15c9ee7a 4771
2d7caefb
SM
4772 if ((eep->baseEepHeader.miscConfiguration & 0x20) != 0) {
4773 for (i = 0; i < 8; i++) {
4774 t[i] = eep->base_ext1.tempslopextension[i];
4775 f[i] = FBIN2FREQ(eep->calFreqPier5G[i], 0);
4776 }
4777 temp_slope = ar9003_hw_power_interpolate((s32) frequency,
4778 f, t, 8);
4779 } else if (eep->base_ext2.tempSlopeLow != 0) {
4780 t[0] = eep->base_ext2.tempSlopeLow;
4781 f[0] = 5180;
4782 t[1] = eep->modalHeader5G.tempSlope;
4783 f[1] = 5500;
4784 t[2] = eep->base_ext2.tempSlopeHigh;
4785 f[2] = 5785;
4786 temp_slope = ar9003_hw_power_interpolate((s32) frequency,
4787 f, t, 3);
4788 } else {
4789 temp_slope = eep->modalHeader5G.tempSlope;
4790 }
4791 }
4792
4793tempslope:
2c323058 4794 if (AR_SREV_9550(ah) || AR_SREV_9531(ah)) {
d65b1278
SM
4795 u8 txmask = (eep->baseEepHeader.txrxMask & 0xf0) >> 4;
4796
2d7caefb
SM
4797 /*
4798 * AR955x has tempSlope register for each chain.
4799 * Check whether temp_compensation feature is enabled or not.
4800 */
4801 if (eep->baseEepHeader.featureEnable & 0x1) {
4802 if (frequency < 4000) {
d65b1278
SM
4803 if (txmask & BIT(0))
4804 REG_RMW_FIELD(ah, AR_PHY_TPC_19,
4805 AR_PHY_TPC_19_ALPHA_THERM,
4806 eep->base_ext2.tempSlopeLow);
4807 if (txmask & BIT(1))
4808 REG_RMW_FIELD(ah, AR_PHY_TPC_19_B1,
4809 AR_PHY_TPC_19_ALPHA_THERM,
4810 temp_slope);
4811 if (txmask & BIT(2))
4812 REG_RMW_FIELD(ah, AR_PHY_TPC_19_B2,
4813 AR_PHY_TPC_19_ALPHA_THERM,
4814 eep->base_ext2.tempSlopeHigh);
2d7caefb 4815 } else {
d65b1278
SM
4816 if (txmask & BIT(0))
4817 REG_RMW_FIELD(ah, AR_PHY_TPC_19,
4818 AR_PHY_TPC_19_ALPHA_THERM,
4819 temp_slope);
4820 if (txmask & BIT(1))
4821 REG_RMW_FIELD(ah, AR_PHY_TPC_19_B1,
4822 AR_PHY_TPC_19_ALPHA_THERM,
4823 temp_slope1);
4824 if (txmask & BIT(2))
4825 REG_RMW_FIELD(ah, AR_PHY_TPC_19_B2,
4826 AR_PHY_TPC_19_ALPHA_THERM,
4827 temp_slope2);
2d7caefb
SM
4828 }
4829 } else {
4830 /*
4831 * If temp compensation is not enabled,
4832 * set all registers to 0.
4833 */
d65b1278
SM
4834 if (txmask & BIT(0))
4835 REG_RMW_FIELD(ah, AR_PHY_TPC_19,
4836 AR_PHY_TPC_19_ALPHA_THERM, 0);
4837 if (txmask & BIT(1))
4838 REG_RMW_FIELD(ah, AR_PHY_TPC_19_B1,
4839 AR_PHY_TPC_19_ALPHA_THERM, 0);
4840 if (txmask & BIT(2))
4841 REG_RMW_FIELD(ah, AR_PHY_TPC_19_B2,
4842 AR_PHY_TPC_19_ALPHA_THERM, 0);
2d7caefb
SM
4843 }
4844 } else {
4845 REG_RMW_FIELD(ah, AR_PHY_TPC_19,
4846 AR_PHY_TPC_19_ALPHA_THERM, temp_slope);
4847 }
2577c6e8 4848
2b5e54e2 4849 if (AR_SREV_9462_20_OR_LATER(ah))
2577c6e8 4850 REG_RMW_FIELD(ah, AR_PHY_TPC_19_B1,
2d7caefb 4851 AR_PHY_TPC_19_B1_ALPHA_THERM, temp_slope);
2577c6e8
SB
4852
4853
15c9ee7a
SB
4854 REG_RMW_FIELD(ah, AR_PHY_TPC_18, AR_PHY_TPC_18_THERM_CAL_VALUE,
4855 temperature[0]);
15c9ee7a
SB
4856}
4857
4858/* Apply the recorded correction values. */
4859static int ar9003_hw_calibration_apply(struct ath_hw *ah, int frequency)
4860{
4861 int ichain, ipier, npier;
4862 int mode;
4863 int lfrequency[AR9300_MAX_CHAINS],
4864 lcorrection[AR9300_MAX_CHAINS],
4865 ltemperature[AR9300_MAX_CHAINS], lvoltage[AR9300_MAX_CHAINS];
4866 int hfrequency[AR9300_MAX_CHAINS],
4867 hcorrection[AR9300_MAX_CHAINS],
4868 htemperature[AR9300_MAX_CHAINS], hvoltage[AR9300_MAX_CHAINS];
4869 int fdiff;
4870 int correction[AR9300_MAX_CHAINS],
4871 voltage[AR9300_MAX_CHAINS], temperature[AR9300_MAX_CHAINS];
4872 int pfrequency, pcorrection, ptemperature, pvoltage;
4873 struct ath_common *common = ath9k_hw_common(ah);
4874
4875 mode = (frequency >= 4000);
4876 if (mode)
4877 npier = AR9300_NUM_5G_CAL_PIERS;
4878 else
4879 npier = AR9300_NUM_2G_CAL_PIERS;
4880
4881 for (ichain = 0; ichain < AR9300_MAX_CHAINS; ichain++) {
4882 lfrequency[ichain] = 0;
4883 hfrequency[ichain] = 100000;
4884 }
4885 /* identify best lower and higher frequency calibration measurement */
4886 for (ichain = 0; ichain < AR9300_MAX_CHAINS; ichain++) {
4887 for (ipier = 0; ipier < npier; ipier++) {
4888 if (!ar9003_hw_cal_pier_get(ah, mode, ipier, ichain,
4889 &pfrequency, &pcorrection,
4890 &ptemperature, &pvoltage)) {
4891 fdiff = frequency - pfrequency;
4892
4893 /*
4894 * this measurement is higher than
4895 * our desired frequency
4896 */
4897 if (fdiff <= 0) {
4898 if (hfrequency[ichain] <= 0 ||
4899 hfrequency[ichain] >= 100000 ||
4900 fdiff >
4901 (frequency - hfrequency[ichain])) {
4902 /*
4903 * new best higher
4904 * frequency measurement
4905 */
4906 hfrequency[ichain] = pfrequency;
4907 hcorrection[ichain] =
4908 pcorrection;
4909 htemperature[ichain] =
4910 ptemperature;
4911 hvoltage[ichain] = pvoltage;
4912 }
4913 }
4914 if (fdiff >= 0) {
4915 if (lfrequency[ichain] <= 0
4916 || fdiff <
4917 (frequency - lfrequency[ichain])) {
4918 /*
4919 * new best lower
4920 * frequency measurement
4921 */
4922 lfrequency[ichain] = pfrequency;
4923 lcorrection[ichain] =
4924 pcorrection;
4925 ltemperature[ichain] =
4926 ptemperature;
4927 lvoltage[ichain] = pvoltage;
4928 }
4929 }
4930 }
4931 }
4932 }
4933
4934 /* interpolate */
4935 for (ichain = 0; ichain < AR9300_MAX_CHAINS; ichain++) {
d2182b69 4936 ath_dbg(common, EEPROM, "ch=%d f=%d low=%d %d h=%d %d\n",
226afe68
JP
4937 ichain, frequency, lfrequency[ichain],
4938 lcorrection[ichain], hfrequency[ichain],
4939 hcorrection[ichain]);
15c9ee7a
SB
4940 /* they're the same, so just pick one */
4941 if (hfrequency[ichain] == lfrequency[ichain]) {
4942 correction[ichain] = lcorrection[ichain];
4943 voltage[ichain] = lvoltage[ichain];
4944 temperature[ichain] = ltemperature[ichain];
4945 }
4946 /* the low frequency is good */
4947 else if (frequency - lfrequency[ichain] < 1000) {
4948 /* so is the high frequency, interpolate */
4949 if (hfrequency[ichain] - frequency < 1000) {
4950
bc206802
VT
4951 correction[ichain] = interpolate(frequency,
4952 lfrequency[ichain],
4953 hfrequency[ichain],
4954 lcorrection[ichain],
4955 hcorrection[ichain]);
4956
4957 temperature[ichain] = interpolate(frequency,
4958 lfrequency[ichain],
4959 hfrequency[ichain],
4960 ltemperature[ichain],
4961 htemperature[ichain]);
4962
4963 voltage[ichain] = interpolate(frequency,
4964 lfrequency[ichain],
4965 hfrequency[ichain],
4966 lvoltage[ichain],
4967 hvoltage[ichain]);
15c9ee7a
SB
4968 }
4969 /* only low is good, use it */
4970 else {
4971 correction[ichain] = lcorrection[ichain];
4972 temperature[ichain] = ltemperature[ichain];
4973 voltage[ichain] = lvoltage[ichain];
4974 }
4975 }
4976 /* only high is good, use it */
4977 else if (hfrequency[ichain] - frequency < 1000) {
4978 correction[ichain] = hcorrection[ichain];
4979 temperature[ichain] = htemperature[ichain];
4980 voltage[ichain] = hvoltage[ichain];
4981 } else { /* nothing is good, presume 0???? */
4982 correction[ichain] = 0;
4983 temperature[ichain] = 0;
4984 voltage[ichain] = 0;
4985 }
4986 }
4987
4988 ar9003_hw_power_control_override(ah, frequency, correction, voltage,
4989 temperature);
4990
d2182b69 4991 ath_dbg(common, EEPROM,
226afe68
JP
4992 "for frequency=%d, calibration correction = %d %d %d\n",
4993 frequency, correction[0], correction[1], correction[2]);
15c9ee7a
SB
4994
4995 return 0;
4996}
4997
824b185a
LR
4998static u16 ar9003_hw_get_direct_edge_power(struct ar9300_eeprom *eep,
4999 int idx,
5000 int edge,
5001 bool is2GHz)
5002{
5003 struct cal_ctl_data_2g *ctl_2g = eep->ctlPowerData_2G;
5004 struct cal_ctl_data_5g *ctl_5g = eep->ctlPowerData_5G;
5005
5006 if (is2GHz)
e702ba18 5007 return CTL_EDGE_TPOWER(ctl_2g[idx].ctlEdges[edge]);
824b185a 5008 else
e702ba18 5009 return CTL_EDGE_TPOWER(ctl_5g[idx].ctlEdges[edge]);
824b185a
LR
5010}
5011
5012static u16 ar9003_hw_get_indirect_edge_power(struct ar9300_eeprom *eep,
5013 int idx,
5014 unsigned int edge,
5015 u16 freq,
5016 bool is2GHz)
5017{
5018 struct cal_ctl_data_2g *ctl_2g = eep->ctlPowerData_2G;
5019 struct cal_ctl_data_5g *ctl_5g = eep->ctlPowerData_5G;
5020
5021 u8 *ctl_freqbin = is2GHz ?
5022 &eep->ctl_freqbin_2G[idx][0] :
5023 &eep->ctl_freqbin_5G[idx][0];
5024
5025 if (is2GHz) {
5026 if (ath9k_hw_fbin2freq(ctl_freqbin[edge - 1], 1) < freq &&
e702ba18
FF
5027 CTL_EDGE_FLAGS(ctl_2g[idx].ctlEdges[edge - 1]))
5028 return CTL_EDGE_TPOWER(ctl_2g[idx].ctlEdges[edge - 1]);
824b185a
LR
5029 } else {
5030 if (ath9k_hw_fbin2freq(ctl_freqbin[edge - 1], 0) < freq &&
e702ba18
FF
5031 CTL_EDGE_FLAGS(ctl_5g[idx].ctlEdges[edge - 1]))
5032 return CTL_EDGE_TPOWER(ctl_5g[idx].ctlEdges[edge - 1]);
824b185a
LR
5033 }
5034
4ddfcd7d 5035 return MAX_RATE_POWER;
824b185a
LR
5036}
5037
5038/*
5039 * Find the maximum conformance test limit for the given channel and CTL info
5040 */
5041static u16 ar9003_hw_get_max_edge_power(struct ar9300_eeprom *eep,
5042 u16 freq, int idx, bool is2GHz)
5043{
4ddfcd7d 5044 u16 twiceMaxEdgePower = MAX_RATE_POWER;
824b185a
LR
5045 u8 *ctl_freqbin = is2GHz ?
5046 &eep->ctl_freqbin_2G[idx][0] :
5047 &eep->ctl_freqbin_5G[idx][0];
5048 u16 num_edges = is2GHz ?
5049 AR9300_NUM_BAND_EDGES_2G : AR9300_NUM_BAND_EDGES_5G;
5050 unsigned int edge;
5051
5052 /* Get the edge power */
5053 for (edge = 0;
4ddfcd7d 5054 (edge < num_edges) && (ctl_freqbin[edge] != AR5416_BCHAN_UNUSED);
824b185a
LR
5055 edge++) {
5056 /*
5057 * If there's an exact channel match or an inband flag set
5058 * on the lower channel use the given rdEdgePower
5059 */
5060 if (freq == ath9k_hw_fbin2freq(ctl_freqbin[edge], is2GHz)) {
5061 twiceMaxEdgePower =
5062 ar9003_hw_get_direct_edge_power(eep, idx,
5063 edge, is2GHz);
5064 break;
5065 } else if ((edge > 0) &&
5066 (freq < ath9k_hw_fbin2freq(ctl_freqbin[edge],
5067 is2GHz))) {
5068 twiceMaxEdgePower =
5069 ar9003_hw_get_indirect_edge_power(eep, idx,
5070 edge, freq,
5071 is2GHz);
5072 /*
5073 * Leave loop - no more affecting edges possible in
5074 * this monotonic increasing list
5075 */
5076 break;
5077 }
5078 }
4cfe9a8d
SM
5079
5080 if (is2GHz && !twiceMaxEdgePower)
5081 twiceMaxEdgePower = 60;
5082
824b185a
LR
5083 return twiceMaxEdgePower;
5084}
5085
5086static void ar9003_hw_set_power_per_rate_table(struct ath_hw *ah,
5087 struct ath9k_channel *chan,
5088 u8 *pPwrArray, u16 cfgCtl,
ca2c68cc 5089 u8 antenna_reduction,
824b185a
LR
5090 u16 powerLimit)
5091{
824b185a
LR
5092 struct ath_common *common = ath9k_hw_common(ah);
5093 struct ar9300_eeprom *pEepData = &ah->eeprom.ar9300_eep;
a261f0e9 5094 u16 twiceMaxEdgePower;
824b185a 5095 int i;
ca2c68cc 5096 u16 scaledPower = 0, minCtlPower;
07b2fa5a 5097 static const u16 ctlModesFor11a[] = {
824b185a
LR
5098 CTL_11A, CTL_5GHT20, CTL_11A_EXT, CTL_5GHT40
5099 };
07b2fa5a 5100 static const u16 ctlModesFor11g[] = {
824b185a
LR
5101 CTL_11B, CTL_11G, CTL_2GHT20, CTL_11B_EXT,
5102 CTL_11G_EXT, CTL_2GHT40
5103 };
07b2fa5a
JP
5104 u16 numCtlModes;
5105 const u16 *pCtlMode;
5106 u16 ctlMode, freq;
824b185a
LR
5107 struct chan_centers centers;
5108 u8 *ctlIndex;
5109 u8 ctlNum;
5110 u16 twiceMinEdgePower;
5111 bool is2ghz = IS_CHAN_2GHZ(chan);
5112
5113 ath9k_hw_get_channel_centers(ah, chan, &centers);
ea6f792b
GJ
5114 scaledPower = ath9k_hw_get_scaled_power(ah, powerLimit,
5115 antenna_reduction);
824b185a 5116
824b185a
LR
5117 if (is2ghz) {
5118 /* Setup for CTL modes */
5119 /* CTL_11B, CTL_11G, CTL_2GHT20 */
5120 numCtlModes =
5121 ARRAY_SIZE(ctlModesFor11g) -
5122 SUB_NUM_CTL_MODES_AT_2G_40;
5123 pCtlMode = ctlModesFor11g;
5124 if (IS_CHAN_HT40(chan))
5125 /* All 2G CTL's */
5126 numCtlModes = ARRAY_SIZE(ctlModesFor11g);
5127 } else {
5128 /* Setup for CTL modes */
5129 /* CTL_11A, CTL_5GHT20 */
5130 numCtlModes = ARRAY_SIZE(ctlModesFor11a) -
5131 SUB_NUM_CTL_MODES_AT_5G_40;
5132 pCtlMode = ctlModesFor11a;
5133 if (IS_CHAN_HT40(chan))
5134 /* All 5G CTL's */
5135 numCtlModes = ARRAY_SIZE(ctlModesFor11a);
5136 }
5137
5138 /*
5139 * For MIMO, need to apply regulatory caps individually across
5140 * dynamically running modes: CCK, OFDM, HT20, HT40
5141 *
5142 * The outer loop walks through each possible applicable runtime mode.
5143 * The inner loop walks through each ctlIndex entry in EEPROM.
5144 * The ctl value is encoded as [7:4] == test group, [3:0] == test mode.
5145 */
5146 for (ctlMode = 0; ctlMode < numCtlModes; ctlMode++) {
5147 bool isHt40CtlMode = (pCtlMode[ctlMode] == CTL_5GHT40) ||
5148 (pCtlMode[ctlMode] == CTL_2GHT40);
5149 if (isHt40CtlMode)
5150 freq = centers.synth_center;
5151 else if (pCtlMode[ctlMode] & EXT_ADDITIVE)
5152 freq = centers.ext_center;
5153 else
5154 freq = centers.ctl_center;
5155
d2182b69 5156 ath_dbg(common, REGULATORY,
226afe68
JP
5157 "LOOP-Mode ctlMode %d < %d, isHt40CtlMode %d, EXT_ADDITIVE %d\n",
5158 ctlMode, numCtlModes, isHt40CtlMode,
5159 (pCtlMode[ctlMode] & EXT_ADDITIVE));
824b185a
LR
5160
5161 /* walk through each CTL index stored in EEPROM */
5162 if (is2ghz) {
5163 ctlIndex = pEepData->ctlIndex_2G;
5164 ctlNum = AR9300_NUM_CTLS_2G;
5165 } else {
5166 ctlIndex = pEepData->ctlIndex_5G;
5167 ctlNum = AR9300_NUM_CTLS_5G;
5168 }
5169
a261f0e9 5170 twiceMaxEdgePower = MAX_RATE_POWER;
824b185a 5171 for (i = 0; (i < ctlNum) && ctlIndex[i]; i++) {
d2182b69 5172 ath_dbg(common, REGULATORY,
226afe68
JP
5173 "LOOP-Ctlidx %d: cfgCtl 0x%2.2x pCtlMode 0x%2.2x ctlIndex 0x%2.2x chan %d\n",
5174 i, cfgCtl, pCtlMode[ctlMode], ctlIndex[i],
5175 chan->channel);
824b185a 5176
2a0b50c7
GJ
5177 /*
5178 * compare test group from regulatory
5179 * channel list with test mode from pCtlMode
5180 * list
5181 */
5182 if ((((cfgCtl & ~CTL_MODE_M) |
5183 (pCtlMode[ctlMode] & CTL_MODE_M)) ==
5184 ctlIndex[i]) ||
5185 (((cfgCtl & ~CTL_MODE_M) |
5186 (pCtlMode[ctlMode] & CTL_MODE_M)) ==
5187 ((ctlIndex[i] & CTL_MODE_M) |
5188 SD_NO_CTL))) {
5189 twiceMinEdgePower =
5190 ar9003_hw_get_max_edge_power(pEepData,
5191 freq, i,
5192 is2ghz);
5193
5194 if ((cfgCtl & ~CTL_MODE_M) == SD_NO_CTL)
5195 /*
5196 * Find the minimum of all CTL
5197 * edge powers that apply to
5198 * this channel
5199 */
5200 twiceMaxEdgePower =
5201 min(twiceMaxEdgePower,
5202 twiceMinEdgePower);
5203 else {
5204 /* specific */
5205 twiceMaxEdgePower = twiceMinEdgePower;
5206 break;
824b185a
LR
5207 }
5208 }
2a0b50c7 5209 }
824b185a 5210
2a0b50c7 5211 minCtlPower = (u8)min(twiceMaxEdgePower, scaledPower);
824b185a 5212
2a0b50c7
GJ
5213 ath_dbg(common, REGULATORY,
5214 "SEL-Min ctlMode %d pCtlMode %d 2xMaxEdge %d sP %d minCtlPwr %d\n",
5215 ctlMode, pCtlMode[ctlMode], twiceMaxEdgePower,
5216 scaledPower, minCtlPower);
5217
5218 /* Apply ctl mode to correct target power set */
5219 switch (pCtlMode[ctlMode]) {
5220 case CTL_11B:
5221 for (i = ALL_TARGET_LEGACY_1L_5L;
5222 i <= ALL_TARGET_LEGACY_11S; i++)
5223 pPwrArray[i] = (u8)min((u16)pPwrArray[i],
5224 minCtlPower);
5225 break;
5226 case CTL_11A:
5227 case CTL_11G:
5228 for (i = ALL_TARGET_LEGACY_6_24;
5229 i <= ALL_TARGET_LEGACY_54; i++)
5230 pPwrArray[i] = (u8)min((u16)pPwrArray[i],
5231 minCtlPower);
5232 break;
5233 case CTL_5GHT20:
5234 case CTL_2GHT20:
5235 for (i = ALL_TARGET_HT20_0_8_16;
e82cb03f 5236 i <= ALL_TARGET_HT20_23; i++) {
2a0b50c7
GJ
5237 pPwrArray[i] = (u8)min((u16)pPwrArray[i],
5238 minCtlPower);
e82cb03f
RM
5239 if (ath9k_hw_mci_is_enabled(ah))
5240 pPwrArray[i] =
5241 (u8)min((u16)pPwrArray[i],
5242 ar9003_mci_get_max_txpower(ah,
5243 pCtlMode[ctlMode]));
5244 }
2a0b50c7
GJ
5245 break;
5246 case CTL_5GHT40:
5247 case CTL_2GHT40:
5248 for (i = ALL_TARGET_HT40_0_8_16;
e82cb03f 5249 i <= ALL_TARGET_HT40_23; i++) {
2a0b50c7
GJ
5250 pPwrArray[i] = (u8)min((u16)pPwrArray[i],
5251 minCtlPower);
e82cb03f
RM
5252 if (ath9k_hw_mci_is_enabled(ah))
5253 pPwrArray[i] =
5254 (u8)min((u16)pPwrArray[i],
5255 ar9003_mci_get_max_txpower(ah,
5256 pCtlMode[ctlMode]));
5257 }
2a0b50c7
GJ
5258 break;
5259 default:
5260 break;
5261 }
824b185a
LR
5262 } /* end ctl mode checking */
5263}
5264
45ef6a0b
VT
5265static inline u8 mcsidx_to_tgtpwridx(unsigned int mcs_idx, u8 base_pwridx)
5266{
5267 u8 mod_idx = mcs_idx % 8;
5268
5269 if (mod_idx <= 3)
5270 return mod_idx ? (base_pwridx + 1) : base_pwridx;
5271 else
5272 return base_pwridx + 4 * (mcs_idx / 8) + mod_idx - 2;
5273}
5274
1562580e
SM
5275static void ar9003_paprd_set_txpower(struct ath_hw *ah,
5276 struct ath9k_channel *chan,
5277 u8 *targetPowerValT2)
5278{
5279 int i;
5280
5281 if (!ar9003_is_paprd_enabled(ah))
5282 return;
5283
5284 if (IS_CHAN_HT40(chan))
5285 i = ALL_TARGET_HT40_7;
5286 else
5287 i = ALL_TARGET_HT20_7;
5288
5289 if (IS_CHAN_2GHZ(chan)) {
5290 if (!AR_SREV_9330(ah) && !AR_SREV_9340(ah) &&
5291 !AR_SREV_9462(ah) && !AR_SREV_9565(ah)) {
5292 if (IS_CHAN_HT40(chan))
5293 i = ALL_TARGET_HT40_0_8_16;
5294 else
5295 i = ALL_TARGET_HT20_0_8_16;
5296 }
5297 }
5298
5299 ah->paprd_target_power = targetPowerValT2[i];
5300}
5301
15c9ee7a
SB
5302static void ath9k_hw_ar9300_set_txpower(struct ath_hw *ah,
5303 struct ath9k_channel *chan, u16 cfgCtl,
5304 u8 twiceAntennaReduction,
de40f316 5305 u8 powerLimit, bool test)
15c9ee7a 5306{
6b7b6cf5 5307 struct ath_regulatory *regulatory = ath9k_hw_regulatory(ah);
824b185a 5308 struct ath_common *common = ath9k_hw_common(ah);
7072bf62 5309 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
f1a8abb0 5310 struct ar9300_modal_eep_header *modal_hdr;
824b185a 5311 u8 targetPowerValT2[ar9300RateSize];
7072bf62
VT
5312 u8 target_power_val_t2_eep[ar9300RateSize];
5313 unsigned int i = 0, paprd_scale_factor = 0;
45ef6a0b 5314 u8 pwr_idx, min_pwridx = 0;
824b185a 5315
75acd5a8
GJ
5316 memset(targetPowerValT2, 0 , sizeof(targetPowerValT2));
5317
5318 /*
5319 * Get target powers from EEPROM - our baseline for TX Power
5320 */
5321 ar9003_hw_get_target_power_eeprom(ah, chan, targetPowerValT2);
7072bf62 5322
0f21ee8d 5323 if (ar9003_is_paprd_enabled(ah)) {
7072bf62 5324 if (IS_CHAN_2GHZ(chan))
f1a8abb0 5325 modal_hdr = &eep->modalHeader2G;
7072bf62 5326 else
f1a8abb0
FF
5327 modal_hdr = &eep->modalHeader5G;
5328
5329 ah->paprd_ratemask =
5330 le32_to_cpu(modal_hdr->papdRateMaskHt20) &
5331 AR9300_PAPRD_RATE_MASK;
5332
5333 ah->paprd_ratemask_ht40 =
5334 le32_to_cpu(modal_hdr->papdRateMaskHt40) &
5335 AR9300_PAPRD_RATE_MASK;
7072bf62 5336
45ef6a0b
VT
5337 paprd_scale_factor = ar9003_get_paprd_scale_factor(ah, chan);
5338 min_pwridx = IS_CHAN_HT40(chan) ? ALL_TARGET_HT40_0_8_16 :
5339 ALL_TARGET_HT20_0_8_16;
5340
5341 if (!ah->paprd_table_write_done) {
5342 memcpy(target_power_val_t2_eep, targetPowerValT2,
5343 sizeof(targetPowerValT2));
5344 for (i = 0; i < 24; i++) {
5345 pwr_idx = mcsidx_to_tgtpwridx(i, min_pwridx);
5346 if (ah->paprd_ratemask & (1 << i)) {
5347 if (targetPowerValT2[pwr_idx] &&
5348 targetPowerValT2[pwr_idx] ==
5349 target_power_val_t2_eep[pwr_idx])
5350 targetPowerValT2[pwr_idx] -=
5351 paprd_scale_factor;
5352 }
5353 }
5354 }
7072bf62
VT
5355 memcpy(target_power_val_t2_eep, targetPowerValT2,
5356 sizeof(targetPowerValT2));
5357 }
5358
824b185a
LR
5359 ar9003_hw_set_power_per_rate_table(ah, chan,
5360 targetPowerValT2, cfgCtl,
5361 twiceAntennaReduction,
824b185a
LR
5362 powerLimit);
5363
0f21ee8d 5364 if (ar9003_is_paprd_enabled(ah)) {
7072bf62
VT
5365 for (i = 0; i < ar9300RateSize; i++) {
5366 if ((ah->paprd_ratemask & (1 << i)) &&
5367 (abs(targetPowerValT2[i] -
5368 target_power_val_t2_eep[i]) >
5369 paprd_scale_factor)) {
5370 ah->paprd_ratemask &= ~(1 << i);
d2182b69 5371 ath_dbg(common, EEPROM,
7072bf62
VT
5372 "paprd disabled for mcs %d\n", i);
5373 }
5374 }
5375 }
5376
de40f316
FF
5377 regulatory->max_power_level = 0;
5378 for (i = 0; i < ar9300RateSize; i++) {
5379 if (targetPowerValT2[i] > regulatory->max_power_level)
5380 regulatory->max_power_level = targetPowerValT2[i];
5381 }
5382
8915f980
RM
5383 ath9k_hw_update_regulatory_maxpower(ah);
5384
de40f316
FF
5385 if (test)
5386 return;
5387
5388 for (i = 0; i < ar9300RateSize; i++) {
df401907 5389 ath_dbg(common, REGULATORY, "TPC[%02d] 0x%08x\n",
d2182b69 5390 i, targetPowerValT2[i]);
824b185a
LR
5391 }
5392
de40f316
FF
5393 /* Write target power array to registers */
5394 ar9003_hw_tx_power_regwrite(ah, targetPowerValT2);
15c9ee7a 5395 ar9003_hw_calibration_apply(ah, chan->channel);
1562580e 5396 ar9003_paprd_set_txpower(ah, chan, targetPowerValT2);
15c9ee7a
SB
5397}
5398
5399static u16 ath9k_hw_ar9300_get_spur_channel(struct ath_hw *ah,
5400 u16 i, bool is2GHz)
5401{
5402 return AR_NO_SPUR;
5403}
5404
c14a85da
LR
5405s32 ar9003_hw_get_tx_gain_idx(struct ath_hw *ah)
5406{
5407 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
5408
5409 return (eep->baseEepHeader.txrxgain >> 4) & 0xf; /* bits 7:4 */
5410}
5411
5412s32 ar9003_hw_get_rx_gain_idx(struct ath_hw *ah)
5413{
5414 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
5415
5416 return (eep->baseEepHeader.txrxgain) & 0xf; /* bits 3:0 */
5417}
5418
0aefc591 5419u8 *ar9003_get_spur_chan_ptr(struct ath_hw *ah, bool is2ghz)
272ceba8 5420{
0aefc591 5421 return ar9003_modal_header(ah, is2ghz)->spurChans;
272ceba8
VT
5422}
5423
8698bca6
VT
5424unsigned int ar9003_get_paprd_scale_factor(struct ath_hw *ah,
5425 struct ath9k_channel *chan)
5426{
5427 struct ar9300_eeprom *eep = &ah->eeprom.ar9300_eep;
5428
5429 if (IS_CHAN_2GHZ(chan))
5430 return MS(le32_to_cpu(eep->modalHeader2G.papdRateMaskHt20),
5431 AR9300_PAPRD_SCALE_1);
5432 else {
5433 if (chan->channel >= 5700)
5434 return MS(le32_to_cpu(eep->modalHeader5G.papdRateMaskHt20),
5435 AR9300_PAPRD_SCALE_1);
5436 else if (chan->channel >= 5400)
5437 return MS(le32_to_cpu(eep->modalHeader5G.papdRateMaskHt40),
5438 AR9300_PAPRD_SCALE_2);
5439 else
5440 return MS(le32_to_cpu(eep->modalHeader5G.papdRateMaskHt40),
5441 AR9300_PAPRD_SCALE_1);
5442 }
5443}
5444
15c9ee7a
SB
5445const struct eeprom_ops eep_ar9300_ops = {
5446 .check_eeprom = ath9k_hw_ar9300_check_eeprom,
5447 .get_eeprom = ath9k_hw_ar9300_get_eeprom,
5448 .fill_eeprom = ath9k_hw_ar9300_fill_eeprom,
26526202 5449 .dump_eeprom = ath9k_hw_ar9003_dump_eeprom,
15c9ee7a
SB
5450 .get_eeprom_ver = ath9k_hw_ar9300_get_eeprom_ver,
5451 .get_eeprom_rev = ath9k_hw_ar9300_get_eeprom_rev,
15c9ee7a
SB
5452 .set_board_values = ath9k_hw_ar9300_set_board_values,
5453 .set_addac = ath9k_hw_ar9300_set_addac,
5454 .set_txpower = ath9k_hw_ar9300_set_txpower,
5455 .get_spur_channel = ath9k_hw_ar9300_get_spur_channel
5456};
This page took 3.331139 seconds and 5 git commands to generate.