spi: s3c64xx: use error code from clk_prepare_enable()
[deliverable/linux.git] / drivers / spi / spi-s3c64xx.c
CommitLineData
ca632f55 1/*
230d42d4
JB
2 * Copyright (C) 2009 Samsung Electronics Ltd.
3 * Jaswinder Singh <jassi.brar@samsung.com>
4 *
5 * This program is free software; you can redistribute it and/or modify
6 * it under the terms of the GNU General Public License as published by
7 * the Free Software Foundation; either version 2 of the License, or
8 * (at your option) any later version.
9 *
10 * This program is distributed in the hope that it will be useful,
11 * but WITHOUT ANY WARRANTY; without even the implied warranty of
12 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 * GNU General Public License for more details.
230d42d4
JB
14 */
15
16#include <linux/init.h>
17#include <linux/module.h>
c2573128 18#include <linux/interrupt.h>
230d42d4
JB
19#include <linux/delay.h>
20#include <linux/clk.h>
21#include <linux/dma-mapping.h>
78843727 22#include <linux/dmaengine.h>
230d42d4 23#include <linux/platform_device.h>
b97b6621 24#include <linux/pm_runtime.h>
230d42d4 25#include <linux/spi/spi.h>
1c20c200 26#include <linux/gpio.h>
2b908075
TA
27#include <linux/of.h>
28#include <linux/of_gpio.h>
230d42d4 29
436d42c6 30#include <linux/platform_data/spi-s3c64xx.h>
230d42d4 31
bf77cba9 32#define MAX_SPI_PORTS 6
7e995556 33#define S3C64XX_SPI_QUIRK_POLL (1 << 0)
bf77cba9 34#define S3C64XX_SPI_QUIRK_CS_AUTO (1 << 1)
483867ee 35#define AUTOSUSPEND_TIMEOUT 2000
a5238e36 36
230d42d4
JB
37/* Registers and bit-fields */
38
39#define S3C64XX_SPI_CH_CFG 0x00
40#define S3C64XX_SPI_CLK_CFG 0x04
41#define S3C64XX_SPI_MODE_CFG 0x08
42#define S3C64XX_SPI_SLAVE_SEL 0x0C
43#define S3C64XX_SPI_INT_EN 0x10
44#define S3C64XX_SPI_STATUS 0x14
45#define S3C64XX_SPI_TX_DATA 0x18
46#define S3C64XX_SPI_RX_DATA 0x1C
47#define S3C64XX_SPI_PACKET_CNT 0x20
48#define S3C64XX_SPI_PENDING_CLR 0x24
49#define S3C64XX_SPI_SWAP_CFG 0x28
50#define S3C64XX_SPI_FB_CLK 0x2C
51
52#define S3C64XX_SPI_CH_HS_EN (1<<6) /* High Speed Enable */
53#define S3C64XX_SPI_CH_SW_RST (1<<5)
54#define S3C64XX_SPI_CH_SLAVE (1<<4)
55#define S3C64XX_SPI_CPOL_L (1<<3)
56#define S3C64XX_SPI_CPHA_B (1<<2)
57#define S3C64XX_SPI_CH_RXCH_ON (1<<1)
58#define S3C64XX_SPI_CH_TXCH_ON (1<<0)
59
60#define S3C64XX_SPI_CLKSEL_SRCMSK (3<<9)
61#define S3C64XX_SPI_CLKSEL_SRCSHFT 9
62#define S3C64XX_SPI_ENCLK_ENABLE (1<<8)
75bf3361 63#define S3C64XX_SPI_PSR_MASK 0xff
230d42d4
JB
64
65#define S3C64XX_SPI_MODE_CH_TSZ_BYTE (0<<29)
66#define S3C64XX_SPI_MODE_CH_TSZ_HALFWORD (1<<29)
67#define S3C64XX_SPI_MODE_CH_TSZ_WORD (2<<29)
68#define S3C64XX_SPI_MODE_CH_TSZ_MASK (3<<29)
69#define S3C64XX_SPI_MODE_BUS_TSZ_BYTE (0<<17)
70#define S3C64XX_SPI_MODE_BUS_TSZ_HALFWORD (1<<17)
71#define S3C64XX_SPI_MODE_BUS_TSZ_WORD (2<<17)
72#define S3C64XX_SPI_MODE_BUS_TSZ_MASK (3<<17)
73#define S3C64XX_SPI_MODE_RXDMA_ON (1<<2)
74#define S3C64XX_SPI_MODE_TXDMA_ON (1<<1)
75#define S3C64XX_SPI_MODE_4BURST (1<<0)
76
77#define S3C64XX_SPI_SLAVE_AUTO (1<<1)
78#define S3C64XX_SPI_SLAVE_SIG_INACT (1<<0)
bf77cba9 79#define S3C64XX_SPI_SLAVE_NSC_CNT_2 (2<<4)
230d42d4 80
230d42d4
JB
81#define S3C64XX_SPI_INT_TRAILING_EN (1<<6)
82#define S3C64XX_SPI_INT_RX_OVERRUN_EN (1<<5)
83#define S3C64XX_SPI_INT_RX_UNDERRUN_EN (1<<4)
84#define S3C64XX_SPI_INT_TX_OVERRUN_EN (1<<3)
85#define S3C64XX_SPI_INT_TX_UNDERRUN_EN (1<<2)
86#define S3C64XX_SPI_INT_RX_FIFORDY_EN (1<<1)
87#define S3C64XX_SPI_INT_TX_FIFORDY_EN (1<<0)
88
89#define S3C64XX_SPI_ST_RX_OVERRUN_ERR (1<<5)
90#define S3C64XX_SPI_ST_RX_UNDERRUN_ERR (1<<4)
91#define S3C64XX_SPI_ST_TX_OVERRUN_ERR (1<<3)
92#define S3C64XX_SPI_ST_TX_UNDERRUN_ERR (1<<2)
93#define S3C64XX_SPI_ST_RX_FIFORDY (1<<1)
94#define S3C64XX_SPI_ST_TX_FIFORDY (1<<0)
95
96#define S3C64XX_SPI_PACKET_CNT_EN (1<<16)
97
98#define S3C64XX_SPI_PND_TX_UNDERRUN_CLR (1<<4)
99#define S3C64XX_SPI_PND_TX_OVERRUN_CLR (1<<3)
100#define S3C64XX_SPI_PND_RX_UNDERRUN_CLR (1<<2)
101#define S3C64XX_SPI_PND_RX_OVERRUN_CLR (1<<1)
102#define S3C64XX_SPI_PND_TRAILING_CLR (1<<0)
103
104#define S3C64XX_SPI_SWAP_RX_HALF_WORD (1<<7)
105#define S3C64XX_SPI_SWAP_RX_BYTE (1<<6)
106#define S3C64XX_SPI_SWAP_RX_BIT (1<<5)
107#define S3C64XX_SPI_SWAP_RX_EN (1<<4)
108#define S3C64XX_SPI_SWAP_TX_HALF_WORD (1<<3)
109#define S3C64XX_SPI_SWAP_TX_BYTE (1<<2)
110#define S3C64XX_SPI_SWAP_TX_BIT (1<<1)
111#define S3C64XX_SPI_SWAP_TX_EN (1<<0)
112
113#define S3C64XX_SPI_FBCLK_MSK (3<<0)
114
a5238e36
TA
115#define FIFO_LVL_MASK(i) ((i)->port_conf->fifo_lvl_mask[i->port_id])
116#define S3C64XX_SPI_ST_TX_DONE(v, i) (((v) & \
117 (1 << (i)->port_conf->tx_st_done)) ? 1 : 0)
118#define TX_FIFO_LVL(v, i) (((v) >> 6) & FIFO_LVL_MASK(i))
119#define RX_FIFO_LVL(v, i) (((v) >> (i)->port_conf->rx_lvl_offset) & \
120 FIFO_LVL_MASK(i))
230d42d4
JB
121
122#define S3C64XX_SPI_MAX_TRAILCNT 0x3ff
123#define S3C64XX_SPI_TRAILCNT_OFF 19
124
125#define S3C64XX_SPI_TRAILCNT S3C64XX_SPI_MAX_TRAILCNT
126
127#define msecs_to_loops(t) (loops_per_jiffy / 1000 * HZ * t)
7e995556 128#define is_polling(x) (x->port_conf->quirks & S3C64XX_SPI_QUIRK_POLL)
230d42d4 129
230d42d4
JB
130#define RXBUSY (1<<2)
131#define TXBUSY (1<<3)
132
82ab8cd7 133struct s3c64xx_spi_dma_data {
78843727 134 struct dma_chan *ch;
c10356b9 135 enum dma_transfer_direction direction;
82ab8cd7
BK
136};
137
a5238e36
TA
138/**
139 * struct s3c64xx_spi_info - SPI Controller hardware info
140 * @fifo_lvl_mask: Bit-mask for {TX|RX}_FIFO_LVL bits in SPI_STATUS register.
141 * @rx_lvl_offset: Bit offset of RX_FIFO_LVL bits in SPI_STATUS regiter.
142 * @tx_st_done: Bit offset of TX_DONE bit in SPI_STATUS regiter.
143 * @high_speed: True, if the controller supports HIGH_SPEED_EN bit.
144 * @clk_from_cmu: True, if the controller does not include a clock mux and
145 * prescaler unit.
146 *
147 * The Samsung s3c64xx SPI controller are used on various Samsung SoC's but
148 * differ in some aspects such as the size of the fifo and spi bus clock
149 * setup. Such differences are specified to the driver using this structure
150 * which is provided as driver data to the driver.
151 */
152struct s3c64xx_spi_port_config {
153 int fifo_lvl_mask[MAX_SPI_PORTS];
154 int rx_lvl_offset;
155 int tx_st_done;
7e995556 156 int quirks;
a5238e36
TA
157 bool high_speed;
158 bool clk_from_cmu;
159};
160
230d42d4
JB
161/**
162 * struct s3c64xx_spi_driver_data - Runtime info holder for SPI driver.
163 * @clk: Pointer to the spi clock.
b0d5d6e5 164 * @src_clk: Pointer to the clock used to generate SPI signals.
230d42d4 165 * @master: Pointer to the SPI Protocol master.
230d42d4
JB
166 * @cntrlr_info: Platform specific data for the controller this driver manages.
167 * @tgl_spi: Pointer to the last CS left untoggled by the cs_change hint.
230d42d4
JB
168 * @lock: Controller specific lock.
169 * @state: Set of FLAGS to indicate status.
170 * @rx_dmach: Controller's DMA channel for Rx.
171 * @tx_dmach: Controller's DMA channel for Tx.
172 * @sfr_start: BUS address of SPI controller regs.
173 * @regs: Pointer to ioremap'ed controller registers.
c2573128 174 * @irq: interrupt
230d42d4
JB
175 * @xfer_completion: To indicate completion of xfer task.
176 * @cur_mode: Stores the active configuration of the controller.
177 * @cur_bpw: Stores the active bits per word settings.
178 * @cur_speed: Stores the active xfer clock speed.
179 */
180struct s3c64xx_spi_driver_data {
181 void __iomem *regs;
182 struct clk *clk;
b0d5d6e5 183 struct clk *src_clk;
230d42d4
JB
184 struct platform_device *pdev;
185 struct spi_master *master;
ad7de729 186 struct s3c64xx_spi_info *cntrlr_info;
230d42d4 187 struct spi_device *tgl_spi;
230d42d4 188 spinlock_t lock;
230d42d4
JB
189 unsigned long sfr_start;
190 struct completion xfer_completion;
191 unsigned state;
192 unsigned cur_mode, cur_bpw;
193 unsigned cur_speed;
82ab8cd7
BK
194 struct s3c64xx_spi_dma_data rx_dma;
195 struct s3c64xx_spi_dma_data tx_dma;
a5238e36
TA
196 struct s3c64xx_spi_port_config *port_conf;
197 unsigned int port_id;
230d42d4
JB
198};
199
230d42d4
JB
200static void flush_fifo(struct s3c64xx_spi_driver_data *sdd)
201{
230d42d4
JB
202 void __iomem *regs = sdd->regs;
203 unsigned long loops;
204 u32 val;
205
206 writel(0, regs + S3C64XX_SPI_PACKET_CNT);
207
7d859ff4
KK
208 val = readl(regs + S3C64XX_SPI_CH_CFG);
209 val &= ~(S3C64XX_SPI_CH_RXCH_ON | S3C64XX_SPI_CH_TXCH_ON);
210 writel(val, regs + S3C64XX_SPI_CH_CFG);
211
230d42d4
JB
212 val = readl(regs + S3C64XX_SPI_CH_CFG);
213 val |= S3C64XX_SPI_CH_SW_RST;
214 val &= ~S3C64XX_SPI_CH_HS_EN;
215 writel(val, regs + S3C64XX_SPI_CH_CFG);
216
217 /* Flush TxFIFO*/
218 loops = msecs_to_loops(1);
219 do {
220 val = readl(regs + S3C64XX_SPI_STATUS);
a5238e36 221 } while (TX_FIFO_LVL(val, sdd) && loops--);
230d42d4 222
be7852a8
MB
223 if (loops == 0)
224 dev_warn(&sdd->pdev->dev, "Timed out flushing TX FIFO\n");
225
230d42d4
JB
226 /* Flush RxFIFO*/
227 loops = msecs_to_loops(1);
228 do {
229 val = readl(regs + S3C64XX_SPI_STATUS);
a5238e36 230 if (RX_FIFO_LVL(val, sdd))
230d42d4
JB
231 readl(regs + S3C64XX_SPI_RX_DATA);
232 else
233 break;
234 } while (loops--);
235
be7852a8
MB
236 if (loops == 0)
237 dev_warn(&sdd->pdev->dev, "Timed out flushing RX FIFO\n");
238
230d42d4
JB
239 val = readl(regs + S3C64XX_SPI_CH_CFG);
240 val &= ~S3C64XX_SPI_CH_SW_RST;
241 writel(val, regs + S3C64XX_SPI_CH_CFG);
242
243 val = readl(regs + S3C64XX_SPI_MODE_CFG);
244 val &= ~(S3C64XX_SPI_MODE_TXDMA_ON | S3C64XX_SPI_MODE_RXDMA_ON);
245 writel(val, regs + S3C64XX_SPI_MODE_CFG);
230d42d4
JB
246}
247
82ab8cd7 248static void s3c64xx_spi_dmacb(void *data)
39d3e807 249{
82ab8cd7
BK
250 struct s3c64xx_spi_driver_data *sdd;
251 struct s3c64xx_spi_dma_data *dma = data;
39d3e807
BK
252 unsigned long flags;
253
054ebcc4 254 if (dma->direction == DMA_DEV_TO_MEM)
82ab8cd7
BK
255 sdd = container_of(data,
256 struct s3c64xx_spi_driver_data, rx_dma);
257 else
258 sdd = container_of(data,
259 struct s3c64xx_spi_driver_data, tx_dma);
260
39d3e807
BK
261 spin_lock_irqsave(&sdd->lock, flags);
262
054ebcc4 263 if (dma->direction == DMA_DEV_TO_MEM) {
82ab8cd7
BK
264 sdd->state &= ~RXBUSY;
265 if (!(sdd->state & TXBUSY))
266 complete(&sdd->xfer_completion);
267 } else {
268 sdd->state &= ~TXBUSY;
269 if (!(sdd->state & RXBUSY))
270 complete(&sdd->xfer_completion);
271 }
39d3e807
BK
272
273 spin_unlock_irqrestore(&sdd->lock, flags);
274}
275
78843727 276static void prepare_dma(struct s3c64xx_spi_dma_data *dma,
6ad45a27 277 struct sg_table *sgt)
78843727
AB
278{
279 struct s3c64xx_spi_driver_data *sdd;
280 struct dma_slave_config config;
78843727
AB
281 struct dma_async_tx_descriptor *desc;
282
b1a8e78d
TF
283 memset(&config, 0, sizeof(config));
284
78843727
AB
285 if (dma->direction == DMA_DEV_TO_MEM) {
286 sdd = container_of((void *)dma,
287 struct s3c64xx_spi_driver_data, rx_dma);
288 config.direction = dma->direction;
289 config.src_addr = sdd->sfr_start + S3C64XX_SPI_RX_DATA;
290 config.src_addr_width = sdd->cur_bpw / 8;
291 config.src_maxburst = 1;
292 dmaengine_slave_config(dma->ch, &config);
293 } else {
294 sdd = container_of((void *)dma,
295 struct s3c64xx_spi_driver_data, tx_dma);
296 config.direction = dma->direction;
297 config.dst_addr = sdd->sfr_start + S3C64XX_SPI_TX_DATA;
298 config.dst_addr_width = sdd->cur_bpw / 8;
299 config.dst_maxburst = 1;
300 dmaengine_slave_config(dma->ch, &config);
301 }
302
6ad45a27
MB
303 desc = dmaengine_prep_slave_sg(dma->ch, sgt->sgl, sgt->nents,
304 dma->direction, DMA_PREP_INTERRUPT);
78843727
AB
305
306 desc->callback = s3c64xx_spi_dmacb;
307 desc->callback_param = dma;
308
309 dmaengine_submit(desc);
310 dma_async_issue_pending(dma->ch);
311}
312
aa4964c4
AS
313static void s3c64xx_spi_set_cs(struct spi_device *spi, bool enable)
314{
315 struct s3c64xx_spi_driver_data *sdd =
316 spi_master_get_devdata(spi->master);
317
a92e7c3d
AS
318 if (sdd->cntrlr_info->no_cs)
319 return;
320
aa4964c4
AS
321 if (enable) {
322 if (!(sdd->port_conf->quirks & S3C64XX_SPI_QUIRK_CS_AUTO)) {
323 writel(0, sdd->regs + S3C64XX_SPI_SLAVE_SEL);
324 } else {
325 u32 ssel = readl(sdd->regs + S3C64XX_SPI_SLAVE_SEL);
326
327 ssel |= (S3C64XX_SPI_SLAVE_AUTO |
328 S3C64XX_SPI_SLAVE_NSC_CNT_2);
329 writel(ssel, sdd->regs + S3C64XX_SPI_SLAVE_SEL);
330 }
331 } else {
332 if (!(sdd->port_conf->quirks & S3C64XX_SPI_QUIRK_CS_AUTO))
47c169ee
DC
333 writel(S3C64XX_SPI_SLAVE_SIG_INACT,
334 sdd->regs + S3C64XX_SPI_SLAVE_SEL);
aa4964c4
AS
335 }
336}
337
78843727
AB
338static int s3c64xx_spi_prepare_transfer(struct spi_master *spi)
339{
340 struct s3c64xx_spi_driver_data *sdd = spi_master_get_devdata(spi);
341 dma_filter_fn filter = sdd->cntrlr_info->filter;
342 struct device *dev = &sdd->pdev->dev;
343 dma_cap_mask_t mask;
344
730d9d4d
AS
345 if (is_polling(sdd))
346 return 0;
347
348 dma_cap_zero(mask);
349 dma_cap_set(DMA_SLAVE, mask);
350
351 /* Acquire DMA channels */
352 sdd->rx_dma.ch = dma_request_slave_channel_compat(mask, filter,
353 sdd->cntrlr_info->dma_rx, dev, "rx");
354 if (!sdd->rx_dma.ch) {
355 dev_err(dev, "Failed to get RX DMA channel\n");
356 return -EBUSY;
fb9d044e 357 }
730d9d4d 358 spi->dma_rx = sdd->rx_dma.ch;
fb9d044e 359
730d9d4d
AS
360 sdd->tx_dma.ch = dma_request_slave_channel_compat(mask, filter,
361 sdd->cntrlr_info->dma_tx, dev, "tx");
362 if (!sdd->tx_dma.ch) {
363 dev_err(dev, "Failed to get TX DMA channel\n");
364 dma_release_channel(sdd->rx_dma.ch);
365 return -EBUSY;
366 }
367 spi->dma_tx = sdd->tx_dma.ch;
fb9d044e 368
730d9d4d 369 return 0;
78843727
AB
370}
371
372static int s3c64xx_spi_unprepare_transfer(struct spi_master *spi)
373{
374 struct s3c64xx_spi_driver_data *sdd = spi_master_get_devdata(spi);
375
376 /* Free DMA channels */
7e995556
G
377 if (!is_polling(sdd)) {
378 dma_release_channel(sdd->rx_dma.ch);
379 dma_release_channel(sdd->tx_dma.ch);
380 }
78843727 381
78843727
AB
382 return 0;
383}
384
3f295887
MB
385static bool s3c64xx_spi_can_dma(struct spi_master *master,
386 struct spi_device *spi,
387 struct spi_transfer *xfer)
388{
389 struct s3c64xx_spi_driver_data *sdd = spi_master_get_devdata(master);
390
391 return xfer->len > (FIFO_LVL_MASK(sdd) >> 1) + 1;
392}
393
230d42d4
JB
394static void enable_datapath(struct s3c64xx_spi_driver_data *sdd,
395 struct spi_device *spi,
396 struct spi_transfer *xfer, int dma_mode)
397{
230d42d4
JB
398 void __iomem *regs = sdd->regs;
399 u32 modecfg, chcfg;
400
401 modecfg = readl(regs + S3C64XX_SPI_MODE_CFG);
402 modecfg &= ~(S3C64XX_SPI_MODE_TXDMA_ON | S3C64XX_SPI_MODE_RXDMA_ON);
403
404 chcfg = readl(regs + S3C64XX_SPI_CH_CFG);
405 chcfg &= ~S3C64XX_SPI_CH_TXCH_ON;
406
407 if (dma_mode) {
408 chcfg &= ~S3C64XX_SPI_CH_RXCH_ON;
409 } else {
410 /* Always shift in data in FIFO, even if xfer is Tx only,
411 * this helps setting PCKT_CNT value for generating clocks
412 * as exactly needed.
413 */
414 chcfg |= S3C64XX_SPI_CH_RXCH_ON;
415 writel(((xfer->len * 8 / sdd->cur_bpw) & 0xffff)
416 | S3C64XX_SPI_PACKET_CNT_EN,
417 regs + S3C64XX_SPI_PACKET_CNT);
418 }
419
420 if (xfer->tx_buf != NULL) {
421 sdd->state |= TXBUSY;
422 chcfg |= S3C64XX_SPI_CH_TXCH_ON;
423 if (dma_mode) {
424 modecfg |= S3C64XX_SPI_MODE_TXDMA_ON;
6ad45a27 425 prepare_dma(&sdd->tx_dma, &xfer->tx_sg);
230d42d4 426 } else {
0c92ecf1
JB
427 switch (sdd->cur_bpw) {
428 case 32:
429 iowrite32_rep(regs + S3C64XX_SPI_TX_DATA,
430 xfer->tx_buf, xfer->len / 4);
431 break;
432 case 16:
433 iowrite16_rep(regs + S3C64XX_SPI_TX_DATA,
434 xfer->tx_buf, xfer->len / 2);
435 break;
436 default:
437 iowrite8_rep(regs + S3C64XX_SPI_TX_DATA,
438 xfer->tx_buf, xfer->len);
439 break;
440 }
230d42d4
JB
441 }
442 }
443
444 if (xfer->rx_buf != NULL) {
445 sdd->state |= RXBUSY;
446
a5238e36 447 if (sdd->port_conf->high_speed && sdd->cur_speed >= 30000000UL
230d42d4
JB
448 && !(sdd->cur_mode & SPI_CPHA))
449 chcfg |= S3C64XX_SPI_CH_HS_EN;
450
451 if (dma_mode) {
452 modecfg |= S3C64XX_SPI_MODE_RXDMA_ON;
453 chcfg |= S3C64XX_SPI_CH_RXCH_ON;
454 writel(((xfer->len * 8 / sdd->cur_bpw) & 0xffff)
455 | S3C64XX_SPI_PACKET_CNT_EN,
456 regs + S3C64XX_SPI_PACKET_CNT);
6ad45a27 457 prepare_dma(&sdd->rx_dma, &xfer->rx_sg);
230d42d4
JB
458 }
459 }
460
461 writel(modecfg, regs + S3C64XX_SPI_MODE_CFG);
462 writel(chcfg, regs + S3C64XX_SPI_CH_CFG);
463}
464
79617073 465static u32 s3c64xx_spi_wait_for_timeout(struct s3c64xx_spi_driver_data *sdd,
7e995556
G
466 int timeout_ms)
467{
468 void __iomem *regs = sdd->regs;
469 unsigned long val = 1;
470 u32 status;
471
472 /* max fifo depth available */
473 u32 max_fifo = (FIFO_LVL_MASK(sdd) >> 1) + 1;
474
475 if (timeout_ms)
476 val = msecs_to_loops(timeout_ms);
477
478 do {
479 status = readl(regs + S3C64XX_SPI_STATUS);
480 } while (RX_FIFO_LVL(status, sdd) < max_fifo && --val);
481
482 /* return the actual received data length */
483 return RX_FIFO_LVL(status, sdd);
230d42d4
JB
484}
485
3700c6eb
MB
486static int wait_for_dma(struct s3c64xx_spi_driver_data *sdd,
487 struct spi_transfer *xfer)
230d42d4 488{
230d42d4
JB
489 void __iomem *regs = sdd->regs;
490 unsigned long val;
3700c6eb 491 u32 status;
230d42d4
JB
492 int ms;
493
494 /* millisecs to xfer 'len' bytes @ 'cur_speed' */
495 ms = xfer->len * 8 * 1000 / sdd->cur_speed;
9d8f86b5 496 ms += 10; /* some tolerance */
230d42d4 497
3700c6eb
MB
498 val = msecs_to_jiffies(ms) + 10;
499 val = wait_for_completion_timeout(&sdd->xfer_completion, val);
500
501 /*
502 * If the previous xfer was completed within timeout, then
503 * proceed further else return -EIO.
504 * DmaTx returns after simply writing data in the FIFO,
505 * w/o waiting for real transmission on the bus to finish.
506 * DmaRx returns only after Dma read data from FIFO which
507 * needs bus transmission to finish, so we don't worry if
508 * Xfer involved Rx(with or without Tx).
509 */
510 if (val && !xfer->rx_buf) {
511 val = msecs_to_loops(10);
512 status = readl(regs + S3C64XX_SPI_STATUS);
513 while ((TX_FIFO_LVL(status, sdd)
514 || !S3C64XX_SPI_ST_TX_DONE(status, sdd))
515 && --val) {
516 cpu_relax();
c3f139b6 517 status = readl(regs + S3C64XX_SPI_STATUS);
3700c6eb
MB
518 }
519
230d42d4
JB
520 }
521
3700c6eb
MB
522 /* If timed out while checking rx/tx status return error */
523 if (!val)
524 return -EIO;
230d42d4 525
3700c6eb
MB
526 return 0;
527}
7e995556 528
3700c6eb
MB
529static int wait_for_pio(struct s3c64xx_spi_driver_data *sdd,
530 struct spi_transfer *xfer)
531{
532 void __iomem *regs = sdd->regs;
533 unsigned long val;
534 u32 status;
535 int loops;
536 u32 cpy_len;
537 u8 *buf;
538 int ms;
230d42d4 539
3700c6eb
MB
540 /* millisecs to xfer 'len' bytes @ 'cur_speed' */
541 ms = xfer->len * 8 * 1000 / sdd->cur_speed;
542 ms += 10; /* some tolerance */
7e995556 543
3700c6eb
MB
544 val = msecs_to_loops(ms);
545 do {
546 status = readl(regs + S3C64XX_SPI_STATUS);
547 } while (RX_FIFO_LVL(status, sdd) < xfer->len && --val);
7e995556 548
3700c6eb
MB
549
550 /* If it was only Tx */
551 if (!xfer->rx_buf) {
552 sdd->state &= ~TXBUSY;
553 return 0;
230d42d4
JB
554 }
555
3700c6eb
MB
556 /*
557 * If the receive length is bigger than the controller fifo
558 * size, calculate the loops and read the fifo as many times.
559 * loops = length / max fifo size (calculated by using the
560 * fifo mask).
561 * For any size less than the fifo size the below code is
562 * executed atleast once.
563 */
564 loops = xfer->len / ((FIFO_LVL_MASK(sdd) >> 1) + 1);
565 buf = xfer->rx_buf;
566 do {
567 /* wait for data to be received in the fifo */
568 cpy_len = s3c64xx_spi_wait_for_timeout(sdd,
569 (loops ? ms : 0));
570
571 switch (sdd->cur_bpw) {
572 case 32:
573 ioread32_rep(regs + S3C64XX_SPI_RX_DATA,
574 buf, cpy_len / 4);
575 break;
576 case 16:
577 ioread16_rep(regs + S3C64XX_SPI_RX_DATA,
578 buf, cpy_len / 2);
579 break;
580 default:
581 ioread8_rep(regs + S3C64XX_SPI_RX_DATA,
582 buf, cpy_len);
583 break;
584 }
585
586 buf = buf + cpy_len;
587 } while (loops--);
588 sdd->state &= ~RXBUSY;
589
230d42d4
JB
590 return 0;
591}
592
230d42d4
JB
593static void s3c64xx_spi_config(struct s3c64xx_spi_driver_data *sdd)
594{
230d42d4
JB
595 void __iomem *regs = sdd->regs;
596 u32 val;
597
598 /* Disable Clock */
d9aaf1dc 599 if (!sdd->port_conf->clk_from_cmu) {
b42a81ca
JB
600 val = readl(regs + S3C64XX_SPI_CLK_CFG);
601 val &= ~S3C64XX_SPI_ENCLK_ENABLE;
602 writel(val, regs + S3C64XX_SPI_CLK_CFG);
603 }
230d42d4
JB
604
605 /* Set Polarity and Phase */
606 val = readl(regs + S3C64XX_SPI_CH_CFG);
607 val &= ~(S3C64XX_SPI_CH_SLAVE |
608 S3C64XX_SPI_CPOL_L |
609 S3C64XX_SPI_CPHA_B);
610
611 if (sdd->cur_mode & SPI_CPOL)
612 val |= S3C64XX_SPI_CPOL_L;
613
614 if (sdd->cur_mode & SPI_CPHA)
615 val |= S3C64XX_SPI_CPHA_B;
616
617 writel(val, regs + S3C64XX_SPI_CH_CFG);
618
619 /* Set Channel & DMA Mode */
620 val = readl(regs + S3C64XX_SPI_MODE_CFG);
621 val &= ~(S3C64XX_SPI_MODE_BUS_TSZ_MASK
622 | S3C64XX_SPI_MODE_CH_TSZ_MASK);
623
624 switch (sdd->cur_bpw) {
625 case 32:
626 val |= S3C64XX_SPI_MODE_BUS_TSZ_WORD;
0c92ecf1 627 val |= S3C64XX_SPI_MODE_CH_TSZ_WORD;
230d42d4
JB
628 break;
629 case 16:
630 val |= S3C64XX_SPI_MODE_BUS_TSZ_HALFWORD;
0c92ecf1 631 val |= S3C64XX_SPI_MODE_CH_TSZ_HALFWORD;
230d42d4
JB
632 break;
633 default:
634 val |= S3C64XX_SPI_MODE_BUS_TSZ_BYTE;
0c92ecf1 635 val |= S3C64XX_SPI_MODE_CH_TSZ_BYTE;
230d42d4
JB
636 break;
637 }
230d42d4
JB
638
639 writel(val, regs + S3C64XX_SPI_MODE_CFG);
640
a5238e36 641 if (sdd->port_conf->clk_from_cmu) {
b42a81ca 642 clk_set_rate(sdd->src_clk, sdd->cur_speed * 2);
b42a81ca
JB
643 } else {
644 /* Configure Clock */
645 val = readl(regs + S3C64XX_SPI_CLK_CFG);
646 val &= ~S3C64XX_SPI_PSR_MASK;
647 val |= ((clk_get_rate(sdd->src_clk) / sdd->cur_speed / 2 - 1)
648 & S3C64XX_SPI_PSR_MASK);
649 writel(val, regs + S3C64XX_SPI_CLK_CFG);
650
651 /* Enable Clock */
652 val = readl(regs + S3C64XX_SPI_CLK_CFG);
653 val |= S3C64XX_SPI_ENCLK_ENABLE;
654 writel(val, regs + S3C64XX_SPI_CLK_CFG);
655 }
230d42d4
JB
656}
657
230d42d4
JB
658#define XFER_DMAADDR_INVALID DMA_BIT_MASK(32)
659
6bb9c0e3
MB
660static int s3c64xx_spi_prepare_message(struct spi_master *master,
661 struct spi_message *msg)
230d42d4 662{
ad2a99af 663 struct s3c64xx_spi_driver_data *sdd = spi_master_get_devdata(master);
230d42d4
JB
664 struct spi_device *spi = msg->spi;
665 struct s3c64xx_spi_csinfo *cs = spi->controller_data;
230d42d4 666
230d42d4
JB
667 /* Configure feedback delay */
668 writel(cs->fb_delay & 0x3, sdd->regs + S3C64XX_SPI_FB_CLK);
669
6bb9c0e3
MB
670 return 0;
671}
0c92ecf1 672
0732a9d2
MB
673static int s3c64xx_spi_transfer_one(struct spi_master *master,
674 struct spi_device *spi,
675 struct spi_transfer *xfer)
6bb9c0e3
MB
676{
677 struct s3c64xx_spi_driver_data *sdd = spi_master_get_devdata(master);
0732a9d2 678 int status;
6bb9c0e3
MB
679 u32 speed;
680 u8 bpw;
0732a9d2
MB
681 unsigned long flags;
682 int use_dma;
230d42d4 683
3e83c194 684 reinit_completion(&sdd->xfer_completion);
230d42d4 685
0732a9d2
MB
686 /* Only BPW and Speed may change across transfers */
687 bpw = xfer->bits_per_word;
88d4a744 688 speed = xfer->speed_hz;
230d42d4 689
0732a9d2
MB
690 if (bpw != sdd->cur_bpw || speed != sdd->cur_speed) {
691 sdd->cur_bpw = bpw;
692 sdd->cur_speed = speed;
11f66f09 693 sdd->cur_mode = spi->mode;
0732a9d2
MB
694 s3c64xx_spi_config(sdd);
695 }
230d42d4 696
0732a9d2
MB
697 /* Polling method for xfers not bigger than FIFO capacity */
698 use_dma = 0;
699 if (!is_polling(sdd) &&
700 (sdd->rx_dma.ch && sdd->tx_dma.ch &&
701 (xfer->len > ((FIFO_LVL_MASK(sdd) >> 1) + 1))))
702 use_dma = 1;
230d42d4 703
0732a9d2 704 spin_lock_irqsave(&sdd->lock, flags);
230d42d4 705
0732a9d2
MB
706 /* Pending only which is to be done */
707 sdd->state &= ~RXBUSY;
708 sdd->state &= ~TXBUSY;
230d42d4 709
0732a9d2 710 enable_datapath(sdd, spi, xfer, use_dma);
230d42d4 711
0732a9d2 712 /* Start the signals */
aa4964c4 713 s3c64xx_spi_set_cs(spi, true);
230d42d4 714
0732a9d2 715 spin_unlock_irqrestore(&sdd->lock, flags);
230d42d4 716
3700c6eb
MB
717 if (use_dma)
718 status = wait_for_dma(sdd, xfer);
719 else
720 status = wait_for_pio(sdd, xfer);
0732a9d2
MB
721
722 if (status) {
723 dev_err(&spi->dev, "I/O Error: rx-%d tx-%d res:rx-%c tx-%c len-%d\n",
724 xfer->rx_buf ? 1 : 0, xfer->tx_buf ? 1 : 0,
725 (sdd->state & RXBUSY) ? 'f' : 'p',
726 (sdd->state & TXBUSY) ? 'f' : 'p',
727 xfer->len);
728
729 if (use_dma) {
730 if (xfer->tx_buf != NULL
731 && (sdd->state & TXBUSY))
1b5e1b69 732 dmaengine_terminate_all(sdd->tx_dma.ch);
0732a9d2
MB
733 if (xfer->rx_buf != NULL
734 && (sdd->state & RXBUSY))
1b5e1b69 735 dmaengine_terminate_all(sdd->rx_dma.ch);
230d42d4 736 }
8c09daa1 737 } else {
230d42d4
JB
738 flush_fifo(sdd);
739 }
740
0732a9d2 741 return status;
230d42d4 742}
230d42d4 743
2b908075 744static struct s3c64xx_spi_csinfo *s3c64xx_get_slave_ctrldata(
2b908075
TA
745 struct spi_device *spi)
746{
747 struct s3c64xx_spi_csinfo *cs;
4732cc63 748 struct device_node *slave_np, *data_np = NULL;
2b908075
TA
749 u32 fb_delay = 0;
750
751 slave_np = spi->dev.of_node;
752 if (!slave_np) {
753 dev_err(&spi->dev, "device node not found\n");
754 return ERR_PTR(-EINVAL);
755 }
756
06455bbc 757 data_np = of_get_child_by_name(slave_np, "controller-data");
2b908075
TA
758 if (!data_np) {
759 dev_err(&spi->dev, "child node 'controller-data' not found\n");
760 return ERR_PTR(-EINVAL);
761 }
762
763 cs = kzalloc(sizeof(*cs), GFP_KERNEL);
764 if (!cs) {
06455bbc 765 of_node_put(data_np);
2b908075
TA
766 return ERR_PTR(-ENOMEM);
767 }
768
2b908075
TA
769 of_property_read_u32(data_np, "samsung,spi-feedback-delay", &fb_delay);
770 cs->fb_delay = fb_delay;
06455bbc 771 of_node_put(data_np);
2b908075
TA
772 return cs;
773}
774
230d42d4
JB
775/*
776 * Here we only check the validity of requested configuration
777 * and save the configuration in a local data-structure.
778 * The controller is actually configured only just before we
779 * get a message to transfer.
780 */
781static int s3c64xx_spi_setup(struct spi_device *spi)
782{
783 struct s3c64xx_spi_csinfo *cs = spi->controller_data;
784 struct s3c64xx_spi_driver_data *sdd;
ad7de729 785 struct s3c64xx_spi_info *sci;
2b908075 786 int err;
230d42d4 787
2b908075 788 sdd = spi_master_get_devdata(spi->master);
306972ce 789 if (spi->dev.of_node) {
5c725b34 790 cs = s3c64xx_get_slave_ctrldata(spi);
2b908075 791 spi->controller_data = cs;
306972ce
NKC
792 } else if (cs) {
793 /* On non-DT platforms the SPI core will set spi->cs_gpio
794 * to -ENOENT. The GPIO pin used to drive the chip select
795 * is defined by using platform data so spi->cs_gpio value
796 * has to be override to have the proper GPIO pin number.
797 */
798 spi->cs_gpio = cs->line;
2b908075
TA
799 }
800
801 if (IS_ERR_OR_NULL(cs)) {
230d42d4
JB
802 dev_err(&spi->dev, "No CS for SPI(%d)\n", spi->chip_select);
803 return -ENODEV;
804 }
805
0149871c 806 if (!spi_get_ctldata(spi)) {
306972ce
NKC
807 if (gpio_is_valid(spi->cs_gpio)) {
808 err = gpio_request_one(spi->cs_gpio, GPIOF_OUT_INIT_HIGH,
809 dev_name(&spi->dev));
810 if (err) {
811 dev_err(&spi->dev,
812 "Failed to get /CS gpio [%d]: %d\n",
813 spi->cs_gpio, err);
814 goto err_gpio_req;
815 }
1c20c200 816 }
1c20c200 817
3146beec 818 spi_set_ctldata(spi, cs);
230d42d4
JB
819 }
820
230d42d4 821 sci = sdd->cntrlr_info;
230d42d4 822
b97b6621
MB
823 pm_runtime_get_sync(&sdd->pdev->dev);
824
230d42d4 825 /* Check if we can provide the requested rate */
a5238e36 826 if (!sdd->port_conf->clk_from_cmu) {
b42a81ca
JB
827 u32 psr, speed;
828
829 /* Max possible */
830 speed = clk_get_rate(sdd->src_clk) / 2 / (0 + 1);
831
832 if (spi->max_speed_hz > speed)
833 spi->max_speed_hz = speed;
834
835 psr = clk_get_rate(sdd->src_clk) / 2 / spi->max_speed_hz - 1;
836 psr &= S3C64XX_SPI_PSR_MASK;
837 if (psr == S3C64XX_SPI_PSR_MASK)
838 psr--;
839
840 speed = clk_get_rate(sdd->src_clk) / 2 / (psr + 1);
841 if (spi->max_speed_hz < speed) {
842 if (psr+1 < S3C64XX_SPI_PSR_MASK) {
843 psr++;
844 } else {
845 err = -EINVAL;
846 goto setup_exit;
847 }
848 }
230d42d4 849
b42a81ca 850 speed = clk_get_rate(sdd->src_clk) / 2 / (psr + 1);
2b908075 851 if (spi->max_speed_hz >= speed) {
b42a81ca 852 spi->max_speed_hz = speed;
2b908075 853 } else {
e1b0f0df
MB
854 dev_err(&spi->dev, "Can't set %dHz transfer speed\n",
855 spi->max_speed_hz);
230d42d4 856 err = -EINVAL;
2b908075
TA
857 goto setup_exit;
858 }
230d42d4
JB
859 }
860
483867ee
HK
861 pm_runtime_mark_last_busy(&sdd->pdev->dev);
862 pm_runtime_put_autosuspend(&sdd->pdev->dev);
aa4964c4
AS
863 s3c64xx_spi_set_cs(spi, false);
864
2b908075 865 return 0;
b97b6621 866
230d42d4 867setup_exit:
483867ee
HK
868 pm_runtime_mark_last_busy(&sdd->pdev->dev);
869 pm_runtime_put_autosuspend(&sdd->pdev->dev);
230d42d4 870 /* setup() returns with device de-selected */
aa4964c4 871 s3c64xx_spi_set_cs(spi, false);
230d42d4 872
306972ce
NKC
873 if (gpio_is_valid(spi->cs_gpio))
874 gpio_free(spi->cs_gpio);
2b908075
TA
875 spi_set_ctldata(spi, NULL);
876
877err_gpio_req:
5bee3b94
SN
878 if (spi->dev.of_node)
879 kfree(cs);
2b908075 880
230d42d4
JB
881 return err;
882}
883
1c20c200
TA
884static void s3c64xx_spi_cleanup(struct spi_device *spi)
885{
886 struct s3c64xx_spi_csinfo *cs = spi_get_ctldata(spi);
887
306972ce 888 if (gpio_is_valid(spi->cs_gpio)) {
dd97e268 889 gpio_free(spi->cs_gpio);
2b908075
TA
890 if (spi->dev.of_node)
891 kfree(cs);
306972ce
NKC
892 else {
893 /* On non-DT platforms, the SPI core sets
894 * spi->cs_gpio to -ENOENT and .setup()
895 * overrides it with the GPIO pin value
896 * passed using platform data.
897 */
898 spi->cs_gpio = -ENOENT;
899 }
2b908075 900 }
306972ce 901
1c20c200
TA
902 spi_set_ctldata(spi, NULL);
903}
904
c2573128
MB
905static irqreturn_t s3c64xx_spi_irq(int irq, void *data)
906{
907 struct s3c64xx_spi_driver_data *sdd = data;
908 struct spi_master *spi = sdd->master;
375981f2 909 unsigned int val, clr = 0;
c2573128 910
375981f2 911 val = readl(sdd->regs + S3C64XX_SPI_STATUS);
c2573128 912
375981f2
G
913 if (val & S3C64XX_SPI_ST_RX_OVERRUN_ERR) {
914 clr = S3C64XX_SPI_PND_RX_OVERRUN_CLR;
c2573128 915 dev_err(&spi->dev, "RX overrun\n");
375981f2
G
916 }
917 if (val & S3C64XX_SPI_ST_RX_UNDERRUN_ERR) {
918 clr |= S3C64XX_SPI_PND_RX_UNDERRUN_CLR;
c2573128 919 dev_err(&spi->dev, "RX underrun\n");
375981f2
G
920 }
921 if (val & S3C64XX_SPI_ST_TX_OVERRUN_ERR) {
922 clr |= S3C64XX_SPI_PND_TX_OVERRUN_CLR;
c2573128 923 dev_err(&spi->dev, "TX overrun\n");
375981f2
G
924 }
925 if (val & S3C64XX_SPI_ST_TX_UNDERRUN_ERR) {
926 clr |= S3C64XX_SPI_PND_TX_UNDERRUN_CLR;
c2573128 927 dev_err(&spi->dev, "TX underrun\n");
375981f2
G
928 }
929
930 /* Clear the pending irq by setting and then clearing it */
931 writel(clr, sdd->regs + S3C64XX_SPI_PENDING_CLR);
932 writel(0, sdd->regs + S3C64XX_SPI_PENDING_CLR);
c2573128
MB
933
934 return IRQ_HANDLED;
935}
936
230d42d4
JB
937static void s3c64xx_spi_hwinit(struct s3c64xx_spi_driver_data *sdd, int channel)
938{
ad7de729 939 struct s3c64xx_spi_info *sci = sdd->cntrlr_info;
230d42d4
JB
940 void __iomem *regs = sdd->regs;
941 unsigned int val;
942
943 sdd->cur_speed = 0;
944
a92e7c3d
AS
945 if (sci->no_cs)
946 writel(0, sdd->regs + S3C64XX_SPI_SLAVE_SEL);
947 else if (!(sdd->port_conf->quirks & S3C64XX_SPI_QUIRK_CS_AUTO))
bf77cba9 948 writel(S3C64XX_SPI_SLAVE_SIG_INACT, sdd->regs + S3C64XX_SPI_SLAVE_SEL);
230d42d4
JB
949
950 /* Disable Interrupts - we use Polling if not DMA mode */
951 writel(0, regs + S3C64XX_SPI_INT_EN);
952
a5238e36 953 if (!sdd->port_conf->clk_from_cmu)
b42a81ca 954 writel(sci->src_clk_nr << S3C64XX_SPI_CLKSEL_SRCSHFT,
230d42d4
JB
955 regs + S3C64XX_SPI_CLK_CFG);
956 writel(0, regs + S3C64XX_SPI_MODE_CFG);
957 writel(0, regs + S3C64XX_SPI_PACKET_CNT);
958
375981f2
G
959 /* Clear any irq pending bits, should set and clear the bits */
960 val = S3C64XX_SPI_PND_RX_OVERRUN_CLR |
961 S3C64XX_SPI_PND_RX_UNDERRUN_CLR |
962 S3C64XX_SPI_PND_TX_OVERRUN_CLR |
963 S3C64XX_SPI_PND_TX_UNDERRUN_CLR;
964 writel(val, regs + S3C64XX_SPI_PENDING_CLR);
965 writel(0, regs + S3C64XX_SPI_PENDING_CLR);
230d42d4
JB
966
967 writel(0, regs + S3C64XX_SPI_SWAP_CFG);
968
969 val = readl(regs + S3C64XX_SPI_MODE_CFG);
970 val &= ~S3C64XX_SPI_MODE_4BURST;
971 val &= ~(S3C64XX_SPI_MAX_TRAILCNT << S3C64XX_SPI_TRAILCNT_OFF);
972 val |= (S3C64XX_SPI_TRAILCNT << S3C64XX_SPI_TRAILCNT_OFF);
973 writel(val, regs + S3C64XX_SPI_MODE_CFG);
974
975 flush_fifo(sdd);
976}
977
2b908075 978#ifdef CONFIG_OF
75bf3361 979static struct s3c64xx_spi_info *s3c64xx_spi_parse_dt(struct device *dev)
2b908075
TA
980{
981 struct s3c64xx_spi_info *sci;
982 u32 temp;
983
984 sci = devm_kzalloc(dev, sizeof(*sci), GFP_KERNEL);
1273eb05 985 if (!sci)
2b908075 986 return ERR_PTR(-ENOMEM);
2b908075
TA
987
988 if (of_property_read_u32(dev->of_node, "samsung,spi-src-clk", &temp)) {
75bf3361 989 dev_warn(dev, "spi bus clock parent not specified, using clock at index 0 as parent\n");
2b908075
TA
990 sci->src_clk_nr = 0;
991 } else {
992 sci->src_clk_nr = temp;
993 }
994
995 if (of_property_read_u32(dev->of_node, "num-cs", &temp)) {
75bf3361 996 dev_warn(dev, "number of chip select lines not specified, assuming 1 chip select line\n");
2b908075
TA
997 sci->num_cs = 1;
998 } else {
999 sci->num_cs = temp;
1000 }
1001
a92e7c3d
AS
1002 sci->no_cs = of_property_read_bool(dev->of_node, "broken-cs");
1003
2b908075
TA
1004 return sci;
1005}
1006#else
1007static struct s3c64xx_spi_info *s3c64xx_spi_parse_dt(struct device *dev)
1008{
8074cf06 1009 return dev_get_platdata(dev);
2b908075 1010}
2b908075
TA
1011#endif
1012
1013static const struct of_device_id s3c64xx_spi_dt_match[];
1014
a5238e36
TA
1015static inline struct s3c64xx_spi_port_config *s3c64xx_spi_get_port_config(
1016 struct platform_device *pdev)
1017{
2b908075
TA
1018#ifdef CONFIG_OF
1019 if (pdev->dev.of_node) {
1020 const struct of_device_id *match;
1021 match = of_match_node(s3c64xx_spi_dt_match, pdev->dev.of_node);
1022 return (struct s3c64xx_spi_port_config *)match->data;
1023 }
1024#endif
a5238e36
TA
1025 return (struct s3c64xx_spi_port_config *)
1026 platform_get_device_id(pdev)->driver_data;
1027}
1028
2deff8d6 1029static int s3c64xx_spi_probe(struct platform_device *pdev)
230d42d4 1030{
2b908075 1031 struct resource *mem_res;
230d42d4 1032 struct s3c64xx_spi_driver_data *sdd;
8074cf06 1033 struct s3c64xx_spi_info *sci = dev_get_platdata(&pdev->dev);
230d42d4 1034 struct spi_master *master;
c2573128 1035 int ret, irq;
a24d850b 1036 char clk_name[16];
230d42d4 1037
2b908075
TA
1038 if (!sci && pdev->dev.of_node) {
1039 sci = s3c64xx_spi_parse_dt(&pdev->dev);
1040 if (IS_ERR(sci))
1041 return PTR_ERR(sci);
230d42d4
JB
1042 }
1043
2b908075 1044 if (!sci) {
230d42d4
JB
1045 dev_err(&pdev->dev, "platform_data missing!\n");
1046 return -ENODEV;
1047 }
1048
230d42d4
JB
1049 mem_res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
1050 if (mem_res == NULL) {
1051 dev_err(&pdev->dev, "Unable to get SPI MEM resource\n");
1052 return -ENXIO;
1053 }
1054
c2573128
MB
1055 irq = platform_get_irq(pdev, 0);
1056 if (irq < 0) {
1057 dev_warn(&pdev->dev, "Failed to get IRQ: %d\n", irq);
1058 return irq;
1059 }
1060
230d42d4
JB
1061 master = spi_alloc_master(&pdev->dev,
1062 sizeof(struct s3c64xx_spi_driver_data));
1063 if (master == NULL) {
1064 dev_err(&pdev->dev, "Unable to allocate SPI Master\n");
1065 return -ENOMEM;
1066 }
1067
230d42d4
JB
1068 platform_set_drvdata(pdev, master);
1069
1070 sdd = spi_master_get_devdata(master);
a5238e36 1071 sdd->port_conf = s3c64xx_spi_get_port_config(pdev);
230d42d4
JB
1072 sdd->master = master;
1073 sdd->cntrlr_info = sci;
1074 sdd->pdev = pdev;
1075 sdd->sfr_start = mem_res->start;
2b908075
TA
1076 if (pdev->dev.of_node) {
1077 ret = of_alias_get_id(pdev->dev.of_node, "spi");
1078 if (ret < 0) {
75bf3361
JH
1079 dev_err(&pdev->dev, "failed to get alias id, errno %d\n",
1080 ret);
60a9a964 1081 goto err_deref_master;
2b908075
TA
1082 }
1083 sdd->port_id = ret;
1084 } else {
1085 sdd->port_id = pdev->id;
1086 }
230d42d4
JB
1087
1088 sdd->cur_bpw = 8;
1089
a0067db3
AB
1090 if (!sdd->pdev->dev.of_node && (!sci->dma_tx || !sci->dma_rx)) {
1091 dev_warn(&pdev->dev, "Unable to get SPI tx/rx DMA data. Switching to poll mode\n");
1092 sdd->port_conf->quirks = S3C64XX_SPI_QUIRK_POLL;
b5be04d3 1093 }
2b908075 1094
b5be04d3
PV
1095 sdd->tx_dma.direction = DMA_MEM_TO_DEV;
1096 sdd->rx_dma.direction = DMA_DEV_TO_MEM;
2b908075
TA
1097
1098 master->dev.of_node = pdev->dev.of_node;
a5238e36 1099 master->bus_num = sdd->port_id;
230d42d4 1100 master->setup = s3c64xx_spi_setup;
1c20c200 1101 master->cleanup = s3c64xx_spi_cleanup;
ad2a99af 1102 master->prepare_transfer_hardware = s3c64xx_spi_prepare_transfer;
6bb9c0e3 1103 master->prepare_message = s3c64xx_spi_prepare_message;
0732a9d2 1104 master->transfer_one = s3c64xx_spi_transfer_one;
ad2a99af 1105 master->unprepare_transfer_hardware = s3c64xx_spi_unprepare_transfer;
230d42d4
JB
1106 master->num_chipselect = sci->num_cs;
1107 master->dma_alignment = 8;
24778be2
SW
1108 master->bits_per_word_mask = SPI_BPW_MASK(32) | SPI_BPW_MASK(16) |
1109 SPI_BPW_MASK(8);
230d42d4
JB
1110 /* the spi->mode bits understood by this driver: */
1111 master->mode_bits = SPI_CPOL | SPI_CPHA | SPI_CS_HIGH;
fc0f81b7 1112 master->auto_runtime_pm = true;
3f295887
MB
1113 if (!is_polling(sdd))
1114 master->can_dma = s3c64xx_spi_can_dma;
230d42d4 1115
b0ee5605
TR
1116 sdd->regs = devm_ioremap_resource(&pdev->dev, mem_res);
1117 if (IS_ERR(sdd->regs)) {
1118 ret = PTR_ERR(sdd->regs);
60a9a964 1119 goto err_deref_master;
230d42d4
JB
1120 }
1121
00ab5392 1122 if (sci->cfg_gpio && sci->cfg_gpio()) {
230d42d4
JB
1123 dev_err(&pdev->dev, "Unable to config gpio\n");
1124 ret = -EBUSY;
60a9a964 1125 goto err_deref_master;
230d42d4
JB
1126 }
1127
1128 /* Setup clocks */
4eb77006 1129 sdd->clk = devm_clk_get(&pdev->dev, "spi");
230d42d4
JB
1130 if (IS_ERR(sdd->clk)) {
1131 dev_err(&pdev->dev, "Unable to acquire clock 'spi'\n");
1132 ret = PTR_ERR(sdd->clk);
60a9a964 1133 goto err_deref_master;
230d42d4
JB
1134 }
1135
25981d82
AS
1136 ret = clk_prepare_enable(sdd->clk);
1137 if (ret) {
230d42d4 1138 dev_err(&pdev->dev, "Couldn't enable clock 'spi'\n");
60a9a964 1139 goto err_deref_master;
230d42d4
JB
1140 }
1141
a24d850b 1142 sprintf(clk_name, "spi_busclk%d", sci->src_clk_nr);
4eb77006 1143 sdd->src_clk = devm_clk_get(&pdev->dev, clk_name);
b0d5d6e5 1144 if (IS_ERR(sdd->src_clk)) {
230d42d4 1145 dev_err(&pdev->dev,
a24d850b 1146 "Unable to acquire clock '%s'\n", clk_name);
b0d5d6e5 1147 ret = PTR_ERR(sdd->src_clk);
60a9a964 1148 goto err_disable_clk;
230d42d4
JB
1149 }
1150
25981d82
AS
1151 ret = clk_prepare_enable(sdd->src_clk);
1152 if (ret) {
a24d850b 1153 dev_err(&pdev->dev, "Couldn't enable clock '%s'\n", clk_name);
60a9a964 1154 goto err_disable_clk;
230d42d4
JB
1155 }
1156
483867ee
HK
1157 pm_runtime_set_autosuspend_delay(&pdev->dev, AUTOSUSPEND_TIMEOUT);
1158 pm_runtime_use_autosuspend(&pdev->dev);
1159 pm_runtime_set_active(&pdev->dev);
1160 pm_runtime_enable(&pdev->dev);
1161 pm_runtime_get_sync(&pdev->dev);
1162
230d42d4 1163 /* Setup Deufult Mode */
a5238e36 1164 s3c64xx_spi_hwinit(sdd, sdd->port_id);
230d42d4
JB
1165
1166 spin_lock_init(&sdd->lock);
1167 init_completion(&sdd->xfer_completion);
230d42d4 1168
4eb77006
JH
1169 ret = devm_request_irq(&pdev->dev, irq, s3c64xx_spi_irq, 0,
1170 "spi-s3c64xx", sdd);
c2573128
MB
1171 if (ret != 0) {
1172 dev_err(&pdev->dev, "Failed to request IRQ %d: %d\n",
1173 irq, ret);
60a9a964 1174 goto err_pm_put;
c2573128
MB
1175 }
1176
1177 writel(S3C64XX_SPI_INT_RX_OVERRUN_EN | S3C64XX_SPI_INT_RX_UNDERRUN_EN |
1178 S3C64XX_SPI_INT_TX_OVERRUN_EN | S3C64XX_SPI_INT_TX_UNDERRUN_EN,
1179 sdd->regs + S3C64XX_SPI_INT_EN);
1180
91800f0e
MB
1181 ret = devm_spi_register_master(&pdev->dev, master);
1182 if (ret != 0) {
1183 dev_err(&pdev->dev, "cannot register SPI master: %d\n", ret);
60a9a964 1184 goto err_pm_put;
230d42d4
JB
1185 }
1186
75bf3361 1187 dev_dbg(&pdev->dev, "Samsung SoC SPI Driver loaded for Bus SPI-%d with %d Slaves attached\n",
a5238e36 1188 sdd->port_id, master->num_chipselect);
a0067db3 1189 dev_dbg(&pdev->dev, "\tIOmem=[%pR]\tFIFO %dbytes\tDMA=[Rx-%p, Tx-%p]\n",
ed425dcf 1190 mem_res, (FIFO_LVL_MASK(sdd) >> 1) + 1,
a0067db3 1191 sci->dma_rx, sci->dma_tx);
230d42d4 1192
483867ee
HK
1193 pm_runtime_mark_last_busy(&pdev->dev);
1194 pm_runtime_put_autosuspend(&pdev->dev);
1195
230d42d4
JB
1196 return 0;
1197
60a9a964 1198err_pm_put:
483867ee 1199 pm_runtime_put_noidle(&pdev->dev);
3c863792
HK
1200 pm_runtime_disable(&pdev->dev);
1201 pm_runtime_set_suspended(&pdev->dev);
483867ee 1202
9f667bff 1203 clk_disable_unprepare(sdd->src_clk);
60a9a964 1204err_disable_clk:
9f667bff 1205 clk_disable_unprepare(sdd->clk);
60a9a964 1206err_deref_master:
230d42d4
JB
1207 spi_master_put(master);
1208
1209 return ret;
1210}
1211
1212static int s3c64xx_spi_remove(struct platform_device *pdev)
1213{
9f135787 1214 struct spi_master *master = platform_get_drvdata(pdev);
230d42d4 1215 struct s3c64xx_spi_driver_data *sdd = spi_master_get_devdata(master);
230d42d4 1216
8ebe9d16 1217 pm_runtime_get_sync(&pdev->dev);
b97b6621 1218
c2573128
MB
1219 writel(0, sdd->regs + S3C64XX_SPI_INT_EN);
1220
9f667bff 1221 clk_disable_unprepare(sdd->src_clk);
230d42d4 1222
9f667bff 1223 clk_disable_unprepare(sdd->clk);
230d42d4 1224
8ebe9d16
HK
1225 pm_runtime_put_noidle(&pdev->dev);
1226 pm_runtime_disable(&pdev->dev);
1227 pm_runtime_set_suspended(&pdev->dev);
1228
230d42d4
JB
1229 return 0;
1230}
1231
997230d0 1232#ifdef CONFIG_PM_SLEEP
e25d0bf9 1233static int s3c64xx_spi_suspend(struct device *dev)
230d42d4 1234{
9a2a5245 1235 struct spi_master *master = dev_get_drvdata(dev);
230d42d4 1236 struct s3c64xx_spi_driver_data *sdd = spi_master_get_devdata(master);
230d42d4 1237
347de6ba
KK
1238 int ret = spi_master_suspend(master);
1239 if (ret)
1240 return ret;
230d42d4 1241
4fcd9b9e
HK
1242 ret = pm_runtime_force_suspend(dev);
1243 if (ret < 0)
1244 return ret;
230d42d4
JB
1245
1246 sdd->cur_speed = 0; /* Output Clock is stopped */
1247
1248 return 0;
1249}
1250
e25d0bf9 1251static int s3c64xx_spi_resume(struct device *dev)
230d42d4 1252{
9a2a5245 1253 struct spi_master *master = dev_get_drvdata(dev);
230d42d4 1254 struct s3c64xx_spi_driver_data *sdd = spi_master_get_devdata(master);
ad7de729 1255 struct s3c64xx_spi_info *sci = sdd->cntrlr_info;
4fcd9b9e 1256 int ret;
230d42d4 1257
00ab5392 1258 if (sci->cfg_gpio)
2b908075 1259 sci->cfg_gpio();
230d42d4 1260
4fcd9b9e
HK
1261 ret = pm_runtime_force_resume(dev);
1262 if (ret < 0)
1263 return ret;
230d42d4 1264
a5238e36 1265 s3c64xx_spi_hwinit(sdd, sdd->port_id);
230d42d4 1266
347de6ba 1267 return spi_master_resume(master);
230d42d4 1268}
997230d0 1269#endif /* CONFIG_PM_SLEEP */
230d42d4 1270
ec833050 1271#ifdef CONFIG_PM
b97b6621
MB
1272static int s3c64xx_spi_runtime_suspend(struct device *dev)
1273{
9a2a5245 1274 struct spi_master *master = dev_get_drvdata(dev);
b97b6621
MB
1275 struct s3c64xx_spi_driver_data *sdd = spi_master_get_devdata(master);
1276
9f667bff
TA
1277 clk_disable_unprepare(sdd->clk);
1278 clk_disable_unprepare(sdd->src_clk);
b97b6621
MB
1279
1280 return 0;
1281}
1282
1283static int s3c64xx_spi_runtime_resume(struct device *dev)
1284{
9a2a5245 1285 struct spi_master *master = dev_get_drvdata(dev);
b97b6621 1286 struct s3c64xx_spi_driver_data *sdd = spi_master_get_devdata(master);
8b06d5b8 1287 int ret;
b97b6621 1288
8b06d5b8
MB
1289 ret = clk_prepare_enable(sdd->src_clk);
1290 if (ret != 0)
1291 return ret;
1292
1293 ret = clk_prepare_enable(sdd->clk);
1294 if (ret != 0) {
1295 clk_disable_unprepare(sdd->src_clk);
1296 return ret;
1297 }
b97b6621
MB
1298
1299 return 0;
1300}
ec833050 1301#endif /* CONFIG_PM */
b97b6621 1302
e25d0bf9
MB
1303static const struct dev_pm_ops s3c64xx_spi_pm = {
1304 SET_SYSTEM_SLEEP_PM_OPS(s3c64xx_spi_suspend, s3c64xx_spi_resume)
b97b6621
MB
1305 SET_RUNTIME_PM_OPS(s3c64xx_spi_runtime_suspend,
1306 s3c64xx_spi_runtime_resume, NULL)
e25d0bf9
MB
1307};
1308
10ce0473 1309static struct s3c64xx_spi_port_config s3c2443_spi_port_config = {
a5238e36
TA
1310 .fifo_lvl_mask = { 0x7f },
1311 .rx_lvl_offset = 13,
1312 .tx_st_done = 21,
1313 .high_speed = true,
1314};
1315
10ce0473 1316static struct s3c64xx_spi_port_config s3c6410_spi_port_config = {
a5238e36
TA
1317 .fifo_lvl_mask = { 0x7f, 0x7F },
1318 .rx_lvl_offset = 13,
1319 .tx_st_done = 21,
1320};
1321
10ce0473 1322static struct s3c64xx_spi_port_config s5pv210_spi_port_config = {
a5238e36
TA
1323 .fifo_lvl_mask = { 0x1ff, 0x7F },
1324 .rx_lvl_offset = 15,
1325 .tx_st_done = 25,
1326 .high_speed = true,
1327};
1328
10ce0473 1329static struct s3c64xx_spi_port_config exynos4_spi_port_config = {
a5238e36
TA
1330 .fifo_lvl_mask = { 0x1ff, 0x7F, 0x7F },
1331 .rx_lvl_offset = 15,
1332 .tx_st_done = 25,
1333 .high_speed = true,
1334 .clk_from_cmu = true,
1335};
1336
bff82038
G
1337static struct s3c64xx_spi_port_config exynos5440_spi_port_config = {
1338 .fifo_lvl_mask = { 0x1ff },
1339 .rx_lvl_offset = 15,
1340 .tx_st_done = 25,
1341 .high_speed = true,
1342 .clk_from_cmu = true,
1343 .quirks = S3C64XX_SPI_QUIRK_POLL,
1344};
1345
bf77cba9
PV
1346static struct s3c64xx_spi_port_config exynos7_spi_port_config = {
1347 .fifo_lvl_mask = { 0x1ff, 0x7F, 0x7F, 0x7F, 0x7F, 0x1ff},
1348 .rx_lvl_offset = 15,
1349 .tx_st_done = 25,
1350 .high_speed = true,
1351 .clk_from_cmu = true,
1352 .quirks = S3C64XX_SPI_QUIRK_CS_AUTO,
1353};
1354
23f6d39e 1355static const struct platform_device_id s3c64xx_spi_driver_ids[] = {
a5238e36
TA
1356 {
1357 .name = "s3c2443-spi",
1358 .driver_data = (kernel_ulong_t)&s3c2443_spi_port_config,
1359 }, {
1360 .name = "s3c6410-spi",
1361 .driver_data = (kernel_ulong_t)&s3c6410_spi_port_config,
a5238e36
TA
1362 },
1363 { },
1364};
1365
2b908075 1366static const struct of_device_id s3c64xx_spi_dt_match[] = {
a3b924df
MK
1367 { .compatible = "samsung,s3c2443-spi",
1368 .data = (void *)&s3c2443_spi_port_config,
1369 },
1370 { .compatible = "samsung,s3c6410-spi",
1371 .data = (void *)&s3c6410_spi_port_config,
1372 },
a3b924df
MK
1373 { .compatible = "samsung,s5pv210-spi",
1374 .data = (void *)&s5pv210_spi_port_config,
1375 },
2b908075
TA
1376 { .compatible = "samsung,exynos4210-spi",
1377 .data = (void *)&exynos4_spi_port_config,
1378 },
bff82038
G
1379 { .compatible = "samsung,exynos5440-spi",
1380 .data = (void *)&exynos5440_spi_port_config,
1381 },
bf77cba9
PV
1382 { .compatible = "samsung,exynos7-spi",
1383 .data = (void *)&exynos7_spi_port_config,
1384 },
2b908075
TA
1385 { },
1386};
1387MODULE_DEVICE_TABLE(of, s3c64xx_spi_dt_match);
2b908075 1388
230d42d4
JB
1389static struct platform_driver s3c64xx_spi_driver = {
1390 .driver = {
1391 .name = "s3c64xx-spi",
e25d0bf9 1392 .pm = &s3c64xx_spi_pm,
2b908075 1393 .of_match_table = of_match_ptr(s3c64xx_spi_dt_match),
230d42d4 1394 },
50c959fc 1395 .probe = s3c64xx_spi_probe,
230d42d4 1396 .remove = s3c64xx_spi_remove,
a5238e36 1397 .id_table = s3c64xx_spi_driver_ids,
230d42d4
JB
1398};
1399MODULE_ALIAS("platform:s3c64xx-spi");
1400
50c959fc 1401module_platform_driver(s3c64xx_spi_driver);
230d42d4
JB
1402
1403MODULE_AUTHOR("Jaswinder Singh <jassi.brar@samsung.com>");
1404MODULE_DESCRIPTION("S3C64XX SPI Controller Driver");
1405MODULE_LICENSE("GPL");
This page took 0.412104 seconds and 5 git commands to generate.