staging: rtl8723au: Disentangle beacon register settings from the HAL wrappers
[deliverable/linux.git] / drivers / staging / rtl8723au / core / rtw_ap.c
CommitLineData
5e93f352
LF
1/******************************************************************************
2 *
3 * Copyright(c) 2007 - 2012 Realtek Corporation. All rights reserved.
4 *
5 * This program is free software; you can redistribute it and/or modify it
6 * under the terms of version 2 of the GNU General Public License as
7 * published by the Free Software Foundation.
8 *
9 * This program is distributed in the hope that it will be useful, but WITHOUT
10 * ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
11 * FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for
12 * more details.
13 *
14 ******************************************************************************/
15#define _RTW_AP_C_
16
17#include <osdep_service.h>
18#include <drv_types.h>
19#include <linux/ieee80211.h>
20#include <wifi.h>
21
22#ifdef CONFIG_8723AU_AP_MODE
23
5e93f352
LF
24extern unsigned char WMM_OUI23A[];
25extern unsigned char WPS_OUI23A[];
26extern unsigned char P2P_OUI23A[];
27extern unsigned char WFD_OUI23A[];
28
29void init_mlme_ap_info23a(struct rtw_adapter *padapter)
30{
31 struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
32 struct sta_priv *pstapriv = &padapter->stapriv;
33 struct wlan_acl_pool *pacl_list = &pstapriv->acl_list;
34
35 spin_lock_init(&pmlmepriv->bcn_update_lock);
36
37 /* for ACL */
38 _rtw_init_queue23a(&pacl_list->acl_node_q);
39
40 start_ap_mode23a(padapter);
41}
42
43void free_mlme_ap_info23a(struct rtw_adapter *padapter)
44{
45 struct sta_info *psta = NULL;
46 struct sta_priv *pstapriv = &padapter->stapriv;
47 struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
48 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
49 struct mlme_ext_info *pmlmeinfo = &pmlmeext->mlmext_info;
50
51 pmlmepriv->update_bcn = false;
52 pmlmeext->bstart_bss = false;
53
54 rtw_sta_flush23a(padapter);
55
56 pmlmeinfo->state = _HW_STATE_NOLINK_;
57
58 /* free_assoc_sta_resources */
59 rtw_free_all_stainfo23a(padapter);
60
61 /* free bc/mc sta_info */
62 psta = rtw_get_bcmc_stainfo23a(padapter);
63 spin_lock_bh(&pstapriv->sta_hash_lock);
64 rtw_free_stainfo23a(padapter, psta);
65 spin_unlock_bh(&pstapriv->sta_hash_lock);
66}
67
68static void update_BCNTIM(struct rtw_adapter *padapter)
69{
70 struct sta_priv *pstapriv = &padapter->stapriv;
71 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
72 struct mlme_ext_info *pmlmeinfo = &pmlmeext->mlmext_info;
73 struct wlan_bssid_ex *pnetwork_mlmeext = &pmlmeinfo->network;
74 unsigned char *pie = pnetwork_mlmeext->IEs;
75 u8 *p, *dst_ie, *premainder_ie = NULL, *pbackup_remainder_ie = NULL;
a96ae6f4 76 __le16 tim_bitmap_le;
5e93f352
LF
77 uint offset, tmp_len, tim_ielen, tim_ie_offset, remainder_ielen;
78
79 tim_bitmap_le = cpu_to_le16(pstapriv->tim_bitmap);
80
af2cb2c2
JS
81 p = rtw_get_ie23a(pie + _FIXED_IE_LENGTH_, WLAN_EID_TIM, &tim_ielen,
82 pnetwork_mlmeext->IELength - _FIXED_IE_LENGTH_);
5e93f352
LF
83 if (p != NULL && tim_ielen>0) {
84 tim_ielen += 2;
85
86 premainder_ie = p+tim_ielen;
87
88 tim_ie_offset = (int)(p -pie);
89
90 remainder_ielen = pnetwork_mlmeext->IELength - tim_ie_offset - tim_ielen;
91
92 /* append TIM IE from dst_ie offset */
93 dst_ie = p;
94 } else {
95 tim_ielen = 0;
96
97 /* calulate head_len */
98 offset = _FIXED_IE_LENGTH_;
99
100 /* get ssid_ie len */
af2cb2c2
JS
101 p = rtw_get_ie23a(pie + _BEACON_IE_OFFSET_, WLAN_EID_SSID,
102 &tmp_len, (pnetwork_mlmeext->IELength -
103 _BEACON_IE_OFFSET_));
5e93f352
LF
104 if (p != NULL)
105 offset += tmp_len+2;
106
107 /* get supported rates len */
af2cb2c2
JS
108 p = rtw_get_ie23a(pie + _BEACON_IE_OFFSET_, WLAN_EID_SUPP_RATES,
109 &tmp_len, (pnetwork_mlmeext->IELength -
110 _BEACON_IE_OFFSET_));
5e93f352
LF
111 if (p != NULL)
112 offset += tmp_len+2;
113
114 /* DS Parameter Set IE, len = 3 */
115 offset += 3;
116
117 premainder_ie = pie + offset;
118
119 remainder_ielen = pnetwork_mlmeext->IELength - offset - tim_ielen;
120
121 /* append TIM IE from offset */
122 dst_ie = pie + offset;
123 }
124
125 if (remainder_ielen > 0) {
126 pbackup_remainder_ie = kmalloc(remainder_ielen, GFP_ATOMIC);
127 if (pbackup_remainder_ie && premainder_ie)
128 memcpy(pbackup_remainder_ie, premainder_ie, remainder_ielen);
129 }
130
af2cb2c2 131 *dst_ie++= WLAN_EID_TIM;
5e93f352
LF
132
133 if ((pstapriv->tim_bitmap&0xff00) && (pstapriv->tim_bitmap&0x00fc))
134 tim_ielen = 5;
135 else
136 tim_ielen = 4;
137
138 *dst_ie++= tim_ielen;
139
140 *dst_ie++= 0;/* DTIM count */
141 *dst_ie++= 1;/* DTIM peroid */
142
143 if (pstapriv->tim_bitmap & BIT(0))/* for bc/mc frames */
144 *dst_ie++ = BIT(0);/* bitmap ctrl */
145 else
146 *dst_ie++ = 0;
147
148 if (tim_ielen == 4) {
149 *dst_ie++ = *(u8*)&tim_bitmap_le;
150 } else if (tim_ielen == 5) {
151 memcpy(dst_ie, &tim_bitmap_le, 2);
152 dst_ie+= 2;
153 }
154
155 /* copy remainder IE */
156 if (pbackup_remainder_ie) {
157 memcpy(dst_ie, pbackup_remainder_ie, remainder_ielen);
158
159 kfree(pbackup_remainder_ie);
160 }
161
162 offset = (uint)(dst_ie - pie);
163 pnetwork_mlmeext->IELength = offset + remainder_ielen;
164
165 set_tx_beacon_cmd23a(padapter);
166}
167
168static u8 chk_sta_is_alive(struct sta_info *psta)
169{
170 u8 ret = false;
171
172 if ((psta->sta_stats.last_rx_data_pkts +
173 psta->sta_stats.last_rx_ctrl_pkts) !=
174 (psta->sta_stats.rx_data_pkts + psta->sta_stats.rx_ctrl_pkts))
175 ret = true;
176
177 sta_update_last_rx_pkts(psta);
178
179 return ret;
180}
181
182void expire_timeout_chk23a(struct rtw_adapter *padapter)
183{
184 struct list_head *phead, *plist, *ptmp;
185 u8 updated = 0;
186 struct sta_info *psta;
187 struct sta_priv *pstapriv = &padapter->stapriv;
188 u8 chk_alive_num = 0;
6964213a 189 struct sta_info *chk_alive_list[NUM_STA];
5e93f352
LF
190 int i;
191
192 spin_lock_bh(&pstapriv->auth_list_lock);
193
194 phead = &pstapriv->auth_list;
195
196 /* check auth_queue */
197 list_for_each_safe(plist, ptmp, phead) {
198 psta = container_of(plist, struct sta_info, auth_list);
199
200 if (psta->expire_to>0) {
201 psta->expire_to--;
202 if (psta->expire_to == 0) {
203 list_del_init(&psta->auth_list);
204 pstapriv->auth_list_cnt--;
205
206 DBG_8723A("auth expire %pM\n", psta->hwaddr);
207
208 spin_unlock_bh(&pstapriv->auth_list_lock);
209
210 spin_lock_bh(&pstapriv->sta_hash_lock);
211 rtw_free_stainfo23a(padapter, psta);
212 spin_unlock_bh(&pstapriv->sta_hash_lock);
213
214 spin_lock_bh(&pstapriv->auth_list_lock);
215 }
216 }
217
218 }
219
220 spin_unlock_bh(&pstapriv->auth_list_lock);
221
222 spin_lock_bh(&pstapriv->asoc_list_lock);
223
224 phead = &pstapriv->asoc_list;
225
226 /* check asoc_queue */
227 list_for_each_safe(plist, ptmp, phead) {
228 psta = container_of(plist, struct sta_info, asoc_list);
229
230 if (chk_sta_is_alive(psta) || !psta->expire_to) {
231 psta->expire_to = pstapriv->expire_to;
232 psta->keep_alive_trycnt = 0;
233 } else {
234 psta->expire_to--;
235 }
236
237 if (psta->expire_to <= 0)
238 {
239 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
240
241 if (padapter->registrypriv.wifi_spec == 1)
242 {
243 psta->expire_to = pstapriv->expire_to;
244 continue;
245 }
246
247 if (psta->state & WIFI_SLEEP_STATE) {
248 if (!(psta->state & WIFI_STA_ALIVE_CHK_STATE)) {
249 /* to check if alive by another methods if staion is at ps mode. */
250 psta->expire_to = pstapriv->expire_to;
251 psta->state |= WIFI_STA_ALIVE_CHK_STATE;
252
253 /* to update bcn with tim_bitmap for this station */
254 pstapriv->tim_bitmap |= CHKBIT(psta->aid);
af2cb2c2 255 update_beacon23a(padapter, WLAN_EID_TIM, NULL, false);
5e93f352
LF
256
257 if (!pmlmeext->active_keep_alive_check)
258 continue;
259 }
260 }
261
262 if (pmlmeext->active_keep_alive_check) {
6964213a 263 chk_alive_list[chk_alive_num++] = psta;
5e93f352
LF
264 continue;
265 }
266
267 list_del_init(&psta->asoc_list);
268 pstapriv->asoc_list_cnt--;
269
270 DBG_8723A("asoc expire "MAC_FMT", state = 0x%x\n", MAC_ARG(psta->hwaddr), psta->state);
271 updated = ap_free_sta23a(padapter, psta, false, WLAN_REASON_DEAUTH_LEAVING);
272 } else {
273 /* TODO: Aging mechanism to digest frames in sleep_q to avoid running out of xmitframe */
274 if (psta->sleepq_len > (NR_XMITFRAME/pstapriv->asoc_list_cnt)
275 && padapter->xmitpriv.free_xmitframe_cnt < ((NR_XMITFRAME/pstapriv->asoc_list_cnt)/2)
276 ) {
277 DBG_8723A("%s sta:"MAC_FMT", sleepq_len:%u, free_xmitframe_cnt:%u, asoc_list_cnt:%u, clear sleep_q\n", __func__,
278 MAC_ARG(psta->hwaddr),
279 psta->sleepq_len,
280 padapter->xmitpriv.free_xmitframe_cnt,
281 pstapriv->asoc_list_cnt);
282 wakeup_sta_to_xmit23a(padapter, psta);
283 }
284 }
285 }
286
287 spin_unlock_bh(&pstapriv->asoc_list_lock);
288
289 if (chk_alive_num) {
290
291 u8 backup_oper_channel = 0;
292 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
293 /* switch to correct channel of current network before issue keep-alive frames */
294 if (rtw_get_oper_ch23a(padapter) != pmlmeext->cur_channel) {
295 backup_oper_channel = rtw_get_oper_ch23a(padapter);
296 SelectChannel23a(padapter, pmlmeext->cur_channel);
6964213a 297 }
5e93f352
LF
298
299 /* issue null data to check sta alive*/
300 for (i = 0; i < chk_alive_num; i++) {
301
302 int ret = _FAIL;
303
6964213a 304 psta = chk_alive_list[i];
5e93f352
LF
305 if (!(psta->state &_FW_LINKED))
306 continue;
307
308 if (psta->state & WIFI_SLEEP_STATE)
309 ret = issue_nulldata23a(padapter, psta->hwaddr, 0, 1, 50);
310 else
311 ret = issue_nulldata23a(padapter, psta->hwaddr, 0, 3, 50);
312
313 psta->keep_alive_trycnt++;
314 if (ret == _SUCCESS)
315 {
316 DBG_8723A("asoc check, sta(" MAC_FMT ") is alive\n", MAC_ARG(psta->hwaddr));
317 psta->expire_to = pstapriv->expire_to;
318 psta->keep_alive_trycnt = 0;
319 continue;
320 }
321 else if (psta->keep_alive_trycnt <= 3)
322 {
323 DBG_8723A("ack check for asoc expire, keep_alive_trycnt =%d\n", psta->keep_alive_trycnt);
324 psta->expire_to = 1;
325 continue;
326 }
327
328 psta->keep_alive_trycnt = 0;
329
330 DBG_8723A("asoc expire "MAC_FMT", state = 0x%x\n", MAC_ARG(psta->hwaddr), psta->state);
331 spin_lock_bh(&pstapriv->asoc_list_lock);
332 if (!list_empty(&psta->asoc_list)) {
333 list_del_init(&psta->asoc_list);
334 pstapriv->asoc_list_cnt--;
335 updated = ap_free_sta23a(padapter, psta, false, WLAN_REASON_DEAUTH_LEAVING);
336 }
337 spin_unlock_bh(&pstapriv->asoc_list_lock);
338
339 }
340
341 if (backup_oper_channel>0) /* back to the original operation channel */
342 SelectChannel23a(padapter, backup_oper_channel);
343}
344
345 associated_clients_update23a(padapter, updated);
346}
347
348void add_RATid23a(struct rtw_adapter *padapter, struct sta_info *psta, u8 rssi_level)
349{
350 int i;
351 u8 rf_type;
352 u32 init_rate = 0;
353 unsigned char sta_band = 0, raid, shortGIrate = false;
354 unsigned char limit;
355 unsigned int tx_ra_bitmap = 0;
356 struct ht_priv *psta_ht = NULL;
357 struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
52017955 358 struct wlan_bssid_ex *pcur_network = &pmlmepriv->cur_network.network;
5e93f352
LF
359
360 if (psta)
361 psta_ht = &psta->htpriv;
362 else
363 return;
364
365 if (!(psta->state & _FW_LINKED))
366 return;
367
368 /* b/g mode ra_bitmap */
369 for (i = 0; i<sizeof(psta->bssrateset); i++)
370 {
371 if (psta->bssrateset[i])
372 tx_ra_bitmap |= rtw_get_bit_value_from_ieee_value23a(psta->bssrateset[i]&0x7f);
373 }
374 /* n mode ra_bitmap */
c2370e83
JS
375 if (psta_ht->ht_option) {
376 rf_type = rtl8723a_get_rf_type(padapter);
377
5e93f352
LF
378 if (rf_type == RF_2T2R)
379 limit = 16;/* 2R */
380 else
381 limit = 8;/* 1R */
382
5a443893
JS
383 for (i = 0; i < limit; i++) {
384 if (psta_ht->ht_cap.mcs.rx_mask[i / 8] & BIT(i % 8))
385 tx_ra_bitmap |= BIT(i + 12);
5e93f352
LF
386 }
387
388 /* max short GI rate */
389 shortGIrate = psta_ht->sgi;
390 }
391
392 if (pcur_network->Configuration.DSConfig > 14) {
393 /* 5G band */
394 if (tx_ra_bitmap & 0xffff000)
395 sta_band |= WIRELESS_11_5N | WIRELESS_11A;
396 else
397 sta_band |= WIRELESS_11A;
398 } else {
399 if (tx_ra_bitmap & 0xffff000)
400 sta_band |= WIRELESS_11_24N | WIRELESS_11G | WIRELESS_11B;
401 else if (tx_ra_bitmap & 0xff0)
402 sta_band |= WIRELESS_11G |WIRELESS_11B;
403 else
404 sta_band |= WIRELESS_11B;
405 }
406
407 psta->wireless_mode = sta_band;
408
409 raid = networktype_to_raid23a(sta_band);
410 init_rate = get_highest_rate_idx23a(tx_ra_bitmap&0x0fffffff)&0x3f;
411
412 if (psta->aid < NUM_STA)
413 {
414 u8 arg = 0;
415
416 arg = psta->mac_id&0x1f;
417
418 arg |= BIT(7);/* support entry 2~31 */
419
420 if (shortGIrate == true)
421 arg |= BIT(5);
422
423 tx_ra_bitmap |= ((raid<<28)&0xf0000000);
424
425 DBG_8723A("%s => mac_id:%d , raid:%d , bitmap = 0x%x, arg = "
426 "0x%x\n",
427 __func__, psta->mac_id, raid, tx_ra_bitmap, arg);
428
429 /* bitmap[0:27] = tx_rate_bitmap */
430 /* bitmap[28:31]= Rate Adaptive id */
431 /* arg[0:4] = macid */
432 /* arg[5] = Short GI */
433 rtw_hal_add_ra_tid23a(padapter, tx_ra_bitmap, arg, rssi_level);
434
435 if (shortGIrate == true)
436 init_rate |= BIT(6);
437
438 /* set ra_id, init_rate */
439 psta->raid = raid;
440 psta->init_rate = init_rate;
441
442 }
443 else
444 {
445 DBG_8723A("station aid %d exceed the max number\n", psta->aid);
446 }
447}
448
449static void update_bmc_sta(struct rtw_adapter *padapter)
450{
451 u32 init_rate = 0;
452 unsigned char network_type, raid;
453 int i, supportRateNum = 0;
454 unsigned int tx_ra_bitmap = 0;
455 struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
52017955 456 struct wlan_bssid_ex *pcur_network = &pmlmepriv->cur_network.network;
5e93f352
LF
457 struct sta_info *psta = rtw_get_bcmc_stainfo23a(padapter);
458
459 if (psta)
460 {
461 psta->aid = 0;/* default set to 0 */
462 psta->mac_id = psta->aid + 1;
463
464 psta->qos_option = 0;
465 psta->htpriv.ht_option = false;
466
467 psta->ieee8021x_blocked = 0;
468
469 memset((void*)&psta->sta_stats, 0, sizeof(struct stainfo_stats));
470
471 /* prepare for add_RATid23a */
472 supportRateNum = rtw_get_rateset_len23a((u8*)&pcur_network->SupportedRates);
473 network_type = rtw_check_network_type23a((u8*)&pcur_network->SupportedRates, supportRateNum, 1);
474
475 memcpy(psta->bssrateset, &pcur_network->SupportedRates, supportRateNum);
476 psta->bssratelen = supportRateNum;
477
478 /* b/g mode ra_bitmap */
479 for (i = 0; i<supportRateNum; i++)
480 {
481 if (psta->bssrateset[i])
482 tx_ra_bitmap |= rtw_get_bit_value_from_ieee_value23a(psta->bssrateset[i]&0x7f);
483 }
484
485 if (pcur_network->Configuration.DSConfig > 14) {
486 /* force to A mode. 5G doesn't support CCK rates */
487 network_type = WIRELESS_11A;
488 tx_ra_bitmap = 0x150; /* 6, 12, 24 Mbps */
489 } else {
490 /* force to b mode */
491 network_type = WIRELESS_11B;
492 tx_ra_bitmap = 0xf;
493 }
494
495 raid = networktype_to_raid23a(network_type);
496 init_rate = get_highest_rate_idx23a(tx_ra_bitmap&0x0fffffff)&0x3f;
497
498 /* ap mode */
499 rtw_hal_set_odm_var23a(padapter, HAL_ODM_STA_INFO, psta, true);
500
501 {
502 u8 arg = 0;
503
504 arg = psta->mac_id&0x1f;
505
506 arg |= BIT(7);
507
508 tx_ra_bitmap |= ((raid<<28)&0xf0000000);
509
510 DBG_8723A("update_bmc_sta, mask = 0x%x, arg = 0x%x\n", tx_ra_bitmap, arg);
511
512 /* bitmap[0:27] = tx_rate_bitmap */
513 /* bitmap[28:31]= Rate Adaptive id */
514 /* arg[0:4] = macid */
515 /* arg[5] = Short GI */
516 rtw_hal_add_ra_tid23a(padapter, tx_ra_bitmap, arg, 0);
517
518 }
519
520 /* set ra_id, init_rate */
521 psta->raid = raid;
522 psta->init_rate = init_rate;
523
5e93f352
LF
524 spin_lock_bh(&psta->lock);
525 psta->state = _FW_LINKED;
526 spin_unlock_bh(&psta->lock);
527
528 }
529 else
530 {
531 DBG_8723A("add_RATid23a_bmc_sta error!\n");
532 }
533}
534
535/* notes: */
536/* AID: 1~MAX for sta and 0 for bc/mc in ap/adhoc mode */
537/* MAC_ID = AID+1 for sta in ap/adhoc mode */
538/* MAC_ID = 1 for bc/mc for sta/ap/adhoc */
539/* MAC_ID = 0 for bssid for sta/ap/adhoc */
540/* CAM_ID = 0~3 for default key, cmd_id = macid + 3, macid = aid+1; */
541
542void update_sta_info23a_apmode23a(struct rtw_adapter *padapter, struct sta_info *psta)
543{
544 struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
545 struct security_priv *psecuritypriv = &padapter->securitypriv;
546 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
547 struct ht_priv *phtpriv_ap = &pmlmepriv->htpriv;
548 struct ht_priv *phtpriv_sta = &psta->htpriv;
549 /* set intf_tag to if1 */
550
551 psta->mac_id = psta->aid+1;
552 DBG_8723A("%s\n", __func__);
553
554 /* ap mode */
555 rtw_hal_set_odm_var23a(padapter, HAL_ODM_STA_INFO, psta, true);
556
557 if (psecuritypriv->dot11AuthAlgrthm == dot11AuthAlgrthm_8021X)
558 psta->ieee8021x_blocked = true;
559 else
560 psta->ieee8021x_blocked = false;
561
562 /* update sta's cap */
563
564 /* ERP */
565 VCS_update23a(padapter, psta);
566 /* HT related cap */
567 if (phtpriv_sta->ht_option)
568 {
569 /* check if sta supports rx ampdu */
570 phtpriv_sta->ampdu_enable = phtpriv_ap->ampdu_enable;
571
572 /* check if sta support s Short GI */
573 if ((phtpriv_sta->ht_cap.cap_info & phtpriv_ap->ht_cap.cap_info) & cpu_to_le16(IEEE80211_HT_CAP_SGI_20|IEEE80211_HT_CAP_SGI_40))
574 phtpriv_sta->sgi = true;
575
576 /* bwmode */
577 if ((phtpriv_sta->ht_cap.cap_info & phtpriv_ap->ht_cap.cap_info) & cpu_to_le16(IEEE80211_HT_CAP_SUP_WIDTH_20_40)) {
578 /* phtpriv_sta->bwmode = HT_CHANNEL_WIDTH_40; */
579 phtpriv_sta->bwmode = pmlmeext->cur_bwmode;
580 phtpriv_sta->ch_offset = pmlmeext->cur_ch_offset;
581
582 }
583
584 psta->qos_option = true;
585
586 }
587 else
588 {
589 phtpriv_sta->ampdu_enable = false;
590
591 phtpriv_sta->sgi = false;
592 phtpriv_sta->bwmode = HT_CHANNEL_WIDTH_20;
593 phtpriv_sta->ch_offset = HAL_PRIME_CHNL_OFFSET_DONT_CARE;
594 }
595
596 /* Rx AMPDU */
597 send_delba23a(padapter, 0, psta->hwaddr);/* recipient */
598
599 /* TX AMPDU */
600 send_delba23a(padapter, 1, psta->hwaddr);/* originator */
601 phtpriv_sta->agg_enable_bitmap = 0x0;/* reset */
602 phtpriv_sta->candidate_tid_bitmap = 0x0;/* reset */
603
604 /* todo: init other variables */
605
606 memset((void*)&psta->sta_stats, 0, sizeof(struct stainfo_stats));
607
608 spin_lock_bh(&psta->lock);
609 psta->state |= _FW_LINKED;
610 spin_unlock_bh(&psta->lock);
611}
612
613static void update_hw_ht_param(struct rtw_adapter *padapter)
614{
615 unsigned char max_AMPDU_len;
616 unsigned char min_MPDU_spacing;
617 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
618 struct mlme_ext_info *pmlmeinfo = &pmlmeext->mlmext_info;
619
620 DBG_8723A("%s\n", __func__);
621
622 /* handle A-MPDU parameter field */
623 /*
624 AMPDU_para [1:0]:Max AMPDU Len => 0:8k , 1:16k, 2:32k, 3:64k
625 AMPDU_para [4:2]:Min MPDU Start Spacing
626 */
627 max_AMPDU_len = pmlmeinfo->HT_caps.u.HT_cap_element.AMPDU_para & 0x03;
628
629 min_MPDU_spacing = (pmlmeinfo->HT_caps.u.HT_cap_element.AMPDU_para & 0x1c) >> 2;
630
dce610a7
JS
631 rtl8723a_set_ampdu_min_space(padapter, min_MPDU_spacing);
632 rtl8723a_set_ampdu_factor(padapter, max_AMPDU_len);
5e93f352
LF
633
634 /* Config SM Power Save setting */
635 pmlmeinfo->SM_PS = (pmlmeinfo->HT_caps.u.HT_cap_element.HT_caps_info & 0x0C) >> 2;
636 if (pmlmeinfo->SM_PS == WLAN_HT_CAP_SM_PS_STATIC)
637 DBG_8723A("%s(): WLAN_HT_CAP_SM_PS_STATIC\n", __func__);
638}
639
640static void start_bss_network(struct rtw_adapter *padapter, u8 *pbuf)
641{
731f9da7 642 const u8 *p;
5e93f352
LF
643 u8 val8, cur_channel, cur_bwmode, cur_ch_offset;
644 u16 bcn_interval;
645 u32 acparm;
5e93f352
LF
646 struct registry_priv *pregpriv = &padapter->registrypriv;
647 struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
648 struct security_priv* psecuritypriv = &padapter->securitypriv;
52017955 649 struct wlan_bssid_ex *pnetwork = &pmlmepriv->cur_network.network;
5e93f352
LF
650 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
651 struct mlme_ext_info *pmlmeinfo = &pmlmeext->mlmext_info;
652 struct wlan_bssid_ex *pnetwork_mlmeext = &pmlmeinfo->network;
653 struct HT_info_element *pht_info = NULL;
5e93f352
LF
654
655 bcn_interval = (u16)pnetwork->Configuration.BeaconPeriod;
656 cur_channel = pnetwork->Configuration.DSConfig;
657 cur_bwmode = HT_CHANNEL_WIDTH_20;;
658 cur_ch_offset = HAL_PRIME_CHNL_OFFSET_DONT_CARE;
659
660 /* check if there is wps ie, */
661 /* if there is wpsie in beacon, the hostapd will update beacon twice when stating hostapd, */
662 /* and at first time the security ie (RSN/WPA IE) will not include in beacon. */
663 if (NULL == rtw_get_wps_ie23a(pnetwork->IEs+_FIXED_IE_LENGTH_, pnetwork->IELength-_FIXED_IE_LENGTH_, NULL, NULL))
664 pmlmeext->bstart_bss = true;
665
666 /* todo: update wmm, ht cap */
667 /* pmlmeinfo->WMM_enable; */
668 /* pmlmeinfo->HT_enable; */
bd8ad4a5 669 if (pmlmepriv->qos_option)
5e93f352
LF
670 pmlmeinfo->WMM_enable = true;
671 if (pmlmepriv->htpriv.ht_option) {
672 pmlmeinfo->WMM_enable = true;
673 pmlmeinfo->HT_enable = true;
674
675 update_hw_ht_param(padapter);
676 }
677
678 if (pmlmepriv->cur_network.join_res != true) {
679 /* setting only at first time */
680 /* WEP Key will be set before this function, do not clear CAM. */
681 if ((psecuritypriv->dot11PrivacyAlgrthm != _WEP40_) && (psecuritypriv->dot11PrivacyAlgrthm != _WEP104_))
682 flush_all_cam_entry23a(padapter); /* clear CAM */
683 }
684
685 /* set MSR to AP_Mode */
686 Set_MSR23a(padapter, _HW_STATE_AP_);
687
688 /* Set BSSID REG */
38dd10b5 689 hw_var_set_bssid(padapter, pnetwork->MacAddress);
5e93f352
LF
690
691 /* Set EDCA param reg */
692 acparm = 0x002F3217; /* VO */
2487205e 693 rtl8723a_set_ac_param_vo(padapter, acparm);
5e93f352 694 acparm = 0x005E4317; /* VI */
2487205e 695 rtl8723a_set_ac_param_vi(padapter, acparm);
5e93f352 696 acparm = 0x005ea42b;
2487205e 697 rtl8723a_set_ac_param_be(padapter, acparm);
5e93f352 698 acparm = 0x0000A444; /* BK */
2487205e 699 rtl8723a_set_ac_param_bk(padapter, acparm);
5e93f352
LF
700
701 /* Set Security */
b8e99163
JS
702 val8 = (psecuritypriv->dot11AuthAlgrthm == dot11AuthAlgrthm_8021X) ?
703 0xcc: 0xcf;
704 rtl8723a_set_sec_cfg(padapter, val8);
5e93f352
LF
705
706 /* Beacon Control related register */
477f008d 707 rtl8723a_set_beacon_interval(padapter, bcn_interval);
5e93f352
LF
708
709 UpdateBrateTbl23a(padapter, pnetwork->SupportedRates);
fa2e5209 710 HalSetBrateCfg23a(padapter, pnetwork->SupportedRates);
5e93f352
LF
711
712 if (!pmlmepriv->cur_network.join_res) {
713 /* setting only at first time */
714
715 /* disable dynamic functions, such as high power, DIG */
716
717 /* turn on all dynamic functions */
585eefb4
JS
718 rtl8723a_odm_support_ability_set(padapter,
719 DYNAMIC_ALL_FUNC_ENABLE);
5e93f352
LF
720 }
721 /* set channel, bwmode */
731f9da7
JS
722 p = cfg80211_find_ie(WLAN_EID_HT_OPERATION,
723 pnetwork->IEs +
724 sizeof(struct ndis_802_11_fixed_ies),
725 pnetwork->IELength -
726 sizeof(struct ndis_802_11_fixed_ies));
727 if (p && p[1]) {
728 pht_info = (struct HT_info_element *)(p + 2);
729
730 if (pregpriv->cbw40_enable && pht_info->infos[0] & BIT(2)) {
5e93f352
LF
731 /* switch to the 40M Hz mode */
732 cur_bwmode = HT_CHANNEL_WIDTH_40;
733 switch (pht_info->infos[0] & 0x3) {
734 case 1:
731f9da7
JS
735 /* pmlmeext->cur_ch_offset =
736 HAL_PRIME_CHNL_OFFSET_LOWER; */
5e93f352
LF
737 cur_ch_offset = HAL_PRIME_CHNL_OFFSET_LOWER;
738 break;
739 case 3:
740 cur_ch_offset = HAL_PRIME_CHNL_OFFSET_UPPER;
741 break;
742 default:
743 cur_ch_offset = HAL_PRIME_CHNL_OFFSET_DONT_CARE;
744 break;
745 }
746 }
747 }
748 /* TODO: need to judge the phy parameters on concurrent mode for single phy */
749 set_channel_bwmode23a(padapter, cur_channel, cur_ch_offset, cur_bwmode);
750
751 DBG_8723A("CH =%d, BW =%d, offset =%d\n", cur_channel, cur_bwmode,
752 cur_ch_offset);
753
754 pmlmeext->cur_channel = cur_channel;
755 pmlmeext->cur_bwmode = cur_bwmode;
756 pmlmeext->cur_ch_offset = cur_ch_offset;
757 pmlmeext->cur_wireless_mode = pmlmepriv->cur_network.network_type;
758
759 /* update cur_wireless_mode */
760 update_wireless_mode23a(padapter);
761
762 /* udpate capability after cur_wireless_mode updated */
52017955 763 update_capinfo23a(padapter, rtw_get_capability23a(pnetwork));
5e93f352
LF
764
765 /* let pnetwork_mlmeext == pnetwork_mlme. */
766 memcpy(pnetwork_mlmeext, pnetwork, pnetwork->Length);
767
5e93f352 768 if (pmlmeext->bstart_bss) {
af2cb2c2 769 update_beacon23a(padapter, WLAN_EID_TIM, NULL, false);
5e93f352
LF
770
771 /* issue beacon frame */
772 if (send_beacon23a(padapter) == _FAIL)
773 DBG_8723A("issue_beacon23a, fail!\n");
774 }
775
776 /* update bc/mc sta_info */
777 update_bmc_sta(padapter);
778}
779
8282aeb6
JS
780int rtw_check_beacon_data23a(struct rtw_adapter *padapter, u8 *pbuf,
781 unsigned int len)
5e93f352
LF
782{
783 int ret = _SUCCESS;
784 u8 *p;
785 u8 *pHT_caps_ie = NULL;
786 u8 *pHT_info_ie = NULL;
787 struct sta_info *psta = NULL;
d7cfe7c8 788 __le16 *pbeacon;
5e93f352
LF
789 u16 cap, ht_cap = false;
790 uint ie_len = 0;
791 int group_cipher, pairwise_cipher;
792 u8 channel, network_type, supportRate[NDIS_802_11_LENGTH_RATES_EX];
793 int supportRateNum = 0;
5e93f352
LF
794 u8 WMM_PARA_IE[] = {0x00, 0x50, 0xf2, 0x02, 0x01, 0x01};
795 struct registry_priv *pregistrypriv = &padapter->registrypriv;
796 struct security_priv *psecuritypriv = &padapter->securitypriv;
797 struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
798 struct wlan_bssid_ex *pbss_network = &pmlmepriv->cur_network.network;
799 u8 *ie = pbss_network->IEs;
800
801 /* SSID */
802 /* Supported rates */
803 /* DS Params */
804 /* WLAN_EID_COUNTRY */
805 /* ERP Information element */
806 /* Extended supported rates */
807 /* WPA/WPA2 */
808 /* Wi-Fi Wireless Multimedia Extensions */
809 /* ht_capab, ht_oper */
810 /* WPS IE */
811
812 DBG_8723A("%s, len =%d\n", __func__, len);
813
814 if (!check_fwstate(pmlmepriv, WIFI_AP_STATE))
815 return _FAIL;
816
8282aeb6 817 if (len > MAX_IE_SZ)
5e93f352
LF
818 return _FAIL;
819
820 pbss_network->IELength = len;
821
822 memset(ie, 0, MAX_IE_SZ);
823
824 memcpy(ie, pbuf, pbss_network->IELength);
825
826 if (pbss_network->InfrastructureMode!= Ndis802_11APMode)
827 return _FAIL;
828
829 pbss_network->Rssi = 0;
830
831 memcpy(pbss_network->MacAddress, myid(&padapter->eeprompriv), ETH_ALEN);
832
833 /* beacon interval */
834 /* ie + 8; 8: TimeStamp, 2: Beacon Interval 2:Capability */
d7cfe7c8
JS
835 pbeacon = rtw_get_beacon_interval23a_from_ie(ie);
836 pbss_network->Configuration.BeaconPeriod = get_unaligned_le16(pbeacon);
5e93f352
LF
837
838 /* capability */
c17416ef 839 cap = get_unaligned_le16(ie);
5e93f352
LF
840
841 /* SSID */
af2cb2c2 842 p = rtw_get_ie23a(ie + _BEACON_IE_OFFSET_, WLAN_EID_SSID, &ie_len,
5e93f352
LF
843 (pbss_network->IELength -_BEACON_IE_OFFSET_));
844 if (p && ie_len > 0) {
845 memset(&pbss_network->Ssid, 0, sizeof(struct cfg80211_ssid));
846 memcpy(pbss_network->Ssid.ssid, (p + 2), ie_len);
847 pbss_network->Ssid.ssid_len = ie_len;
848 }
849
850 /* chnnel */
851 channel = 0;
852 pbss_network->Configuration.Length = 0;
af2cb2c2 853 p = rtw_get_ie23a(ie + _BEACON_IE_OFFSET_, WLAN_EID_DS_PARAMS, &ie_len,
5e93f352
LF
854 (pbss_network->IELength - _BEACON_IE_OFFSET_));
855 if (p && ie_len > 0)
856 channel = *(p + 2);
857
858 pbss_network->Configuration.DSConfig = channel;
859
860 memset(supportRate, 0, NDIS_802_11_LENGTH_RATES_EX);
861 /* get supported rates */
af2cb2c2 862 p = rtw_get_ie23a(ie + _BEACON_IE_OFFSET_, WLAN_EID_SUPP_RATES, &ie_len,
5e93f352
LF
863 (pbss_network->IELength - _BEACON_IE_OFFSET_));
864 if (p) {
865 memcpy(supportRate, p+2, ie_len);
866 supportRateNum = ie_len;
867 }
868
869 /* get ext_supported rates */
af2cb2c2 870 p = rtw_get_ie23a(ie + _BEACON_IE_OFFSET_, WLAN_EID_EXT_SUPP_RATES,
5e93f352
LF
871 &ie_len, pbss_network->IELength - _BEACON_IE_OFFSET_);
872 if (p) {
873 memcpy(supportRate+supportRateNum, p+2, ie_len);
874 supportRateNum += ie_len;
875 }
876
877 network_type = rtw_check_network_type23a(supportRate,
878 supportRateNum, channel);
879
880 rtw_set_supported_rate23a(pbss_network->SupportedRates, network_type);
881
882 /* parsing ERP_IE */
af2cb2c2 883 p = rtw_get_ie23a(ie + _BEACON_IE_OFFSET_, WLAN_EID_ERP_INFO, &ie_len,
5e93f352
LF
884 (pbss_network->IELength - _BEACON_IE_OFFSET_));
885 if (p && ie_len > 0)
886 ERP_IE_handler23a(padapter, (struct ndis_802_11_var_ies *)p);
887
888 /* update privacy/security */
889 if (cap & BIT(4))
890 pbss_network->Privacy = 1;
891 else
892 pbss_network->Privacy = 0;
893
894 psecuritypriv->wpa_psk = 0;
895
896 /* wpa2 */
897 group_cipher = 0; pairwise_cipher = 0;
898 psecuritypriv->wpa2_group_cipher = _NO_PRIVACY_;
899 psecuritypriv->wpa2_pairwise_cipher = _NO_PRIVACY_;
af2cb2c2 900 p = rtw_get_ie23a(ie + _BEACON_IE_OFFSET_, WLAN_EID_RSN, &ie_len,
5e93f352
LF
901 (pbss_network->IELength - _BEACON_IE_OFFSET_));
902 if (p && ie_len > 0) {
903 if (rtw_parse_wpa2_ie23a(p, ie_len+2, &group_cipher,
904 &pairwise_cipher, NULL) == _SUCCESS) {
905 psecuritypriv->dot11AuthAlgrthm = dot11AuthAlgrthm_8021X;
906
907 psecuritypriv->dot8021xalg = 1;/* psk, todo:802.1x */
908 psecuritypriv->wpa_psk |= BIT(1);
909
910 psecuritypriv->wpa2_group_cipher = group_cipher;
911 psecuritypriv->wpa2_pairwise_cipher = pairwise_cipher;
912 }
913 }
914
915 /* wpa */
916 ie_len = 0;
917 group_cipher = 0;
918 pairwise_cipher = 0;
919 psecuritypriv->wpa_group_cipher = _NO_PRIVACY_;
920 psecuritypriv->wpa_pairwise_cipher = _NO_PRIVACY_;
921 for (p = ie + _BEACON_IE_OFFSET_; ;p += (ie_len + 2)) {
9300c94b 922 p = rtw_get_ie23a(p, WLAN_EID_VENDOR_SPECIFIC, &ie_len,
5e93f352
LF
923 (pbss_network->IELength - _BEACON_IE_OFFSET_ -
924 (ie_len + 2)));
819d1815 925 if ((p) && (!memcmp(p+2, RTW_WPA_OUI23A_TYPE, 4))) {
5e93f352
LF
926 if (rtw_parse_wpa_ie23a(p, ie_len+2, &group_cipher,
927 &pairwise_cipher, NULL) == _SUCCESS) {
928 psecuritypriv->dot11AuthAlgrthm = dot11AuthAlgrthm_8021X;
929
930 /* psk, todo:802.1x */
931 psecuritypriv->dot8021xalg = 1;
932
933 psecuritypriv->wpa_psk |= BIT(0);
934
935 psecuritypriv->wpa_group_cipher = group_cipher;
936 psecuritypriv->wpa_pairwise_cipher = pairwise_cipher;
937 }
938 break;
939 }
940
941 if ((p == NULL) || (ie_len == 0))
942 break;
943 }
944
945 /* wmm */
946 ie_len = 0;
bd8ad4a5 947 pmlmepriv->qos_option = 0;
5e93f352
LF
948 if (pregistrypriv->wmm_enable) {
949 for (p = ie + _BEACON_IE_OFFSET_; ;p += (ie_len + 2)) {
9300c94b 950 p = rtw_get_ie23a(p, WLAN_EID_VENDOR_SPECIFIC, &ie_len,
5e93f352
LF
951 (pbss_network->IELength -
952 _BEACON_IE_OFFSET_ - (ie_len + 2)));
953 if ((p) && !memcmp(p+2, WMM_PARA_IE, 6)) {
bd8ad4a5 954 pmlmepriv->qos_option = 1;
5e93f352
LF
955
956 *(p+8) |= BIT(7);/* QoS Info, support U-APSD */
957
958 /* disable all ACM bits since the WMM admission
959 * control is not supported
960 */
961 *(p + 10) &= ~BIT(4); /* BE */
962 *(p + 14) &= ~BIT(4); /* BK */
963 *(p + 18) &= ~BIT(4); /* VI */
964 *(p + 22) &= ~BIT(4); /* VO */
965 break;
966 }
967 if ((p == NULL) || (ie_len == 0))
968 break;
969 }
970 }
971 /* parsing HT_CAP_IE */
af2cb2c2 972 p = rtw_get_ie23a(ie + _BEACON_IE_OFFSET_, WLAN_EID_HT_CAPABILITY, &ie_len,
5e93f352
LF
973 (pbss_network->IELength - _BEACON_IE_OFFSET_));
974 if (p && ie_len > 0) {
975 u8 rf_type;
976
977 struct ieee80211_ht_cap *pht_cap = (struct ieee80211_ht_cap *)(p+2);
978
979 pHT_caps_ie = p;
980
981 ht_cap = true;
982 network_type |= WIRELESS_11_24N;
983
c2370e83 984 rf_type = rtl8723a_get_rf_type(padapter);
5e93f352
LF
985
986 if ((psecuritypriv->wpa_pairwise_cipher & WPA_CIPHER_CCMP) ||
987 (psecuritypriv->wpa2_pairwise_cipher & WPA_CIPHER_CCMP))
988 pht_cap->ampdu_params_info |= (IEEE80211_HT_AMPDU_PARM_DENSITY & (0x07<<2));
989 else
990 pht_cap->ampdu_params_info |= (IEEE80211_HT_AMPDU_PARM_DENSITY&0x00);
991
992 /* set Max Rx AMPDU size to 64K */
993 pht_cap->ampdu_params_info |= (IEEE80211_HT_AMPDU_PARM_FACTOR & 0x03);
994
995 if (rf_type == RF_1T1R) {
996 pht_cap->mcs.rx_mask[0] = 0xff;
997 pht_cap->mcs.rx_mask[1] = 0x0;
998 }
999
1000 memcpy(&pmlmepriv->htpriv.ht_cap, p+2, ie_len);
1001 }
1002
1003 /* parsing HT_INFO_IE */
af2cb2c2 1004 p = rtw_get_ie23a(ie + _BEACON_IE_OFFSET_, WLAN_EID_HT_OPERATION, &ie_len,
5e93f352
LF
1005 (pbss_network->IELength - _BEACON_IE_OFFSET_));
1006 if (p && ie_len > 0)
1007 pHT_info_ie = p;
1008
1009 switch (network_type) {
1010 case WIRELESS_11B:
1011 pbss_network->NetworkTypeInUse = Ndis802_11DS;
1012 break;
1013 case WIRELESS_11G:
1014 case WIRELESS_11BG:
1015 case WIRELESS_11G_24N:
1016 case WIRELESS_11BG_24N:
1017 pbss_network->NetworkTypeInUse = Ndis802_11OFDM24;
1018 break;
1019 case WIRELESS_11A:
1020 pbss_network->NetworkTypeInUse = Ndis802_11OFDM5;
1021 break;
1022 default :
1023 pbss_network->NetworkTypeInUse = Ndis802_11OFDM24;
1024 break;
1025 }
1026
1027 pmlmepriv->cur_network.network_type = network_type;
1028
1029 pmlmepriv->htpriv.ht_option = false;
1030
1031 /* ht_cap */
1032 if (pregistrypriv->ht_enable && ht_cap) {
1033 pmlmepriv->htpriv.ht_option = true;
bd8ad4a5 1034 pmlmepriv->qos_option = 1;
5e93f352
LF
1035
1036 if (pregistrypriv->ampdu_enable == 1)
1037 pmlmepriv->htpriv.ampdu_enable = true;
1038
1039 HT_caps_handler23a(padapter, (struct ndis_802_11_var_ies *)pHT_caps_ie);
1040
1041 HT_info_handler23a(padapter, (struct ndis_802_11_var_ies *)pHT_info_ie);
1042 }
1043
52017955 1044 pbss_network->Length = get_wlan_bssid_ex_sz(pbss_network);
5e93f352
LF
1045
1046 /* issue beacon to start bss network */
1047 start_bss_network(padapter, (u8*)pbss_network);
1048
1049 /* alloc sta_info for ap itself */
1050 psta = rtw_get_stainfo23a(&padapter->stapriv, pbss_network->MacAddress);
1051 if (!psta) {
6e8bc71d
JS
1052 psta = rtw_alloc_stainfo23a(&padapter->stapriv,
1053 pbss_network->MacAddress,
1054 GFP_KERNEL);
5e93f352
LF
1055 if (!psta)
1056 return _FAIL;
1057 }
1058 /* fix bug of flush_cam_entry at STOP AP mode */
1059 psta->state |= WIFI_AP_STATE;
1060 rtw_indicate_connect23a(padapter);
1061
1062 /* for check if already set beacon */
1063 pmlmepriv->cur_network.join_res = true;
1064
1065 return ret;
1066}
1067
1068void rtw_set_macaddr_acl23a(struct rtw_adapter *padapter, int mode)
1069{
1070 struct sta_priv *pstapriv = &padapter->stapriv;
1071 struct wlan_acl_pool *pacl_list = &pstapriv->acl_list;
1072
1073 DBG_8723A("%s, mode =%d\n", __func__, mode);
1074
1075 pacl_list->mode = mode;
1076}
1077
1078int rtw_acl_add_sta23a(struct rtw_adapter *padapter, u8 *addr)
1079{
1080 struct list_head *plist, *phead;
1081 u8 added = false;
1082 int i, ret = 0;
1083 struct rtw_wlan_acl_node *paclnode;
1084 struct sta_priv *pstapriv = &padapter->stapriv;
1085 struct wlan_acl_pool *pacl_list = &pstapriv->acl_list;
1086 struct rtw_queue *pacl_node_q = &pacl_list->acl_node_q;
1087
1088 DBG_8723A("%s(acl_num =%d) =" MAC_FMT "\n", __func__, pacl_list->num, MAC_ARG(addr));
1089
1090 if ((NUM_ACL-1) < pacl_list->num)
1091 return -1;
1092
1093 spin_lock_bh(&pacl_node_q->lock);
1094
1095 phead = get_list_head(pacl_node_q);
1096
1097 list_for_each(plist, phead) {
1098 paclnode = container_of(plist, struct rtw_wlan_acl_node, list);
1099
1100 if (!memcmp(paclnode->addr, addr, ETH_ALEN)) {
1101 if (paclnode->valid == true) {
1102 added = true;
1103 DBG_8723A("%s, sta has been added\n", __func__);
1104 break;
1105 }
1106 }
1107 }
1108
1109 spin_unlock_bh(&pacl_node_q->lock);
1110
1111 if (added)
1112 return ret;
1113
1114 spin_lock_bh(&pacl_node_q->lock);
1115
1116 for (i = 0; i < NUM_ACL; i++) {
1117 paclnode = &pacl_list->aclnode[i];
1118
1119 if (!paclnode->valid) {
1120 INIT_LIST_HEAD(&paclnode->list);
1121
1122 memcpy(paclnode->addr, addr, ETH_ALEN);
1123
1124 paclnode->valid = true;
1125
1126 list_add_tail(&paclnode->list, get_list_head(pacl_node_q));
1127
1128 pacl_list->num++;
1129
1130 break;
1131 }
1132 }
1133
1134 DBG_8723A("%s, acl_num =%d\n", __func__, pacl_list->num);
1135
1136 spin_unlock_bh(&pacl_node_q->lock);
1137 return ret;
1138}
1139
1140int rtw_acl_remove_sta23a(struct rtw_adapter *padapter, u8 *addr)
1141{
1142 struct list_head *plist, *phead, *ptmp;
1143 struct rtw_wlan_acl_node *paclnode;
1144 struct sta_priv *pstapriv = &padapter->stapriv;
1145 struct wlan_acl_pool *pacl_list = &pstapriv->acl_list;
1146 struct rtw_queue *pacl_node_q = &pacl_list->acl_node_q;
1147 int ret = 0;
1148
1149 DBG_8723A("%s(acl_num =%d) = %pM\n", __func__, pacl_list->num, addr);
1150
1151 spin_lock_bh(&pacl_node_q->lock);
1152
1153 phead = get_list_head(pacl_node_q);
1154
1155 list_for_each_safe(plist, ptmp, phead) {
1156 paclnode = container_of(plist, struct rtw_wlan_acl_node, list);
1157
1158 if (!memcmp(paclnode->addr, addr, ETH_ALEN)) {
1159 if (paclnode->valid) {
1160 paclnode->valid = false;
1161
1162 list_del_init(&paclnode->list);
1163
1164 pacl_list->num--;
1165 }
1166 }
1167 }
1168
1169 spin_unlock_bh(&pacl_node_q->lock);
1170
1171 DBG_8723A("%s, acl_num =%d\n", __func__, pacl_list->num);
1172
1173 return ret;
1174}
1175
1176static void update_bcn_fixed_ie(struct rtw_adapter *padapter)
1177{
1178 DBG_8723A("%s\n", __func__);
1179}
1180
1181static void update_bcn_erpinfo_ie(struct rtw_adapter *padapter)
1182{
1183 struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
1184 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
1185 struct mlme_ext_info *pmlmeinfo = &pmlmeext->mlmext_info;
1186 struct wlan_bssid_ex *pnetwork = &pmlmeinfo->network;
1187 unsigned char *p, *ie = pnetwork->IEs;
1188 u32 len = 0;
1189
1190 DBG_8723A("%s, ERP_enable =%d\n", __func__, pmlmeinfo->ERP_enable);
1191
1192 if (!pmlmeinfo->ERP_enable)
1193 return;
1194
1195 /* parsing ERP_IE */
af2cb2c2 1196 p = rtw_get_ie23a(ie + _BEACON_IE_OFFSET_, WLAN_EID_ERP_INFO, &len, (pnetwork->IELength - _BEACON_IE_OFFSET_));
5e93f352
LF
1197 if (p && len>0)
1198 {
1199 struct ndis_802_11_var_ies * pIE = (struct ndis_802_11_var_ies *)p;
1200
1201 if (pmlmepriv->num_sta_non_erp == 1)
1202 pIE->data[0] |= WLAN_ERP_NON_ERP_PRESENT |
1203 WLAN_ERP_USE_PROTECTION;
1204 else
1205 pIE->data[0] &= ~(WLAN_ERP_NON_ERP_PRESENT |
1206 WLAN_ERP_USE_PROTECTION);
1207
1208 if (pmlmepriv->num_sta_no_short_preamble > 0)
1209 pIE->data[0] |= WLAN_ERP_BARKER_PREAMBLE;
1210 else
1211 pIE->data[0] &= ~(WLAN_ERP_BARKER_PREAMBLE);
1212
1213 ERP_IE_handler23a(padapter, pIE);
1214 }
1215}
1216
1217static void update_bcn_htcap_ie(struct rtw_adapter *padapter)
1218{
1219 DBG_8723A("%s\n", __func__);
1220}
1221
1222static void update_bcn_htinfo_ie(struct rtw_adapter *padapter)
1223{
1224 DBG_8723A("%s\n", __func__);
1225}
1226
1227static void update_bcn_rsn_ie(struct rtw_adapter *padapter)
1228{
1229 DBG_8723A("%s\n", __func__);
1230}
1231
1232static void update_bcn_wpa_ie(struct rtw_adapter *padapter)
1233{
1234 DBG_8723A("%s\n", __func__);
1235}
1236
1237static void update_bcn_wmm_ie(struct rtw_adapter *padapter)
1238{
1239 DBG_8723A("%s\n", __func__);
1240}
1241
1242static void update_bcn_wps_ie(struct rtw_adapter *padapter)
1243{
1244 u8 *pwps_ie = NULL, *pwps_ie_src, *premainder_ie, *pbackup_remainder_ie = NULL;
1245 uint wps_ielen = 0, wps_offset, remainder_ielen;
1246 struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
1247 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
1248 struct mlme_ext_info *pmlmeinfo = &pmlmeext->mlmext_info;
1249 struct wlan_bssid_ex *pnetwork = &pmlmeinfo->network;
1250 unsigned char *ie = pnetwork->IEs;
1251 u32 ielen = pnetwork->IELength;
1252
1253 DBG_8723A("%s\n", __func__);
1254
086f7a4d
CE
1255 pwps_ie_src = pmlmepriv->wps_beacon_ie;
1256 if (pwps_ie_src == NULL)
1257 return;
1258
5e93f352
LF
1259 pwps_ie = rtw_get_wps_ie23a(ie+_FIXED_IE_LENGTH_, ielen-_FIXED_IE_LENGTH_, NULL, &wps_ielen);
1260
1261 if (pwps_ie == NULL || wps_ielen == 0)
1262 return;
1263
1264 wps_offset = (uint)(pwps_ie-ie);
1265
1266 premainder_ie = pwps_ie + wps_ielen;
1267
1268 remainder_ielen = ielen - wps_offset - wps_ielen;
1269
1270 if (remainder_ielen > 0) {
1271 pbackup_remainder_ie = kmalloc(remainder_ielen, GFP_ATOMIC);
1272 if (pbackup_remainder_ie)
1273 memcpy(pbackup_remainder_ie, premainder_ie,
1274 remainder_ielen);
1275 }
1276
5e93f352
LF
1277 wps_ielen = (uint)pwps_ie_src[1];/* to get ie data len */
1278 if ((wps_offset+wps_ielen+2+remainder_ielen)<= MAX_IE_SZ)
1279 {
1280 memcpy(pwps_ie, pwps_ie_src, wps_ielen+2);
1281 pwps_ie += (wps_ielen+2);
1282
1283 if (pbackup_remainder_ie)
1284 memcpy(pwps_ie, pbackup_remainder_ie, remainder_ielen);
1285
1286 /* update IELength */
1287 pnetwork->IELength = wps_offset + (wps_ielen+2) + remainder_ielen;
1288 }
1289
1290 if (pbackup_remainder_ie)
1291 kfree(pbackup_remainder_ie);
1292}
1293
1294static void update_bcn_p2p_ie(struct rtw_adapter *padapter)
1295{
1296}
1297
1298static void update_bcn_vendor_spec_ie(struct rtw_adapter *padapter, u8*oui)
1299{
1300 DBG_8723A("%s\n", __func__);
1301
7964eba0 1302 if (!memcmp(RTW_WPA_OUI23A_TYPE, oui, 4))
5e93f352 1303 update_bcn_wpa_ie(padapter);
5e93f352 1304 else if (!memcmp(WMM_OUI23A, oui, 4))
5e93f352 1305 update_bcn_wmm_ie(padapter);
5e93f352 1306 else if (!memcmp(WPS_OUI23A, oui, 4))
5e93f352 1307 update_bcn_wps_ie(padapter);
5e93f352 1308 else if (!memcmp(P2P_OUI23A, oui, 4))
5e93f352 1309 update_bcn_p2p_ie(padapter);
5e93f352 1310 else
5e93f352 1311 DBG_8723A("unknown OUI type!\n");
5e93f352
LF
1312}
1313
1314void update_beacon23a(struct rtw_adapter *padapter, u8 ie_id, u8 *oui, u8 tx)
1315{
1316 struct mlme_priv *pmlmepriv;
1317 struct mlme_ext_priv *pmlmeext;
1318 /* struct mlme_ext_info *pmlmeinfo; */
1319
1320 /* DBG_8723A("%s\n", __func__); */
1321
1322 if (!padapter)
1323 return;
1324
1325 pmlmepriv = &padapter->mlmepriv;
1326 pmlmeext = &padapter->mlmeextpriv;
1327 /* pmlmeinfo = &pmlmeext->mlmext_info; */
1328
1329 if (false == pmlmeext->bstart_bss)
1330 return;
1331
1332 spin_lock_bh(&pmlmepriv->bcn_update_lock);
1333
1334 switch (ie_id)
1335 {
b54e8804
JS
1336 case 0xFF:
1337 /* 8: TimeStamp, 2: Beacon Interval 2:Capability */
1338 update_bcn_fixed_ie(padapter);
1339 break;
5e93f352 1340
af2cb2c2 1341 case WLAN_EID_TIM:
b54e8804
JS
1342 update_BCNTIM(padapter);
1343 break;
5e93f352 1344
af2cb2c2 1345 case WLAN_EID_ERP_INFO:
b54e8804
JS
1346 update_bcn_erpinfo_ie(padapter);
1347 break;
5e93f352 1348
af2cb2c2 1349 case WLAN_EID_HT_CAPABILITY:
b54e8804
JS
1350 update_bcn_htcap_ie(padapter);
1351 break;
5e93f352 1352
af2cb2c2 1353 case WLAN_EID_RSN:
b54e8804
JS
1354 update_bcn_rsn_ie(padapter);
1355 break;
5e93f352 1356
af2cb2c2 1357 case WLAN_EID_HT_OPERATION:
b54e8804
JS
1358 update_bcn_htinfo_ie(padapter);
1359 break;
5e93f352 1360
9300c94b 1361 case WLAN_EID_VENDOR_SPECIFIC:
b54e8804
JS
1362 update_bcn_vendor_spec_ie(padapter, oui);
1363 break;
5e93f352 1364
b54e8804
JS
1365 default:
1366 break;
5e93f352
LF
1367 }
1368
1369 pmlmepriv->update_bcn = true;
1370
1371 spin_unlock_bh(&pmlmepriv->bcn_update_lock);
1372
1373 if (tx)
1374 set_tx_beacon_cmd23a(padapter);
1375}
1376
1377/*
1378op_mode
1379Set to 0 (HT pure) under the followign conditions
1380 - all STAs in the BSS are 20/40 MHz HT in 20/40 MHz BSS or
1381 - all STAs in the BSS are 20 MHz HT in 20 MHz BSS
1382Set to 1 (HT non-member protection) if there may be non-HT STAs
1383 in both the primary and the secondary channel
1384Set to 2 if only HT STAs are associated in BSS,
1385 however and at least one 20 MHz HT STA is associated
1386Set to 3 (HT mixed mode) when one or more non-HT STAs are associated
1387 (currently non-GF HT station is considered as non-HT STA also)
1388*/
1389static int rtw_ht_operation_update(struct rtw_adapter *padapter)
1390{
1391 u16 cur_op_mode, new_op_mode;
1392 int op_mode_changes = 0;
1393 struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
1394 struct ht_priv *phtpriv_ap = &pmlmepriv->htpriv;
1395
1396 if (pmlmepriv->htpriv.ht_option == true)
1397 return 0;
1398
1399 /* if (!iface->conf->ieee80211n || iface->conf->ht_op_mode_fixed) */
1400 /* return 0; */
1401
1402 DBG_8723A("%s current operation mode = 0x%X\n",
1403 __func__, pmlmepriv->ht_op_mode);
1404
1405 if (!(pmlmepriv->ht_op_mode & HT_INFO_OPERATION_MODE_NON_GF_DEVS_PRESENT)
1406 && pmlmepriv->num_sta_ht_no_gf) {
1407 pmlmepriv->ht_op_mode |=
1408 HT_INFO_OPERATION_MODE_NON_GF_DEVS_PRESENT;
1409 op_mode_changes++;
1410 } else if ((pmlmepriv->ht_op_mode &
1411 HT_INFO_OPERATION_MODE_NON_GF_DEVS_PRESENT) &&
1412 pmlmepriv->num_sta_ht_no_gf == 0) {
1413 pmlmepriv->ht_op_mode &=
1414 ~HT_INFO_OPERATION_MODE_NON_GF_DEVS_PRESENT;
1415 op_mode_changes++;
1416 }
1417
1418 if (!(pmlmepriv->ht_op_mode & HT_INFO_OPERATION_MODE_NON_HT_STA_PRESENT) &&
1419 (pmlmepriv->num_sta_no_ht || pmlmepriv->olbc_ht)) {
1420 pmlmepriv->ht_op_mode |= HT_INFO_OPERATION_MODE_NON_HT_STA_PRESENT;
1421 op_mode_changes++;
1422 } else if ((pmlmepriv->ht_op_mode &
1423 HT_INFO_OPERATION_MODE_NON_HT_STA_PRESENT) &&
1424 (pmlmepriv->num_sta_no_ht == 0 && !pmlmepriv->olbc_ht)) {
1425 pmlmepriv->ht_op_mode &=
1426 ~HT_INFO_OPERATION_MODE_NON_HT_STA_PRESENT;
1427 op_mode_changes++;
1428 }
1429
1430 /* Note: currently we switch to the MIXED op mode if HT non-greenfield
1431 * station is associated. Probably it's a theoretical case, since
1432 * it looks like all known HT STAs support greenfield.
1433 */
1434 new_op_mode = 0;
1435 if (pmlmepriv->num_sta_no_ht ||
1436 (pmlmepriv->ht_op_mode & HT_INFO_OPERATION_MODE_NON_GF_DEVS_PRESENT))
1437 new_op_mode = OP_MODE_MIXED;
a96ae6f4
LF
1438 else if ((le16_to_cpu(phtpriv_ap->ht_cap.cap_info) &
1439 IEEE80211_HT_CAP_SUP_WIDTH_20_40) &&
1440 pmlmepriv->num_sta_ht_20mhz)
5e93f352
LF
1441 new_op_mode = OP_MODE_20MHZ_HT_STA_ASSOCED;
1442 else if (pmlmepriv->olbc_ht)
1443 new_op_mode = OP_MODE_MAY_BE_LEGACY_STAS;
1444 else
1445 new_op_mode = OP_MODE_PURE;
1446
1447 cur_op_mode = pmlmepriv->ht_op_mode & HT_INFO_OPERATION_MODE_OP_MODE_MASK;
1448 if (cur_op_mode != new_op_mode) {
1449 pmlmepriv->ht_op_mode &= ~HT_INFO_OPERATION_MODE_OP_MODE_MASK;
1450 pmlmepriv->ht_op_mode |= new_op_mode;
1451 op_mode_changes++;
1452 }
1453
1454 DBG_8723A("%s new operation mode = 0x%X changes =%d\n",
1455 __func__, pmlmepriv->ht_op_mode, op_mode_changes);
1456
1457 return op_mode_changes;
1458}
1459
1460void associated_clients_update23a(struct rtw_adapter *padapter, u8 updated)
1461{
1462 /* update associcated stations cap. */
1463 if (updated == true)
1464 {
1465 struct list_head *phead, *plist, *ptmp;
1466 struct sta_info *psta;
1467 struct sta_priv *pstapriv = &padapter->stapriv;
1468
1469 spin_lock_bh(&pstapriv->asoc_list_lock);
1470
1471 phead = &pstapriv->asoc_list;
1472
1473 list_for_each_safe(plist, ptmp, phead) {
1474 psta = container_of(plist, struct sta_info, asoc_list);
1475
1476 VCS_update23a(padapter, psta);
1477 }
1478
1479 spin_unlock_bh(&pstapriv->asoc_list_lock);
1480 }
1481}
1482
1483/* called > TSR LEVEL for USB or SDIO Interface*/
1484void bss_cap_update_on_sta_join23a(struct rtw_adapter *padapter, struct sta_info *psta)
1485{
1486 u8 beacon_updated = false;
1487 struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
1488 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
1489
1490 if (!(psta->flags & WLAN_STA_SHORT_PREAMBLE))
1491 {
1492 if (!psta->no_short_preamble_set)
1493 {
1494 psta->no_short_preamble_set = 1;
1495
1496 pmlmepriv->num_sta_no_short_preamble++;
1497
1498 if ((pmlmeext->cur_wireless_mode > WIRELESS_11B) &&
1499 (pmlmepriv->num_sta_no_short_preamble == 1))
1500 {
1501 beacon_updated = true;
1502 update_beacon23a(padapter, 0xFF, NULL, true);
1503 }
1504
1505 }
1506 }
1507 else
1508 {
1509 if (psta->no_short_preamble_set)
1510 {
1511 psta->no_short_preamble_set = 0;
1512
1513 pmlmepriv->num_sta_no_short_preamble--;
1514
1515 if ((pmlmeext->cur_wireless_mode > WIRELESS_11B) &&
1516 (pmlmepriv->num_sta_no_short_preamble == 0))
1517 {
1518 beacon_updated = true;
1519 update_beacon23a(padapter, 0xFF, NULL, true);
1520 }
1521
1522 }
1523 }
1524
1525 if (psta->flags & WLAN_STA_NONERP)
1526 {
1527 if (!psta->nonerp_set)
1528 {
1529 psta->nonerp_set = 1;
1530
1531 pmlmepriv->num_sta_non_erp++;
1532
1533 if (pmlmepriv->num_sta_non_erp == 1)
1534 {
1535 beacon_updated = true;
af2cb2c2 1536 update_beacon23a(padapter, WLAN_EID_ERP_INFO, NULL, true);
5e93f352
LF
1537 }
1538 }
1539
1540 }
1541 else
1542 {
1543 if (psta->nonerp_set)
1544 {
1545 psta->nonerp_set = 0;
1546
1547 pmlmepriv->num_sta_non_erp--;
1548
1549 if (pmlmepriv->num_sta_non_erp == 0)
1550 {
1551 beacon_updated = true;
af2cb2c2 1552 update_beacon23a(padapter, WLAN_EID_ERP_INFO, NULL, true);
5e93f352
LF
1553 }
1554 }
1555
1556 }
1557
1558 if (!(psta->capability & WLAN_CAPABILITY_SHORT_SLOT_TIME))
1559 {
1560 if (!psta->no_short_slot_time_set)
1561 {
1562 psta->no_short_slot_time_set = 1;
1563
1564 pmlmepriv->num_sta_no_short_slot_time++;
1565
1566 if ((pmlmeext->cur_wireless_mode > WIRELESS_11B) &&
1567 (pmlmepriv->num_sta_no_short_slot_time == 1))
1568 {
1569 beacon_updated = true;
1570 update_beacon23a(padapter, 0xFF, NULL, true);
1571 }
1572
1573 }
1574 }
1575 else
1576 {
1577 if (psta->no_short_slot_time_set)
1578 {
1579 psta->no_short_slot_time_set = 0;
1580
1581 pmlmepriv->num_sta_no_short_slot_time--;
1582
1583 if ((pmlmeext->cur_wireless_mode > WIRELESS_11B) &&
1584 (pmlmepriv->num_sta_no_short_slot_time == 0))
1585 {
1586 beacon_updated = true;
1587 update_beacon23a(padapter, 0xFF, NULL, true);
1588 }
1589 }
1590 }
1591
1592 if (psta->flags & WLAN_STA_HT)
1593 {
1594 u16 ht_capab = le16_to_cpu(psta->htpriv.ht_cap.cap_info);
1595
1596 DBG_8723A("HT: STA " MAC_FMT " HT Capabilities "
1597 "Info: 0x%04x\n", MAC_ARG(psta->hwaddr), ht_capab);
1598
1599 if (psta->no_ht_set) {
1600 psta->no_ht_set = 0;
1601 pmlmepriv->num_sta_no_ht--;
1602 }
1603
1604 if ((ht_capab & IEEE80211_HT_CAP_GRN_FLD) == 0) {
1605 if (!psta->no_ht_gf_set) {
1606 psta->no_ht_gf_set = 1;
1607 pmlmepriv->num_sta_ht_no_gf++;
1608 }
1609 DBG_8723A("%s STA " MAC_FMT " - no "
1610 "greenfield, num of non-gf stations %d\n",
1611 __func__, MAC_ARG(psta->hwaddr),
1612 pmlmepriv->num_sta_ht_no_gf);
1613 }
1614
1615 if ((ht_capab & IEEE80211_HT_CAP_SUP_WIDTH_20_40) == 0) {
1616 if (!psta->ht_20mhz_set) {
1617 psta->ht_20mhz_set = 1;
1618 pmlmepriv->num_sta_ht_20mhz++;
1619 }
1620 DBG_8723A("%s STA " MAC_FMT " - 20 MHz HT, "
1621 "num of 20MHz HT STAs %d\n",
1622 __func__, MAC_ARG(psta->hwaddr),
1623 pmlmepriv->num_sta_ht_20mhz);
1624 }
1625
1626 }
1627 else
1628 {
1629 if (!psta->no_ht_set) {
1630 psta->no_ht_set = 1;
1631 pmlmepriv->num_sta_no_ht++;
1632 }
1633 if (pmlmepriv->htpriv.ht_option == true) {
1634 DBG_8723A("%s STA " MAC_FMT
1635 " - no HT, num of non-HT stations %d\n",
1636 __func__, MAC_ARG(psta->hwaddr),
1637 pmlmepriv->num_sta_no_ht);
1638 }
1639 }
1640
1641 if (rtw_ht_operation_update(padapter) > 0)
1642 {
af2cb2c2
JS
1643 update_beacon23a(padapter, WLAN_EID_HT_CAPABILITY, NULL, false);
1644 update_beacon23a(padapter, WLAN_EID_HT_OPERATION, NULL, true);
5e93f352
LF
1645 }
1646
1647 /* update associcated stations cap. */
1648 associated_clients_update23a(padapter, beacon_updated);
1649
1650 DBG_8723A("%s, updated =%d\n", __func__, beacon_updated);
1651}
1652
1653u8 bss_cap_update_on_sta_leave23a(struct rtw_adapter *padapter, struct sta_info *psta)
1654{
1655 u8 beacon_updated = false;
1656 struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
1657 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
1658
1659 if (!psta)
1660 return beacon_updated;
1661
1662 if (psta->no_short_preamble_set) {
1663 psta->no_short_preamble_set = 0;
1664 pmlmepriv->num_sta_no_short_preamble--;
1665 if (pmlmeext->cur_wireless_mode > WIRELESS_11B
1666 && pmlmepriv->num_sta_no_short_preamble == 0)
1667 {
1668 beacon_updated = true;
1669 update_beacon23a(padapter, 0xFF, NULL, true);
1670 }
1671 }
1672
1673 if (psta->nonerp_set) {
1674 psta->nonerp_set = 0;
1675 pmlmepriv->num_sta_non_erp--;
1676 if (pmlmepriv->num_sta_non_erp == 0)
1677 {
1678 beacon_updated = true;
af2cb2c2
JS
1679 update_beacon23a(padapter, WLAN_EID_ERP_INFO,
1680 NULL, true);
5e93f352
LF
1681 }
1682 }
1683
1684 if (psta->no_short_slot_time_set) {
1685 psta->no_short_slot_time_set = 0;
1686 pmlmepriv->num_sta_no_short_slot_time--;
1687 if (pmlmeext->cur_wireless_mode > WIRELESS_11B
1688 && pmlmepriv->num_sta_no_short_slot_time == 0)
1689 {
1690 beacon_updated = true;
1691 update_beacon23a(padapter, 0xFF, NULL, true);
1692 }
1693 }
1694
1695 if (psta->no_ht_gf_set) {
1696 psta->no_ht_gf_set = 0;
1697 pmlmepriv->num_sta_ht_no_gf--;
1698 }
1699
1700 if (psta->no_ht_set) {
1701 psta->no_ht_set = 0;
1702 pmlmepriv->num_sta_no_ht--;
1703 }
1704
1705 if (psta->ht_20mhz_set) {
1706 psta->ht_20mhz_set = 0;
1707 pmlmepriv->num_sta_ht_20mhz--;
1708 }
1709
1710 if (rtw_ht_operation_update(padapter) > 0)
1711 {
af2cb2c2
JS
1712 update_beacon23a(padapter, WLAN_EID_HT_CAPABILITY, NULL, false);
1713 update_beacon23a(padapter, WLAN_EID_HT_OPERATION, NULL, true);
5e93f352
LF
1714 }
1715
1716 /* update associcated stations cap. */
1717
1718 DBG_8723A("%s, updated =%d\n", __func__, beacon_updated);
1719
1720 return beacon_updated;
1721}
1722
1723u8 ap_free_sta23a(struct rtw_adapter *padapter, struct sta_info *psta, bool active, u16 reason)
1724{
1725 struct sta_priv *pstapriv = &padapter->stapriv;
1726 u8 beacon_updated = false;
1727
1728 if (!psta)
1729 return beacon_updated;
1730
1731 if (active == true)
1732 {
1733 /* tear down Rx AMPDU */
1734 send_delba23a(padapter, 0, psta->hwaddr);/* recipient */
1735
1736 /* tear down TX AMPDU */
1737 send_delba23a(padapter, 1, psta->hwaddr);/* originator */
1738
1739 issue_deauth23a(padapter, psta->hwaddr, reason);
1740 }
1741
1742 psta->htpriv.agg_enable_bitmap = 0x0;/* reset */
1743 psta->htpriv.candidate_tid_bitmap = 0x0;/* reset */
1744
1745 /* report_del_sta_event23a(padapter, psta->hwaddr, reason); */
1746
1747 /* clear cam entry / key */
1748 /* clear_cam_entry23a(padapter, (psta->mac_id + 3)); */
1749 rtw_clearstakey_cmd23a(padapter, (u8*)psta, (u8)(psta->mac_id + 3), true);
1750
1751 spin_lock_bh(&psta->lock);
1752 psta->state &= ~_FW_LINKED;
1753 spin_unlock_bh(&psta->lock);
1754
1755 rtw_cfg80211_indicate_sta_disassoc(padapter, psta->hwaddr, reason);
1756
1757 report_del_sta_event23a(padapter, psta->hwaddr, reason);
1758
1759 beacon_updated = bss_cap_update_on_sta_leave23a(padapter, psta);
1760
1761 spin_lock_bh(&pstapriv->sta_hash_lock);
1762 rtw_free_stainfo23a(padapter, psta);
1763 spin_unlock_bh(&pstapriv->sta_hash_lock);
1764
1765 return beacon_updated;
1766}
1767
1768int rtw_ap_inform_ch_switch23a (struct rtw_adapter *padapter, u8 new_ch, u8 ch_offset)
1769{
1770 struct list_head *phead, *plist;
1771 int ret = 0;
1772 struct sta_info *psta = NULL;
1773 struct sta_priv *pstapriv = &padapter->stapriv;
1774 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
1775 struct mlme_ext_info *pmlmeinfo = &pmlmeext->mlmext_info;
1776 u8 bc_addr[ETH_ALEN] = {0xff, 0xff, 0xff, 0xff, 0xff, 0xff};
1777
1778 if ((pmlmeinfo->state&0x03) != WIFI_FW_AP_STATE)
1779 return ret;
1780
a790d58e
JS
1781 DBG_8723A("%s(%s): with ch:%u, offset:%u\n", __func__,
1782 padapter->pnetdev->name, new_ch, ch_offset);
5e93f352
LF
1783
1784 spin_lock_bh(&pstapriv->asoc_list_lock);
1785 phead = &pstapriv->asoc_list;
1786
1787 list_for_each(plist, phead) {
1788 psta = container_of(plist, struct sta_info, asoc_list);
1789
1790 issue_action_spct_ch_switch23a (padapter, psta->hwaddr, new_ch, ch_offset);
1791 psta->expire_to = ((pstapriv->expire_to * 2) > 5) ? 5 : (pstapriv->expire_to * 2);
1792 }
1793 spin_unlock_bh(&pstapriv->asoc_list_lock);
1794
1795 issue_action_spct_ch_switch23a (padapter, bc_addr, new_ch, ch_offset);
1796
1797 return ret;
1798}
1799
1800int rtw_sta_flush23a(struct rtw_adapter *padapter)
1801{
1802 struct list_head *phead, *plist, *ptmp;
1803 int ret = 0;
1804 struct sta_info *psta;
1805 struct sta_priv *pstapriv = &padapter->stapriv;
1806 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
1807 struct mlme_ext_info *pmlmeinfo = &pmlmeext->mlmext_info;
1808 u8 bc_addr[ETH_ALEN] = {0xff, 0xff, 0xff, 0xff, 0xff, 0xff};
1809 u8 chk_alive_num = 0;
6964213a 1810 struct sta_info *chk_alive_list[NUM_STA];
5e93f352
LF
1811 int i;
1812
a790d58e 1813 DBG_8723A("%s(%s)\n", __func__, padapter->pnetdev->name);
5e93f352
LF
1814
1815 if ((pmlmeinfo->state&0x03) != WIFI_FW_AP_STATE)
1816 return ret;
1817
1818 spin_lock_bh(&pstapriv->asoc_list_lock);
1819 phead = &pstapriv->asoc_list;
1820
1821 list_for_each_safe(plist, ptmp, phead) {
5e93f352
LF
1822 psta = container_of(plist, struct sta_info, asoc_list);
1823
1824 /* Remove sta from asoc_list */
1825 list_del_init(&psta->asoc_list);
1826 pstapriv->asoc_list_cnt--;
1827
1828 /* Keep sta for ap_free_sta23a() beyond this asoc_list loop */
6964213a 1829 chk_alive_list[chk_alive_num++] = psta;
5e93f352
LF
1830 }
1831 spin_unlock_bh(&pstapriv->asoc_list_lock);
1832
1833 /* For each sta in chk_alive_list, call ap_free_sta23a */
6964213a
JS
1834 for (i = 0; i < chk_alive_num; i++)
1835 ap_free_sta23a(padapter, chk_alive_list[i], true,
1836 WLAN_REASON_DEAUTH_LEAVING);
5e93f352
LF
1837
1838 issue_deauth23a(padapter, bc_addr, WLAN_REASON_DEAUTH_LEAVING);
1839
1840 associated_clients_update23a(padapter, true);
1841
1842 return ret;
1843}
1844
1845/* called > TSR LEVEL for USB or SDIO Interface*/
1846void sta_info_update23a(struct rtw_adapter *padapter, struct sta_info *psta)
1847{
1848 int flags = psta->flags;
1849 struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
1850
1851 /* update wmm cap. */
1852 if (WLAN_STA_WME&flags)
1853 psta->qos_option = 1;
1854 else
1855 psta->qos_option = 0;
1856
bd8ad4a5 1857 if (pmlmepriv->qos_option == 0)
5e93f352
LF
1858 psta->qos_option = 0;
1859
1860 /* update 802.11n ht cap. */
1861 if (WLAN_STA_HT&flags)
1862 {
1863 psta->htpriv.ht_option = true;
1864 psta->qos_option = 1;
1865 }
1866 else
1867 {
1868 psta->htpriv.ht_option = false;
1869 }
1870
1871 if (pmlmepriv->htpriv.ht_option == false)
1872 psta->htpriv.ht_option = false;
1873
1874 update_sta_info23a_apmode23a(padapter, psta);
1875}
1876
1877/* called >= TSR LEVEL for USB or SDIO Interface*/
1878void ap_sta_info_defer_update23a(struct rtw_adapter *padapter, struct sta_info *psta)
1879{
1880 if (psta->state & _FW_LINKED)
1881 {
1882 /* add ratid */
1883 add_RATid23a(padapter, psta, 0);/* DM_RATR_STA_INIT */
1884 }
1885}
1886
1887/* restore hw setting from sw data structures */
1888void rtw_ap_restore_network(struct rtw_adapter *padapter)
1889{
1890 struct mlme_priv *mlmepriv = &padapter->mlmepriv;
1891 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
1892 struct sta_priv * pstapriv = &padapter->stapriv;
1893 struct sta_info *psta;
1894 struct security_priv *psecuritypriv = &padapter->securitypriv;
1895 struct list_head *phead, *plist, *ptmp;
1896 u8 chk_alive_num = 0;
6964213a 1897 struct sta_info *chk_alive_list[NUM_STA];
5e93f352
LF
1898 int i;
1899
1900 rtw_setopmode_cmd23a(padapter, Ndis802_11APMode);
1901
1902 set_channel_bwmode23a(padapter, pmlmeext->cur_channel, pmlmeext->cur_ch_offset, pmlmeext->cur_bwmode);
1903
1904 start_bss_network(padapter, (u8*)&mlmepriv->cur_network.network);
1905
1906 if ((padapter->securitypriv.dot11PrivacyAlgrthm == _TKIP_) ||
1907 (padapter->securitypriv.dot11PrivacyAlgrthm == _AES_))
1908 {
1909 /* restore group key, WEP keys is restored in ips_leave23a() */
1910 rtw_set_key23a(padapter, psecuritypriv, psecuritypriv->dot118021XGrpKeyid, 0);
1911 }
1912
1913 /* per sta pairwise key and settings */
1914 if ((padapter->securitypriv.dot11PrivacyAlgrthm != _TKIP_) &&
1915 (padapter->securitypriv.dot11PrivacyAlgrthm != _AES_)) {
1916 return;
1917 }
1918
1919 spin_lock_bh(&pstapriv->asoc_list_lock);
1920
1921 phead = &pstapriv->asoc_list;
1922
1923 list_for_each_safe(plist, ptmp, phead) {
5e93f352
LF
1924 psta = container_of(plist, struct sta_info, asoc_list);
1925
6964213a 1926 chk_alive_list[chk_alive_num++] = psta;
5e93f352
LF
1927 }
1928
1929 spin_unlock_bh(&pstapriv->asoc_list_lock);
1930
1931 for (i = 0; i < chk_alive_num; i++) {
6964213a 1932 psta = chk_alive_list[i];
5e93f352 1933
6964213a 1934 if (psta->state &_FW_LINKED) {
5e93f352
LF
1935 Update_RA_Entry23a(padapter, psta);
1936 /* pairwise key */
1937 rtw_setstakey_cmd23a(padapter, (unsigned char *)psta, true);
1938 }
1939 }
1940}
1941
1942void start_ap_mode23a(struct rtw_adapter *padapter)
1943{
1944 int i;
1945 struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
1946 struct sta_priv *pstapriv = &padapter->stapriv;
1947 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
1948 struct wlan_acl_pool *pacl_list = &pstapriv->acl_list;
1949
1950 pmlmepriv->update_bcn = false;
1951
1952 /* init_mlme_ap_info23a(padapter); */
1953 pmlmeext->bstart_bss = false;
1954
1955 pmlmepriv->num_sta_non_erp = 0;
1956
1957 pmlmepriv->num_sta_no_short_slot_time = 0;
1958
1959 pmlmepriv->num_sta_no_short_preamble = 0;
1960
1961 pmlmepriv->num_sta_ht_no_gf = 0;
1962 pmlmepriv->num_sta_no_ht = 0;
1963 pmlmepriv->num_sta_ht_20mhz = 0;
1964
1965 pmlmepriv->olbc = false;
1966
1967 pmlmepriv->olbc_ht = false;
1968
1969 pmlmepriv->ht_op_mode = 0;
1970
1971 for (i = 0; i<NUM_STA; i++)
1972 pstapriv->sta_aid[i] = NULL;
1973
1974 pmlmepriv->wps_beacon_ie = NULL;
1975 pmlmepriv->wps_probe_resp_ie = NULL;
1976 pmlmepriv->wps_assoc_resp_ie = NULL;
1977
1978 pmlmepriv->p2p_beacon_ie = NULL;
1979 pmlmepriv->p2p_probe_resp_ie = NULL;
1980
1981 /* for ACL */
1982 INIT_LIST_HEAD(&pacl_list->acl_node_q.queue);
1983 pacl_list->num = 0;
1984 pacl_list->mode = 0;
1985 for (i = 0; i < NUM_ACL; i++) {
1986 INIT_LIST_HEAD(&pacl_list->aclnode[i].list);
1987 pacl_list->aclnode[i].valid = false;
1988 }
1989}
1990
1991void stop_ap_mode23a(struct rtw_adapter *padapter)
1992{
1993 struct list_head *phead, *plist, *ptmp;
1994 struct rtw_wlan_acl_node *paclnode;
1995 struct sta_info *psta = NULL;
1996 struct sta_priv *pstapriv = &padapter->stapriv;
1997 struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
1998 struct mlme_ext_priv *pmlmeext = &padapter->mlmeextpriv;
1999 struct wlan_acl_pool *pacl_list = &pstapriv->acl_list;
2000 struct rtw_queue *pacl_node_q = &pacl_list->acl_node_q;
2001
2002 pmlmepriv->update_bcn = false;
2003 pmlmeext->bstart_bss = false;
2004
2005 /* reset and init security priv , this can refine with rtw_reset_securitypriv23a */
2006 memset((unsigned char *)&padapter->securitypriv, 0, sizeof (struct security_priv));
2007 padapter->securitypriv.ndisauthtype = Ndis802_11AuthModeOpen;
2008 padapter->securitypriv.ndisencryptstatus = Ndis802_11WEPDisabled;
2009
2010 /* for ACL */
2011 spin_lock_bh(&pacl_node_q->lock);
2012 phead = get_list_head(pacl_node_q);
2013
2014 list_for_each_safe(plist, ptmp, phead) {
2015 paclnode = container_of(plist, struct rtw_wlan_acl_node, list);
2016
2017 if (paclnode->valid == true) {
2018 paclnode->valid = false;
2019
2020 list_del_init(&paclnode->list);
2021
2022 pacl_list->num--;
2023 }
2024 }
2025 spin_unlock_bh(&pacl_node_q->lock);
2026
2027 DBG_8723A("%s, free acl_node_queue, num =%d\n", __func__, pacl_list->num);
2028
2029 rtw_sta_flush23a(padapter);
2030
2031 /* free_assoc_sta_resources */
2032 rtw_free_all_stainfo23a(padapter);
2033
2034 psta = rtw_get_bcmc_stainfo23a(padapter);
2035 spin_lock_bh(&pstapriv->sta_hash_lock);
2036 rtw_free_stainfo23a(padapter, psta);
2037 spin_unlock_bh(&pstapriv->sta_hash_lock);
2038
2039 rtw_init_bcmc_stainfo23a(padapter);
2040
2041 rtw23a_free_mlme_priv_ie_data(pmlmepriv);
2042}
2043
2044#endif /* CONFIG_8723AU_AP_MODE */
This page took 0.12999 seconds and 5 git commands to generate.