gdb: Convert language la_word_break_characters field to a method
[deliverable/binutils-gdb.git] / gas / testsuite / gas / aarch64 / sve2.s
CommitLineData
e111c7d1
MM
1/* The instructions with non-zero register numbers are there to ensure we have
2 the correct argument positioning (i.e. check that the first argument is at
3 the end of the word etc).
4 The instructions with all-zero register numbers are to ensure the previous
5 encoding didn't just "happen" to fit -- so that if we change the registers
6 that changes the correct part of the word.
7 Each of the numbered patterns begin and end with a 1, so we can replace
8 them with all-zeros and see the entire range has changed.
9 17 -> 10001
10 21 -> 10101
11 27 -> 11011
12 */
13
14movprfx z0, z1
15adclb z0.d, z1.d, z2.d
16
17adclb z17.s, z21.s, z27.s
18adclb z0.s, z0.s, z0.s
19adclb z0.d, z0.d, z0.d
20
21adclt z17.s, z21.s, z27.s
22adclt z0.s, z0.s, z0.s
23adclt z0.d, z0.d, z0.d
24
25addhnb z17.b, z21.h, z27.h
26addhnb z0.b, z0.h, z0.h
27addhnb z0.h, z0.s, z0.s
28addhnb z0.s, z0.d, z0.d
29
30addhnt z17.b, z21.h, z27.h
31addhnt z0.b, z0.h, z0.h
32addhnt z0.h, z0.s, z0.s
33addhnt z0.s, z0.d, z0.d
34
35movprfx z0.d, p0/m, z1.d
36addp z0.d, p0/m, z0.d, z1.d
37
38addp z17.b, p5/m, z17.b, z21.b
39addp z0.b, p0/m, z0.b, z0.b
40addp z0.h, p0/m, z0.h, z0.h
41addp z0.s, p0/m, z0.s, z0.s
42addp z0.d, p0/m, z0.d, z0.d
43
44aesd z17.b, z17.b, z21.b
45aesd z0.b, z0.b, z0.b
46aese z17.b, z17.b, z21.b
47aese z0.b, z0.b, z0.b
48
49aesimc z17.b, z17.b
50aesimc z0.b, z0.b
51
52aesmc z17.b, z17.b
53aesmc z0.b, z0.b
54
55bcax z17.d, z17.d, z21.d, z27.d
56bcax z0.d, z0.d, z0.d, z0.d
57
58bsl z17.d, z17.d, z21.d, z27.d
59bsl z0.d, z0.d, z0.d, z0.d
60
61bsl1n z17.d, z17.d, z21.d, z27.d
62bsl1n z0.d, z0.d, z0.d, z0.d
63
64bsl2n z17.d, z17.d, z21.d, z27.d
65bsl2n z0.d, z0.d, z0.d, z0.d
66
67bdep z17.b, z21.b, z27.b
68bdep z0.b, z0.b, z0.b
69bdep z0.h, z0.h, z0.h
70bdep z0.s, z0.s, z0.s
71bdep z0.d, z0.d, z0.d
72
73bext z17.b, z21.b, z27.b
74bext z0.b, z0.b, z0.b
75bext z0.h, z0.h, z0.h
76bext z0.s, z0.s, z0.s
77bext z0.d, z0.d, z0.d
78
79bgrp z17.b, z21.b, z27.b
80bgrp z0.b, z0.b, z0.b
81bgrp z0.h, z0.h, z0.h
82bgrp z0.s, z0.s, z0.s
83bgrp z0.d, z0.d, z0.d
84
85cadd z17.b, z17.b, z21.b, #90
86cadd z0.b, z0.b, z0.b, #90
87cadd z0.h, z0.h, z0.h, #90
88cadd z0.s, z0.s, z0.s, #90
89cadd z0.d, z0.d, z0.d, #90
90cadd z0.b, z0.b, z0.b, #270
91
92cdot z17.s, z21.b, z3.b[3], #0
93cdot z0.s, z0.b, z0.b[0], #0
94cdot z0.s, z0.b, z0.b[0], #90
95cdot z0.s, z0.b, z0.b[0], #180
96cdot z0.s, z0.b, z0.b[0], #270
97
98cdot z17.d, z21.h, z11.h[1], #0
99cdot z0.d, z0.h, z0.h[0], #0
100cdot z0.d, z0.h, z0.h[0], #90
101cdot z0.d, z0.h, z0.h[0], #180
102cdot z0.d, z0.h, z0.h[0], #270
103
104cdot z17.s, z21.b, z27.b, #0
105cdot z0.s, z0.b, z0.b, #0
106cdot z0.d, z0.h, z0.h, #0
107cdot z0.s, z0.b, z0.b, #90
108cdot z0.s, z0.b, z0.b, #180
109cdot z0.s, z0.b, z0.b, #270
110
111cmla z17.h, z21.h, z3.h[3], #0
112cmla z0.h, z0.h, z0.h[0], #0
113cmla z0.h, z0.h, z0.h[0], #90
114cmla z0.h, z0.h, z0.h[0], #180
115cmla z0.h, z0.h, z0.h[0], #270
116
117cmla z17.s, z21.s, z11.s[1], #0
118cmla z0.s, z0.s, z0.s[0], #0
119cmla z0.s, z0.s, z0.s[0], #90
120cmla z0.s, z0.s, z0.s[0], #180
121cmla z0.s, z0.s, z0.s[0], #270
122
123cmla z17.b, z21.b, z27.b, #0
124cmla z0.b, z0.b, z0.b, #0
125cmla z0.h, z0.h, z0.h, #0
126cmla z0.s, z0.s, z0.s, #0
127cmla z0.d, z0.d, z0.d, #0
128cmla z0.b, z0.b, z0.b, #90
129cmla z0.b, z0.b, z0.b, #180
130cmla z0.b, z0.b, z0.b, #270
131
132eor3 z17.d, z17.d, z21.d, z27.d
133eor3 z0.d, z0.d, z0.d, z0.d
134
135eorbt z17.b, z21.b, z27.b
136eorbt z0.b, z0.b, z0.b
137eorbt z0.h, z0.h, z0.h
138eorbt z0.s, z0.s, z0.s
139eorbt z0.d, z0.d, z0.d
140
141eortb z17.b, z21.b, z27.b
142eortb z0.b, z0.b, z0.b
143eortb z0.h, z0.h, z0.h
144eortb z0.s, z0.s, z0.s
145eortb z0.d, z0.d, z0.d
146
147ext z17.b, { z21.b, z22.b }, #221
148ext z0.b, { z0.b, z1.b }, #0
149ext z0.b, { z31.b, z0.b }, #0
150
151faddp z17.h, p5/m, z17.h, z21.h
152faddp z0.h, p0/m, z0.h, z0.h
153faddp z0.s, p0/m, z0.s, z0.s
154faddp z0.d, p0/m, z0.d, z0.d
155
156fcvtlt z17.s, p5/m, z21.h
157fcvtlt z0.s, p0/m, z0.h
158fcvtlt z17.d, p5/m, z21.s
159fcvtlt z0.d, p0/m, z0.s
160
161fcvtnt z17.h, p5/m, z21.s
162fcvtnt z0.h, p0/m, z0.s
163fcvtnt z17.s, p5/m, z21.d
164fcvtnt z0.s, p0/m, z0.d
165
166fcvtx z17.s, p5/m, z21.d
167fcvtx z0.s, p0/m, z0.d
168
169movprfx z0.d, p0/z, z1.d
170fcvtx z0.s, p0/m, z2.d
171
172fcvtxnt z17.s, p5/m, z21.d
173fcvtxnt z0.s, p0/m, z0.d
174
175flogb z17.h, p5/m, z21.h
176flogb z0.h, p0/m, z0.h
177flogb z0.s, p0/m, z0.s
178flogb z0.d, p0/m, z0.d
179
180fmaxnmp z17.h, p5/m, z17.h, z21.h
181fmaxnmp z0.h, p0/m, z0.h, z0.h
182fmaxnmp z0.s, p0/m, z0.s, z0.s
183fmaxnmp z0.d, p0/m, z0.d, z0.d
184
185fmaxp z17.h, p5/m, z17.h, z21.h
186fmaxp z0.h, p0/m, z0.h, z0.h
187fmaxp z0.s, p0/m, z0.s, z0.s
188fmaxp z0.d, p0/m, z0.d, z0.d
189
190fminnmp z17.h, p5/m, z17.h, z21.h
191fminnmp z0.h, p0/m, z0.h, z0.h
192fminnmp z0.s, p0/m, z0.s, z0.s
193fminnmp z0.d, p0/m, z0.d, z0.d
194
195fminp z17.h, p5/m, z17.h, z21.h
196fminp z0.h, p0/m, z0.h, z0.h
197fminp z0.s, p0/m, z0.s, z0.s
198fminp z0.d, p0/m, z0.d, z0.d
199
200fmlalb z17.s, z21.h, z5.h[0]
201fmlalb z0.s, z0.h, z0.h[5]
202fmlalb z0.s, z0.h, z0.h[0]
203
204fmlalb z17.s, z21.h, z27.h
205fmlalb z0.s, z0.h, z0.h
206
207fmlalt z17.s, z21.h, z5.h[0]
208fmlalt z0.s, z0.h, z0.h[5]
209fmlalt z0.s, z0.h, z0.h[0]
210
211fmlalt z17.s, z21.h, z27.h
212fmlalt z0.s, z0.h, z0.h
213
214fmlslb z17.s, z21.h, z5.h[0]
215fmlslb z0.s, z0.h, z0.h[5]
216fmlslb z0.s, z0.h, z0.h[0]
217
218fmlslb z17.s, z21.h, z27.h
219fmlslb z0.s, z0.h, z0.h
220
221fmlslt z17.s, z21.h, z5.h[0]
222fmlslt z0.s, z0.h, z0.h[5]
223fmlslt z0.s, z0.h, z0.h[0]
224
225fmlslt z17.s, z21.h, z27.h
226fmlslt z0.s, z0.h, z0.h
227
228histcnt z17.s, p5/z, z21.s, z27.s
229histcnt z0.s, p0/z, z0.s, z0.s
230histcnt z0.d, p0/z, z0.d, z0.d
231
232histseg z17.b, z21.b, z27.b
233histseg z0.b, z0.b, z0.b
234
235ldnt1b { z17.d }, p5/z, [z21.d, x27]
236ldnt1b { z0.d }, p0/z, [z0.d, x0]
237ldnt1b { z0.d }, p0/z, [z0.d]
238ldnt1b { z0.d }, p0/z, [z0.d, xzr]
239ldnt1b { z17.s }, p5/z, [z21.s, x27]
240ldnt1b { z0.s }, p0/z, [z0.s, x0]
241ldnt1b { z0.s }, p0/z, [z0.s]
242ldnt1b { z0.s }, p0/z, [z0.s, xzr]
243
244ldnt1d { z17.d }, p5/z, [z21.d, x27]
245ldnt1d { z0.d }, p0/z, [z0.d, x0]
246ldnt1d { z0.d }, p0/z, [z0.d]
247ldnt1d { z0.d }, p0/z, [z0.d, xzr]
248
249ldnt1h { z17.d }, p5/z, [z21.d, x27]
250ldnt1h { z0.d }, p0/z, [z0.d, x0]
251ldnt1h { z0.d }, p0/z, [z0.d]
252ldnt1h { z0.d }, p0/z, [z0.d, xzr]
253ldnt1h { z17.s }, p5/z, [z21.s, x27]
254ldnt1h { z0.s }, p0/z, [z0.s, x0]
255ldnt1h { z0.s }, p0/z, [z0.s]
256ldnt1h { z0.s }, p0/z, [z0.s, xzr]
257
258ldnt1sb { z17.s }, p5/z, [z21.s, x27]
259ldnt1sb { z0.s }, p0/z, [z0.s, x0]
260ldnt1sb { z0.s }, p0/z, [z0.s]
261ldnt1sb { z0.s }, p0/z, [z0.s, xzr]
262ldnt1sb { z0.d }, p0/z, [z0.d, x0]
263ldnt1sb { z0.d }, p0/z, [z0.d]
264ldnt1sb { z0.d }, p0/z, [z0.d, xzr]
265
266ldnt1sh { z17.s }, p5/z, [z21.s, x27]
267ldnt1sh { z0.s }, p0/z, [z0.s, x0]
268ldnt1sh { z0.s }, p0/z, [z0.s]
269ldnt1sh { z0.s }, p0/z, [z0.s, xzr]
270ldnt1sh { z0.d }, p0/z, [z0.d, x0]
271ldnt1sh { z0.d }, p0/z, [z0.d]
272ldnt1sh { z0.d }, p0/z, [z0.d, xzr]
273
274ldnt1sw { z17.d }, p5/z, [z21.d, x27]
275ldnt1sw { z0.d }, p0/z, [z0.d, x0]
276ldnt1sw { z0.d }, p0/z, [z0.d]
277ldnt1sw { z0.d }, p0/z, [z0.d, xzr]
278
279ldnt1w { z17.s }, p5/z, [z21.s, x27]
280ldnt1w { z0.s }, p0/z, [z0.s, x0]
281ldnt1w { z0.s }, p0/z, [z0.s]
282ldnt1w { z0.s }, p0/z, [z0.s, xzr]
283ldnt1w { z17.d }, p5/z, [z21.d, x27]
284ldnt1w { z0.d }, p0/z, [z0.d, x0]
285ldnt1w { z0.d }, p0/z, [z0.d]
286ldnt1w { z0.d }, p0/z, [z0.d, xzr]
287
288match p9.b, p5/z, z17.b, z21.b
289match p0.b, p0/z, z17.b, z21.b
290match p0.b, p0/z, z0.b, z0.b
291match p0.h, p0/z, z0.h, z0.h
292
293mla z17.h, z21.h, z3.h[3]
294mla z0.h, z0.h, z0.h[4]
295mla z0.h, z0.h, z0.h[0]
296
297mla z17.s, z21.s, z3.s[3]
298mla z0.s, z0.s, z0.s[0]
299
300mla z17.d, z21.d, z11.d[1]
301mla z0.d, z0.d, z0.d[0]
302
303mls z17.h, z21.h, z3.h[3]
304mls z0.h, z0.h, z0.h[4]
305mls z0.h, z0.h, z0.h[0]
306
307mls z17.s, z21.s, z3.s[3]
308mls z0.s, z0.s, z0.s[0]
309
310mls z17.d, z21.d, z11.d[1]
311mls z0.d, z0.d, z0.d[0]
312
313mul z17.h, z21.h, z3.h[3]
314mul z0.h, z0.h, z0.h[4]
315mul z0.h, z0.h, z0.h[0]
316
317mul z17.s, z21.s, z3.s[3]
318mul z0.s, z0.s, z0.s[0]
319
320mul z17.d, z21.d, z11.d[1]
321mul z0.d, z0.d, z0.d[0]
322
323mul z17.b, z21.b, z27.b
324mul z0.b, z0.b, z0.b
325mul z0.h, z0.h, z0.h
326mul z0.s, z0.s, z0.s
327mul z0.d, z0.d, z0.d
328
329nmatch p9.b, p5/z, z21.b, z27.b
330nmatch p0.b, p0/z, z0.b, z0.b
331nmatch p0.h, p0/z, z0.h, z0.h
332
333nbsl z17.d, z17.d, z21.d, z27.d
334nbsl z0.d, z0.d, z0.d, z0.d
335
336pmul z17.b, z21.b, z27.b
337pmul z0.b, z0.b, z0.b
338
339pmullb z17.q, z21.d, z27.d
340pmullb z0.q, z0.d, z0.d
41be57ca
MM
341
342pmullb z17.h, z21.b, z27.b
e111c7d1
MM
343pmullb z0.h, z0.b, z0.b
344pmullb z0.d, z0.s, z0.s
345
346pmullt z17.q, z21.d, z27.d
347pmullt z0.q, z0.d, z0.d
41be57ca
MM
348
349pmullt z17.h, z21.b, z27.b
e111c7d1
MM
350pmullt z0.h, z0.b, z0.b
351pmullt z0.d, z0.s, z0.s
352
353raddhnb z17.b, z21.h, z27.h
354raddhnb z0.b, z0.h, z0.h
355raddhnb z0.h, z0.s, z0.s
356raddhnb z0.s, z0.d, z0.d
357
358raddhnt z17.b, z21.h, z27.h
359raddhnt z0.b, z0.h, z0.h
360raddhnt z0.h, z0.s, z0.s
361raddhnt z0.s, z0.d, z0.d
362
363rax1 z17.d, z21.d, z27.d
364rax1 z0.d, z0.d, z0.d
365
366# Shift is encoded as 2*esize - (tsz:imm3)
367# For .b .h first two bits are 0, want 1001 to match pattern of ones on the
368# outside, hence use 7.
369# For all zeros except the minimum size bit, use maximum size.
370rshrnb z17.b, z21.h, #7
371rshrnb z0.b, z0.h, #1
372rshrnb z0.b, z0.h, #8
373# .h .s 0100001 = 15
374rshrnb z0.h, z0.s, #1
375rshrnb z0.h, z0.s, #15
376rshrnb z0.h, z0.s, #16
377# .s .d 1000001 = 31
378rshrnb z0.s, z0.d, #1
379rshrnb z0.s, z0.d, #31
380rshrnb z0.s, z0.d, #32
381
382rshrnt z17.b, z21.h, #7
383rshrnt z0.b, z0.h, #1
384rshrnt z0.b, z0.h, #8
385rshrnt z0.h, z0.s, #1
386rshrnt z0.h, z0.s, #15
387rshrnt z0.h, z0.s, #16
388rshrnt z0.s, z0.d, #1
389rshrnt z0.s, z0.d, #31
390rshrnt z0.s, z0.d, #32
391
392rsubhnb z17.b, z21.h, z27.h
393rsubhnb z0.b, z0.h, z0.h
394rsubhnb z0.h, z0.s, z0.s
395rsubhnb z0.s, z0.d, z0.d
396
397rsubhnt z17.b, z21.h, z27.h
398rsubhnt z0.b, z0.h, z0.h
399rsubhnt z0.h, z0.s, z0.s
400rsubhnt z0.s, z0.d, z0.d
401
402saba z17.b, z21.b, z27.b
403saba z0.b, z0.b, z0.b
404saba z0.h, z0.h, z0.h
405saba z0.s, z0.s, z0.s
406saba z0.d, z0.d, z0.d
407
408sabalb z17.h, z21.b, z27.b
409sabalb z0.h, z0.b, z0.b
410sabalb z0.s, z0.h, z0.h
411sabalb z0.d, z0.s, z0.s
412
413sabalt z17.h, z21.b, z27.b
414sabalt z0.h, z0.b, z0.b
415sabalt z0.s, z0.h, z0.h
416sabalt z0.d, z0.s, z0.s
417
418sabdlb z17.h, z21.b, z27.b
419sabdlb z0.h, z0.b, z0.b
420sabdlb z0.s, z0.h, z0.h
421sabdlb z0.d, z0.s, z0.s
422
423sabdlt z17.h, z21.b, z27.b
424sabdlt z0.h, z0.b, z0.b
425sabdlt z0.s, z0.h, z0.h
426sabdlt z0.d, z0.s, z0.s
427
428sadalp z17.h, p5/m, z21.b
429sadalp z0.h, p0/m, z0.b
430sadalp z0.s, p0/m, z0.h
431sadalp z0.d, p0/m, z0.s
432
433saddlb z17.h, z21.b, z27.b
434saddlb z0.h, z0.b, z0.b
435saddlb z0.s, z0.h, z0.h
436saddlb z0.d, z0.s, z0.s
437
438saddlbt z17.h, z21.b, z27.b
439saddlbt z0.h, z0.b, z0.b
440saddlbt z0.s, z0.h, z0.h
441saddlbt z0.d, z0.s, z0.s
442
443saddlt z17.h, z21.b, z27.b
444saddlt z0.h, z0.b, z0.b
445saddlt z0.s, z0.h, z0.h
446saddlt z0.d, z0.s, z0.s
447
448saddwb z17.h, z21.h, z27.b
449saddwb z0.h, z0.h, z0.b
450saddwb z0.s, z0.s, z0.h
451saddwb z0.d, z0.d, z0.s
452
453saddwt z17.h, z21.h, z27.b
454saddwt z0.h, z0.h, z0.b
455saddwt z0.s, z0.s, z0.h
456saddwt z0.d, z0.d, z0.s
457
458sbclb z17.s, z21.s, z27.s
459sbclb z0.s, z0.s, z0.s
460sbclb z0.d, z0.d, z0.d
461
462sbclt z17.s, z21.s, z27.s
463sbclt z0.s, z0.s, z0.s
464sbclt z0.d, z0.d, z0.d
465
466shadd z17.b, p5/m, z17.b, z21.b
467shadd z0.b, p0/m, z0.b, z0.b
468shadd z0.h, p0/m, z0.h, z0.h
469shadd z0.s, p0/m, z0.s, z0.s
470shadd z0.d, p0/m, z0.d, z0.d
471
472shrnb z17.b, z21.h, #7
473shrnb z0.b, z0.h, #1
474shrnb z0.b, z0.h, #8
475shrnb z0.h, z0.s, #1
476shrnb z0.h, z0.s, #15
477shrnb z0.h, z0.s, #16
478shrnb z0.s, z0.d, #1
479shrnb z0.s, z0.d, #31
480shrnb z0.s, z0.d, #32
481
482shrnt z17.b, z21.h, #7
483shrnt z0.b, z0.h, #1
484shrnt z0.b, z0.h, #8
485shrnt z0.h, z0.s, #1
486shrnt z0.h, z0.s, #15
487shrnt z0.h, z0.s, #16
488shrnt z0.s, z0.d, #1
489shrnt z0.s, z0.d, #31
490shrnt z0.s, z0.d, #32
491
492shsub z17.b, p5/m, z17.b, z21.b
493shsub z0.b, p0/m, z0.b, z0.b
494shsub z0.h, p0/m, z0.h, z0.h
495shsub z0.s, p0/m, z0.s, z0.s
496shsub z0.d, p0/m, z0.d, z0.d
497
498shsubr z17.b, p5/m, z17.b, z21.b
499shsubr z0.b, p0/m, z0.b, z0.b
500shsubr z0.h, p0/m, z0.h, z0.h
501shsubr z0.s, p0/m, z0.s, z0.s
502shsubr z0.d, p0/m, z0.d, z0.d
503
504# shift - esize == 0b1001
505# All other tests alternate between 1000... and 1111...
506sli z17.b, z21.b, #1
507sli z0.b, z0.b, #0
508sli z0.b, z0.b, #7
509sli z0.h, z0.h, #0
510sli z0.h, z0.h, #15
511sli z0.s, z0.s, #0
512sli z0.s, z0.s, #31
513sli z0.d, z0.d, #0
514sli z0.d, z0.d, #63
515
516sm4e z17.s, z17.s, z21.s
517sm4e z0.s, z0.s, z0.s
518
519sm4ekey z17.s, z21.s, z27.s
520sm4ekey z0.s, z0.s, z0.s
521
522smaxp z17.b, p5/m, z17.b, z21.b
523smaxp z0.b, p0/m, z0.b, z0.b
524smaxp z0.h, p0/m, z0.h, z0.h
525smaxp z0.s, p0/m, z0.s, z0.s
526smaxp z0.d, p0/m, z0.d, z0.d
527
528sminp z17.b, p5/m, z17.b, z21.b
529sminp z0.b, p0/m, z0.b, z0.b
530sminp z0.h, p0/m, z0.h, z0.h
531sminp z0.s, p0/m, z0.s, z0.s
532sminp z0.d, p0/m, z0.d, z0.d
533
534smlalb z17.s, z21.h, z5.h[0]
535smlalb z0.s, z0.h, z0.h[5]
536smlalb z0.s, z0.h, z0.h[0]
537
538smlalb z17.d, z21.s, z9.s[0]
539smlalb z0.d, z0.s, z0.s[3]
540smlalb z0.d, z0.s, z0.s[0]
541
542smlalb z17.h, z21.b, z27.b
543smlalb z0.h, z0.b, z0.b
544smlalb z0.s, z0.h, z0.h
545smlalb z0.d, z0.s, z0.s
546
547smlalt z17.s, z21.h, z5.h[0]
548smlalt z0.s, z0.h, z0.h[5]
549smlalt z0.s, z0.h, z0.h[0]
550
551smlalt z17.d, z21.s, z9.s[0]
552smlalt z0.d, z0.s, z0.s[3]
553smlalt z0.d, z0.s, z0.s[0]
554
555smlalt z17.h, z21.b, z27.b
556smlalt z0.h, z0.b, z0.b
557smlalt z0.s, z0.h, z0.h
558smlalt z0.d, z0.s, z0.s
559
560smlslb z17.s, z21.h, z5.h[0]
561smlslb z0.s, z0.h, z0.h[5]
562smlslb z0.s, z0.h, z0.h[0]
563
564smlslb z17.d, z21.s, z9.s[0]
565smlslb z0.d, z0.s, z0.s[3]
566smlslb z0.d, z0.s, z0.s[0]
567
568smlslb z17.h, z21.b, z27.b
569smlslb z0.h, z0.b, z0.b
570smlslb z0.s, z0.h, z0.h
571smlslb z0.d, z0.s, z0.s
572
573smlslt z17.s, z21.h, z5.h[0]
574smlslt z0.s, z0.h, z0.h[5]
575smlslt z0.s, z0.h, z0.h[0]
576
577smlslt z17.d, z21.s, z9.s[0]
578smlslt z0.d, z0.s, z0.s[3]
579smlslt z0.d, z0.s, z0.s[0]
580
581smlslt z17.h, z21.b, z27.b
582smlslt z0.h, z0.b, z0.b
583smlslt z0.s, z0.h, z0.h
584smlslt z0.d, z0.s, z0.s
585
586smulh z17.b, z21.b, z27.b
587smulh z0.b, z0.b, z0.b
588smulh z0.h, z0.h, z0.h
589smulh z0.s, z0.s, z0.s
590smulh z0.d, z0.d, z0.d
591
592smullb z17.s, z21.h, z5.h[0]
593smullb z0.s, z0.h, z0.h[5]
594smullb z0.s, z0.h, z0.h[0]
595
596smullb z17.d, z21.s, z9.s[0]
597smullb z0.d, z0.s, z0.s[3]
598smullb z0.d, z0.s, z0.s[0]
599
600smullb z17.h, z21.b, z27.b
601smullb z0.h, z0.b, z0.b
602smullb z0.s, z0.h, z0.h
603smullb z0.d, z0.s, z0.s
604
605smullt z17.s, z21.h, z5.h[0]
606smullt z0.s, z0.h, z0.h[5]
607smullt z0.s, z0.h, z0.h[0]
608
609smullt z17.d, z21.s, z9.s[0]
610smullt z0.d, z0.s, z0.s[3]
611smullt z0.d, z0.s, z0.s[0]
612
613smullt z17.h, z21.b, z27.b
614smullt z0.h, z0.b, z0.b
615smullt z0.s, z0.h, z0.h
616smullt z0.d, z0.s, z0.s
617
618splice z17.b, p5, { z21.b, z22.b }
619splice z0.b, p0, { z0.b, z1.b }
620splice z0.h, p0, { z0.h, z1.h }
621splice z0.s, p0, { z0.s, z1.s }
622splice z0.d, p0, { z0.d, z1.d }
623splice z0.b, p0, { z31.b, z0.b }
624
625sqabs z17.b, p5/m, z21.b
626sqabs z0.b, p0/m, z0.b
627sqabs z0.h, p0/m, z0.h
628sqabs z0.s, p0/m, z0.s
629sqabs z0.d, p0/m, z0.d
630
631sqadd z17.b, p5/m, z17.b, z21.b
632sqadd z0.b, p0/m, z0.b, z0.b
633sqadd z0.h, p0/m, z0.h, z0.h
634sqadd z0.s, p0/m, z0.s, z0.s
635sqadd z0.d, p0/m, z0.d, z0.d
636
637sqcadd z17.b, z17.b, z21.b, #90
638sqcadd z0.b, z0.b, z0.b, #270
639sqcadd z0.b, z0.b, z0.b, #90
640sqcadd z0.h, z0.h, z0.h, #90
641sqcadd z0.s, z0.s, z0.s, #90
642sqcadd z0.d, z0.d, z0.d, #90
643
644sqdmlalb z17.s, z21.h, z5.h[0]
645sqdmlalb z0.s, z0.h, z0.h[5]
646sqdmlalb z0.s, z0.h, z0.h[0]
647
648sqdmlalb z17.d, z21.s, z9.s[0]
649sqdmlalb z0.d, z0.s, z0.s[3]
650sqdmlalb z0.d, z0.s, z0.s[0]
651
652sqdmlalb z17.h, z21.b, z27.b
653sqdmlalb z0.h, z0.b, z0.b
654sqdmlalb z0.s, z0.h, z0.h
655sqdmlalb z0.d, z0.s, z0.s
656
657sqdmlalbt z17.h, z21.b, z27.b
658sqdmlalbt z0.h, z0.b, z0.b
659sqdmlalbt z0.s, z0.h, z0.h
660sqdmlalbt z0.d, z0.s, z0.s
661
662sqdmlalt z17.s, z21.h, z5.h[0]
663sqdmlalt z0.s, z0.h, z0.h[5]
664sqdmlalt z0.s, z0.h, z0.h[0]
665
666sqdmlalt z17.d, z21.s, z9.s[0]
667sqdmlalt z0.d, z0.s, z0.s[3]
668sqdmlalt z0.d, z0.s, z0.s[0]
669
670sqdmlalt z17.h, z21.b, z27.b
671sqdmlalt z0.h, z0.b, z0.b
672sqdmlalt z0.s, z0.h, z0.h
673sqdmlalt z0.d, z0.s, z0.s
674
675sqdmlslb z17.s, z21.h, z5.h[0]
676sqdmlslb z0.s, z0.h, z0.h[5]
677sqdmlslb z0.s, z0.h, z0.h[0]
678
679sqdmlslb z17.d, z21.s, z9.s[0]
680sqdmlslb z0.d, z0.s, z0.s[3]
681sqdmlslb z0.d, z0.s, z0.s[0]
682
683sqdmlslb z17.h, z21.b, z27.b
684sqdmlslb z0.h, z0.b, z0.b
685sqdmlslb z0.s, z0.h, z0.h
686sqdmlslb z0.d, z0.s, z0.s
687
688sqdmlslbt z17.h, z21.b, z27.b
689sqdmlslbt z0.h, z0.b, z0.b
690sqdmlslbt z0.s, z0.h, z0.h
691sqdmlslbt z0.d, z0.s, z0.s
692
693sqdmlslt z17.s, z21.h, z5.h[0]
694sqdmlslt z0.s, z0.h, z0.h[5]
695sqdmlslt z0.s, z0.h, z0.h[0]
696
697sqdmlslt z17.d, z21.s, z9.s[0]
698sqdmlslt z0.d, z0.s, z0.s[3]
699sqdmlslt z0.d, z0.s, z0.s[0]
700
701sqdmlslt z17.h, z21.b, z27.b
702sqdmlslt z0.h, z0.b, z0.b
703sqdmlslt z0.s, z0.h, z0.h
704sqdmlslt z0.d, z0.s, z0.s
705
706sqdmulh z17.h, z21.h, z5.h[0]
707sqdmulh z0.h, z0.h, z0.h[5]
708sqdmulh z0.h, z0.h, z0.h[0]
709
710sqdmulh z17.s, z21.s, z5.s[0]
711sqdmulh z0.s, z0.s, z0.s[3]
712sqdmulh z0.s, z0.s, z0.s[0]
713
714sqdmulh z17.d, z21.d, z9.d[0]
715sqdmulh z0.d, z0.d, z0.d[1]
716sqdmulh z0.d, z0.d, z0.d[0]
717
718sqdmulh z17.b, z21.b, z27.b
719sqdmulh z0.b, z0.b, z0.b
720sqdmulh z0.h, z0.h, z0.h
721sqdmulh z0.s, z0.s, z0.s
722sqdmulh z0.d, z0.d, z0.d
723
724sqdmullb z17.s, z21.h, z5.h[0]
725sqdmullb z0.s, z0.h, z0.h[5]
726sqdmullb z0.s, z0.h, z0.h[0]
727
728sqdmullb z17.d, z21.s, z9.s[0]
729sqdmullb z0.d, z0.s, z0.s[3]
730sqdmullb z0.d, z0.s, z0.s[0]
731
732sqdmullb z17.h, z21.b, z27.b
733sqdmullb z0.h, z0.b, z0.b
734sqdmullb z0.s, z0.h, z0.h
735sqdmullb z0.d, z0.s, z0.s
736
737sqdmullt z17.s, z21.h, z5.h[0]
738sqdmullt z0.s, z0.h, z0.h[5]
739sqdmullt z0.s, z0.h, z0.h[0]
740
741sqdmullt z17.d, z21.s, z9.s[0]
742sqdmullt z0.d, z0.s, z0.s[3]
743sqdmullt z0.d, z0.s, z0.s[0]
744
745sqdmullt z17.h, z21.b, z27.b
746sqdmullt z0.h, z0.b, z0.b
747sqdmullt z0.s, z0.h, z0.h
748sqdmullt z0.d, z0.s, z0.s
749
750sqneg z17.b, p5/m, z21.b
751sqneg z0.b, p0/m, z0.b
752sqneg z0.h, p0/m, z0.h
753sqneg z0.s, p0/m, z0.s
754sqneg z0.d, p0/m, z0.d
755
756sqrdcmlah z17.h, z21.h, z5.h[0], #0
757sqrdcmlah z0.h, z0.h, z0.h[3], #0
758sqrdcmlah z0.h, z0.h, z0.h[0], #90
759sqrdcmlah z0.h, z0.h, z0.h[0], #180
760sqrdcmlah z0.h, z0.h, z0.h[0], #270
761
762sqrdcmlah z17.s, z21.s, z9.s[0], #0
763sqrdcmlah z0.s, z0.s, z0.s[1], #0
764sqrdcmlah z0.s, z0.s, z0.s[0], #90
765sqrdcmlah z0.s, z0.s, z0.s[0], #180
766sqrdcmlah z0.s, z0.s, z0.s[0], #270
767
768sqrdcmlah z17.b, z21.b, z27.b, #0
769sqrdcmlah z0.b, z0.b, z0.b, #0
770sqrdcmlah z0.b, z0.b, z0.b, #90
771sqrdcmlah z0.b, z0.b, z0.b, #180
772sqrdcmlah z0.b, z0.b, z0.b, #270
773sqrdcmlah z0.h, z0.h, z0.h, #0
774sqrdcmlah z0.s, z0.s, z0.s, #0
775sqrdcmlah z0.d, z0.d, z0.d, #0
776
777sqrdmlah z17.h, z21.h, z5.h[0]
778sqrdmlah z0.h, z0.h, z0.h[5]
779sqrdmlah z0.h, z0.h, z0.h[0]
780
781sqrdmlah z17.s, z21.s, z5.s[0]
782sqrdmlah z0.s, z0.s, z0.s[3]
783sqrdmlah z0.s, z0.s, z0.s[0]
784
785sqrdmlah z17.d, z21.d, z9.d[0]
786sqrdmlah z0.d, z0.d, z0.d[1]
787sqrdmlah z0.d, z0.d, z0.d[0]
788
789sqrdmlah z17.b, z21.b, z27.b
790sqrdmlah z0.b, z0.b, z0.b
791sqrdmlah z0.h, z0.h, z0.h
792sqrdmlah z0.s, z0.s, z0.s
793sqrdmlah z0.d, z0.d, z0.d
794
795sqrdmlsh z17.h, z21.h, z5.h[0]
796sqrdmlsh z0.h, z0.h, z0.h[5]
797sqrdmlsh z0.h, z0.h, z0.h[0]
798
799sqrdmlsh z17.s, z21.s, z5.s[0]
800sqrdmlsh z0.s, z0.s, z0.s[3]
801sqrdmlsh z0.s, z0.s, z0.s[0]
802
803sqrdmlsh z17.d, z21.d, z9.d[0]
804sqrdmlsh z0.d, z0.d, z0.d[1]
805sqrdmlsh z0.d, z0.d, z0.d[0]
806
807sqrdmlsh z17.b, z21.b, z27.b
808sqrdmlsh z0.b, z0.b, z0.b
809sqrdmlsh z0.h, z0.h, z0.h
810sqrdmlsh z0.s, z0.s, z0.s
811sqrdmlsh z0.d, z0.d, z0.d
812
813sqrdmulh z17.h, z21.h, z5.h[0]
814sqrdmulh z0.h, z0.h, z0.h[5]
815sqrdmulh z0.h, z0.h, z0.h[0]
816
817sqrdmulh z17.s, z21.s, z5.s[0]
818sqrdmulh z0.s, z0.s, z0.s[3]
819sqrdmulh z0.s, z0.s, z0.s[0]
820
821sqrdmulh z17.d, z21.d, z9.d[0]
822sqrdmulh z0.d, z0.d, z0.d[1]
823sqrdmulh z0.d, z0.d, z0.d[0]
824
825sqrdmulh z17.b, z21.b, z27.b
826sqrdmulh z0.b, z0.b, z0.b
827sqrdmulh z0.h, z0.h, z0.h
828sqrdmulh z0.s, z0.s, z0.s
829sqrdmulh z0.d, z0.d, z0.d
830
831sqrshl z17.b, p5/m, z17.b, z21.b
832sqrshl z0.b, p0/m, z0.b, z0.b
833sqrshl z0.h, p0/m, z0.h, z0.h
834sqrshl z0.s, p0/m, z0.s, z0.s
835sqrshl z0.d, p0/m, z0.d, z0.d
836
837sqrshlr z17.b, p5/m, z17.b, z21.b
838sqrshlr z0.b, p0/m, z0.b, z0.b
839sqrshlr z0.h, p0/m, z0.h, z0.h
840sqrshlr z0.s, p0/m, z0.s, z0.s
841sqrshlr z0.d, p0/m, z0.d, z0.d
842
843sqrshrnb z17.b, z21.h, #7
844sqrshrnb z0.b, z0.h, #1
845sqrshrnb z0.b, z0.h, #8
846sqrshrnb z0.h, z0.s, #1
847sqrshrnb z0.h, z0.s, #15
848sqrshrnb z0.h, z0.s, #16
849sqrshrnb z0.s, z0.d, #1
850sqrshrnb z0.s, z0.d, #31
851sqrshrnb z0.s, z0.d, #32
852
853sqrshrnt z17.b, z21.h, #7
854sqrshrnt z0.b, z0.h, #1
855sqrshrnt z0.b, z0.h, #8
856sqrshrnt z0.h, z0.s, #1
857sqrshrnt z0.h, z0.s, #15
858sqrshrnt z0.h, z0.s, #16
859sqrshrnt z0.s, z0.d, #1
860sqrshrnt z0.s, z0.d, #31
861sqrshrnt z0.s, z0.d, #32
862
863sqrshrunb z17.b, z21.h, #7
864sqrshrunb z0.b, z0.h, #1
865sqrshrunb z0.b, z0.h, #8
866sqrshrunb z0.h, z0.s, #1
867sqrshrunb z0.h, z0.s, #15
868sqrshrunb z0.h, z0.s, #16
869sqrshrunb z0.s, z0.d, #1
870sqrshrunb z0.s, z0.d, #31
871sqrshrunb z0.s, z0.d, #32
872
873sqrshrunt z17.b, z21.h, #7
874sqrshrunt z0.b, z0.h, #1
875sqrshrunt z0.b, z0.h, #8
876sqrshrunt z0.h, z0.s, #1
877sqrshrunt z0.h, z0.s, #15
878sqrshrunt z0.h, z0.s, #16
879sqrshrunt z0.s, z0.d, #1
880sqrshrunt z0.s, z0.d, #31
881sqrshrunt z0.s, z0.d, #32
882
883sqshl z17.b, p5/m, z17.b, #1
884sqshl z0.b, p0/m, z0.b, #0
885sqshl z0.b, p0/m, z0.b, #7
886sqshl z0.h, p0/m, z0.h, #0
887sqshl z0.h, p0/m, z0.h, #15
888sqshl z0.s, p0/m, z0.s, #0
889sqshl z0.s, p0/m, z0.s, #31
890sqshl z0.d, p0/m, z0.d, #0
891sqshl z0.d, p0/m, z0.d, #63
892
893sqshl z17.b, p5/m, z17.b, z21.b
894sqshl z0.b, p0/m, z0.b, z0.b
895sqshl z0.h, p0/m, z0.h, z0.h
896sqshl z0.s, p0/m, z0.s, z0.s
897sqshl z0.d, p0/m, z0.d, z0.d
898
899sqshlr z17.b, p5/m, z17.b, z21.b
900sqshlr z0.b, p0/m, z0.b, z0.b
901sqshlr z0.h, p0/m, z0.h, z0.h
902sqshlr z0.s, p0/m, z0.s, z0.s
903sqshlr z0.d, p0/m, z0.d, z0.d
904
905sqshlu z17.b, p5/m, z17.b, #1
906sqshlu z0.b, p0/m, z0.b, #0
907sqshlu z0.b, p0/m, z0.b, #7
908sqshlu z0.h, p0/m, z0.h, #0
909sqshlu z0.h, p0/m, z0.h, #15
910sqshlu z0.s, p0/m, z0.s, #0
911sqshlu z0.s, p0/m, z0.s, #31
912sqshlu z0.d, p0/m, z0.d, #0
913sqshlu z0.d, p0/m, z0.d, #63
914
915sqshrnb z17.b, z21.h, #7
916sqshrnb z0.b, z0.h, #1
917sqshrnb z0.b, z0.h, #8
918sqshrnb z0.h, z0.s, #1
919sqshrnb z0.h, z0.s, #15
920sqshrnb z0.h, z0.s, #16
921sqshrnb z0.s, z0.d, #1
922sqshrnb z0.s, z0.d, #31
923sqshrnb z0.s, z0.d, #32
924
925sqshrnt z17.b, z21.h, #7
926sqshrnt z0.b, z0.h, #1
927sqshrnt z0.b, z0.h, #8
928sqshrnt z0.h, z0.s, #1
929sqshrnt z0.h, z0.s, #15
930sqshrnt z0.h, z0.s, #16
931sqshrnt z0.s, z0.d, #1
932sqshrnt z0.s, z0.d, #31
933sqshrnt z0.s, z0.d, #32
934
935sqshrunb z17.b, z21.h, #7
936sqshrunb z0.b, z0.h, #1
937sqshrunb z0.b, z0.h, #8
938sqshrunb z0.h, z0.s, #1
939sqshrunb z0.h, z0.s, #15
940sqshrunb z0.h, z0.s, #16
941sqshrunb z0.s, z0.d, #1
942sqshrunb z0.s, z0.d, #31
943sqshrunb z0.s, z0.d, #32
944
945sqshrunt z17.b, z21.h, #7
946sqshrunt z0.b, z0.h, #1
947sqshrunt z0.b, z0.h, #8
948sqshrunt z0.h, z0.s, #1
949sqshrunt z0.h, z0.s, #15
950sqshrunt z0.h, z0.s, #16
951sqshrunt z0.s, z0.d, #1
952sqshrunt z0.s, z0.d, #31
953sqshrunt z0.s, z0.d, #32
954
955sqsub z17.b, p5/m, z17.b, z21.b
956sqsub z0.b, p0/m, z0.b, z0.b
957sqsub z0.h, p0/m, z0.h, z0.h
958sqsub z0.s, p0/m, z0.s, z0.s
959sqsub z0.d, p0/m, z0.d, z0.d
960
961sqsubr z17.b, p5/m, z17.b, z21.b
962sqsubr z0.b, p0/m, z0.b, z0.b
963sqsubr z0.h, p0/m, z0.h, z0.h
964sqsubr z0.s, p0/m, z0.s, z0.s
965sqsubr z0.d, p0/m, z0.d, z0.d
966
967sqxtnb z17.b, z21.h
968sqxtnb z0.b, z0.h
969sqxtnb z0.h, z0.s
970sqxtnb z0.s, z0.d
971
972sqxtnt z17.b, z21.h
973sqxtnt z0.b, z0.h
974sqxtnt z0.h, z0.s
975sqxtnt z0.s, z0.d
976
977sqxtunb z17.b, z21.h
978sqxtunb z0.b, z0.h
979sqxtunb z0.h, z0.s
980sqxtunb z0.s, z0.d
981
982sqxtunt z17.b, z21.h
983sqxtunt z0.b, z0.h
984sqxtunt z0.h, z0.s
985sqxtunt z0.s, z0.d
986
987srhadd z17.b, p5/m, z17.b, z21.b
988srhadd z0.b, p0/m, z0.b, z0.b
989srhadd z0.h, p0/m, z0.h, z0.h
990srhadd z0.s, p0/m, z0.s, z0.s
991srhadd z0.d, p0/m, z0.d, z0.d
992
993sri z17.b, z21.b, #7
994sri z0.b, z0.b, #8
995sri z0.b, z0.b, #1
996sri z0.h, z0.h, #16
997sri z0.h, z0.h, #1
998sri z0.s, z0.s, #32
999sri z0.s, z0.s, #1
1000sri z0.d, z0.d, #64
1001sri z0.d, z0.d, #1
1002
1003srshl z17.b, p5/m, z17.b, z21.b
1004srshl z0.b, p0/m, z0.b, z0.b
1005srshl z0.h, p0/m, z0.h, z0.h
1006srshl z0.s, p0/m, z0.s, z0.s
1007srshl z0.d, p0/m, z0.d, z0.d
1008
1009srshlr z17.b, p5/m, z17.b, z21.b
1010srshlr z0.b, p0/m, z0.b, z0.b
1011srshlr z0.h, p0/m, z0.h, z0.h
1012srshlr z0.s, p0/m, z0.s, z0.s
1013srshlr z0.d, p0/m, z0.d, z0.d
1014
1015srshr z17.b, p5/m, z17.b, #7
1016srshr z0.b, p0/m, z0.b, #8
1017srshr z0.b, p0/m, z0.b, #1
1018srshr z0.h, p0/m, z0.h, #16
1019srshr z0.h, p0/m, z0.h, #1
1020srshr z0.s, p0/m, z0.s, #32
1021srshr z0.s, p0/m, z0.s, #1
1022srshr z0.d, p0/m, z0.d, #64
1023srshr z0.d, p0/m, z0.d, #1
1024
1025srsra z17.b, z21.b, #7
1026srsra z0.b, z0.b, #8
1027srsra z0.b, z0.b, #1
1028srsra z0.h, z0.h, #16
1029srsra z0.h, z0.h, #1
1030srsra z0.s, z0.s, #32
1031srsra z0.s, z0.s, #1
1032srsra z0.d, z0.d, #64
1033srsra z0.d, z0.d, #1
1034
1035sshllb z17.h, z21.b, #1
1036sshllb z0.h, z0.b, #0
1037sshllb z0.h, z0.b, #7
1038sshllb z0.s, z0.h, #0
1039sshllb z0.s, z0.h, #15
1040sshllb z0.d, z0.s, #0
1041sshllb z0.d, z0.s, #31
1042
1043sshllt z17.h, z21.b, #1
1044sshllt z0.h, z0.b, #0
1045sshllt z0.h, z0.b, #7
1046sshllt z0.s, z0.h, #0
1047sshllt z0.s, z0.h, #15
1048sshllt z0.d, z0.s, #0
1049sshllt z0.d, z0.s, #31
1050
1051ssra z17.b, z21.b, #7
1052ssra z0.b, z0.b, #8
1053ssra z0.b, z0.b, #1
1054ssra z0.h, z0.h, #16
1055ssra z0.h, z0.h, #1
1056ssra z0.s, z0.s, #32
1057ssra z0.s, z0.s, #1
1058ssra z0.d, z0.d, #64
1059ssra z0.d, z0.d, #1
1060
1061ssublb z17.h, z21.b, z27.b
1062ssublb z0.h, z0.b, z0.b
1063ssublb z0.s, z0.h, z0.h
1064ssublb z0.d, z0.s, z0.s
1065
1066ssublbt z17.h, z21.b, z27.b
1067ssublbt z0.h, z0.b, z0.b
1068ssublbt z0.s, z0.h, z0.h
1069ssublbt z0.d, z0.s, z0.s
1070
1071ssublt z17.h, z21.b, z27.b
1072ssublt z0.h, z0.b, z0.b
1073ssublt z0.s, z0.h, z0.h
1074ssublt z0.d, z0.s, z0.s
1075
1076ssubltb z17.h, z21.b, z27.b
1077ssubltb z0.h, z0.b, z0.b
1078ssubltb z0.s, z0.h, z0.h
1079ssubltb z0.d, z0.s, z0.s
1080
1081ssubwb z17.h, z21.h, z27.b
1082ssubwb z0.h, z0.h, z0.b
1083ssubwb z0.s, z0.s, z0.h
1084ssubwb z0.d, z0.d, z0.s
1085
1086ssubwt z17.h, z21.h, z27.b
1087ssubwt z0.h, z0.h, z0.b
1088ssubwt z0.s, z0.s, z0.h
1089ssubwt z0.d, z0.d, z0.s
1090
1091stnt1b { z17.s }, p5, [z21.s, x27]
1092stnt1b { z0.s }, p0, [z0.s, x0]
1093stnt1b { z0.s }, p0, [z0.s]
1094stnt1b { z0.s }, p0, [z0.s, xzr]
1095stnt1b { z17.d }, p5, [z21.d, x27]
1096stnt1b { z0.d }, p0, [z0.d, x0]
1097stnt1b { z0.d }, p0, [z0.d]
1098stnt1b { z0.d }, p0, [z0.d, xzr]
1099
1100stnt1d { z17.d }, p5, [z21.d, x27]
1101stnt1d { z0.d }, p0, [z0.d, x0]
1102stnt1d { z0.d }, p0, [z0.d]
1103stnt1d { z0.d }, p0, [z0.d, xzr]
1104
1105stnt1h { z17.s }, p5, [z21.s, x27]
1106stnt1h { z0.s }, p0, [z0.s, x0]
1107stnt1h { z0.s }, p0, [z0.s]
1108stnt1h { z0.s }, p0, [z0.s, xzr]
1109stnt1h { z17.d }, p5, [z21.d, x27]
1110stnt1h { z0.d }, p0, [z0.d, x0]
1111stnt1h { z0.d }, p0, [z0.d]
1112stnt1h { z0.d }, p0, [z0.d, xzr]
1113
1114stnt1w { z17.s }, p5, [z21.s, x27]
1115stnt1w { z0.s }, p0, [z0.s, x0]
1116stnt1w { z0.s }, p0, [z0.s]
1117stnt1w { z0.s }, p0, [z0.s, xzr]
1118stnt1w { z17.d }, p5, [z21.d, x27]
1119stnt1w { z0.d }, p0, [z0.d, x0]
1120stnt1w { z0.d }, p0, [z0.d]
1121stnt1w { z0.d }, p0, [z0.d, xzr]
1122
1123subhnb z17.b, z21.h, z27.h
1124subhnb z0.b, z0.h, z0.h
1125subhnb z0.h, z0.s, z0.s
1126subhnb z0.s, z0.d, z0.d
1127
1128subhnt z17.b, z21.h, z27.h
1129subhnt z0.b, z0.h, z0.h
1130subhnt z0.h, z0.s, z0.s
1131subhnt z0.s, z0.d, z0.d
1132
1133suqadd z17.b, p5/m, z17.b, z21.b
1134suqadd z0.b, p0/m, z0.b, z0.b
1135suqadd z0.h, p0/m, z0.h, z0.h
1136suqadd z0.s, p0/m, z0.s, z0.s
1137suqadd z0.d, p0/m, z0.d, z0.d
1138
1139tbl z17.b, { z21.b, z22.b }, z27.b
1140tbl z0.b, { z0.b, z1.b }, z0.b
1141tbl z0.h, { z0.h, z1.h }, z0.h
1142tbl z0.s, { z0.s, z1.s }, z0.s
1143tbl z0.d, { z0.d, z1.d }, z0.d
1144tbl z0.b, { z31.b, z0.b }, z0.b
1145
1146tbx z17.b, z21.b, z27.b
1147tbx z0.b, z0.b, z0.b
1148tbx z0.h, z0.h, z0.h
1149tbx z0.s, z0.s, z0.s
1150tbx z0.d, z0.d, z0.d
1151
1152uaba z17.b, z21.b, z27.b
1153uaba z0.b, z0.b, z0.b
1154uaba z0.h, z0.h, z0.h
1155uaba z0.s, z0.s, z0.s
1156uaba z0.d, z0.d, z0.d
1157
1158uabalb z17.h, z21.b, z27.b
1159uabalb z0.h, z0.b, z0.b
1160uabalb z0.s, z0.h, z0.h
1161uabalb z0.d, z0.s, z0.s
1162
1163uabalt z17.h, z21.b, z27.b
1164uabalt z0.h, z0.b, z0.b
1165uabalt z0.s, z0.h, z0.h
1166uabalt z0.d, z0.s, z0.s
1167
1168uabdlb z17.h, z21.b, z27.b
1169uabdlb z0.h, z0.b, z0.b
1170uabdlb z0.s, z0.h, z0.h
1171uabdlb z0.d, z0.s, z0.s
1172
1173uabdlt z17.h, z21.b, z27.b
1174uabdlt z0.h, z0.b, z0.b
1175uabdlt z0.s, z0.h, z0.h
1176uabdlt z0.d, z0.s, z0.s
1177
1178uadalp z17.h, p5/m, z21.b
1179uadalp z0.h, p0/m, z0.b
1180uadalp z0.s, p0/m, z0.h
1181uadalp z0.d, p0/m, z0.s
1182
1183uaddlb z17.h, z21.b, z27.b
1184uaddlb z0.h, z0.b, z0.b
1185uaddlb z0.s, z0.h, z0.h
1186uaddlb z0.d, z0.s, z0.s
1187
1188uaddlt z17.h, z21.b, z27.b
1189uaddlt z0.h, z0.b, z0.b
1190uaddlt z0.s, z0.h, z0.h
1191uaddlt z0.d, z0.s, z0.s
1192
1193uaddwb z17.h, z21.h, z27.b
1194uaddwb z0.h, z0.h, z0.b
1195uaddwb z0.s, z0.s, z0.h
1196uaddwb z0.d, z0.d, z0.s
1197
1198uaddwt z17.h, z21.h, z27.b
1199uaddwt z0.h, z0.h, z0.b
1200uaddwt z0.s, z0.s, z0.h
1201uaddwt z0.d, z0.d, z0.s
1202
1203uhadd z17.b, p5/m, z17.b, z21.b
1204uhadd z0.b, p0/m, z0.b, z0.b
1205uhadd z0.h, p0/m, z0.h, z0.h
1206uhadd z0.s, p0/m, z0.s, z0.s
1207uhadd z0.d, p0/m, z0.d, z0.d
1208
1209uhsub z17.b, p5/m, z17.b, z21.b
1210uhsub z0.b, p0/m, z0.b, z0.b
1211uhsub z0.h, p0/m, z0.h, z0.h
1212uhsub z0.s, p0/m, z0.s, z0.s
1213uhsub z0.d, p0/m, z0.d, z0.d
1214
1215uhsubr z17.b, p5/m, z17.b, z21.b
1216uhsubr z0.b, p0/m, z0.b, z0.b
1217uhsubr z0.h, p0/m, z0.h, z0.h
1218uhsubr z0.s, p0/m, z0.s, z0.s
1219uhsubr z0.d, p0/m, z0.d, z0.d
1220
1221umaxp z17.b, p5/m, z17.b, z21.b
1222umaxp z0.b, p0/m, z0.b, z0.b
1223umaxp z0.h, p0/m, z0.h, z0.h
1224umaxp z0.s, p0/m, z0.s, z0.s
1225umaxp z0.d, p0/m, z0.d, z0.d
1226
1227uminp z17.b, p5/m, z17.b, z21.b
1228uminp z0.b, p0/m, z0.b, z0.b
1229uminp z0.h, p0/m, z0.h, z0.h
1230uminp z0.s, p0/m, z0.s, z0.s
1231uminp z0.d, p0/m, z0.d, z0.d
1232
1233umlalb z17.s, z21.h, z5.h[0]
1234umlalb z0.s, z0.h, z0.h[5]
1235umlalb z0.s, z0.h, z0.h[0]
1236
1237umlalb z17.d, z21.s, z9.s[0]
1238umlalb z0.d, z0.s, z0.s[3]
1239umlalb z0.d, z0.s, z0.s[0]
1240
1241umlalb z17.h, z21.b, z27.b
1242umlalb z0.h, z0.b, z0.b
1243umlalb z0.s, z0.h, z0.h
1244umlalb z0.d, z0.s, z0.s
1245
1246umlalt z17.s, z21.h, z5.h[0]
1247umlalt z0.s, z0.h, z0.h[5]
1248umlalt z0.s, z0.h, z0.h[0]
1249
1250umlalt z17.d, z21.s, z9.s[0]
1251umlalt z0.d, z0.s, z0.s[3]
1252umlalt z0.d, z0.s, z0.s[0]
1253
1254umlalt z17.h, z21.b, z27.b
1255umlalt z0.h, z0.b, z0.b
1256umlalt z0.s, z0.h, z0.h
1257umlalt z0.d, z0.s, z0.s
1258
1259umlslb z17.s, z21.h, z5.h[0]
1260umlslb z0.s, z0.h, z0.h[5]
1261umlslb z0.s, z0.h, z0.h[0]
1262
1263umlslb z17.d, z21.s, z9.s[0]
1264umlslb z0.d, z0.s, z0.s[3]
1265umlslb z0.d, z0.s, z0.s[0]
1266
1267umlslb z17.h, z21.b, z27.b
1268umlslb z0.h, z0.b, z0.b
1269umlslb z0.s, z0.h, z0.h
1270umlslb z0.d, z0.s, z0.s
1271
1272umlslt z17.s, z21.h, z5.h[0]
1273umlslt z0.s, z0.h, z0.h[5]
1274umlslt z0.s, z0.h, z0.h[0]
1275
1276umlslt z17.d, z21.s, z9.s[0]
1277umlslt z0.d, z0.s, z0.s[3]
1278umlslt z0.d, z0.s, z0.s[0]
1279
1280umlslt z17.h, z21.b, z27.b
1281umlslt z0.h, z0.b, z0.b
1282umlslt z0.s, z0.h, z0.h
1283umlslt z0.d, z0.s, z0.s
1284
1285umulh z17.b, z21.b, z27.b
1286umulh z0.b, z0.b, z0.b
1287umulh z0.h, z0.h, z0.h
1288umulh z0.s, z0.s, z0.s
1289umulh z0.d, z0.d, z0.d
1290
1291umullb z17.s, z21.h, z5.h[0]
1292umullb z0.s, z0.h, z0.h[5]
1293umullb z0.s, z0.h, z0.h[0]
1294
1295umullb z17.d, z21.s, z9.s[0]
1296umullb z0.d, z0.s, z0.s[3]
1297umullb z0.d, z0.s, z0.s[0]
1298
1299umullb z17.h, z21.b, z27.b
1300umullb z0.h, z0.b, z0.b
1301umullb z0.s, z0.h, z0.h
1302umullb z0.d, z0.s, z0.s
1303
1304umullt z17.s, z21.h, z5.h[0]
1305umullt z0.s, z0.h, z0.h[5]
1306umullt z0.s, z0.h, z0.h[0]
1307
1308umullt z17.d, z21.s, z9.s[0]
1309umullt z0.d, z0.s, z0.s[3]
1310umullt z0.d, z0.s, z0.s[0]
1311
1312umullt z17.h, z21.b, z27.b
1313umullt z0.h, z0.b, z0.b
1314umullt z0.s, z0.h, z0.h
1315umullt z0.d, z0.s, z0.s
1316
1317uqadd z17.b, p5/m, z17.b, z21.b
1318uqadd z0.b, p0/m, z0.b, z0.b
1319uqadd z0.h, p0/m, z0.h, z0.h
1320uqadd z0.s, p0/m, z0.s, z0.s
1321uqadd z0.d, p0/m, z0.d, z0.d
1322
1323uqrshl z17.b, p5/m, z17.b, z21.b
1324uqrshl z0.b, p0/m, z0.b, z0.b
1325uqrshl z0.h, p0/m, z0.h, z0.h
1326uqrshl z0.s, p0/m, z0.s, z0.s
1327uqrshl z0.d, p0/m, z0.d, z0.d
1328
1329uqrshlr z17.b, p5/m, z17.b, z21.b
1330uqrshlr z0.b, p0/m, z0.b, z0.b
1331uqrshlr z0.h, p0/m, z0.h, z0.h
1332uqrshlr z0.s, p0/m, z0.s, z0.s
1333uqrshlr z0.d, p0/m, z0.d, z0.d
1334
1335uqrshrnb z17.b, z21.h, #7
1336uqrshrnb z0.b, z0.h, #1
1337uqrshrnb z0.b, z0.h, #8
1338uqrshrnb z0.h, z0.s, #1
1339uqrshrnb z0.h, z0.s, #15
1340uqrshrnb z0.h, z0.s, #16
1341uqrshrnb z0.s, z0.d, #1
1342uqrshrnb z0.s, z0.d, #31
1343uqrshrnb z0.s, z0.d, #32
1344
1345uqrshrnt z17.b, z21.h, #7
1346uqrshrnt z0.b, z0.h, #1
1347uqrshrnt z0.b, z0.h, #8
1348uqrshrnt z0.h, z0.s, #1
1349uqrshrnt z0.h, z0.s, #15
1350uqrshrnt z0.h, z0.s, #16
1351uqrshrnt z0.s, z0.d, #1
1352uqrshrnt z0.s, z0.d, #31
1353uqrshrnt z0.s, z0.d, #32
1354
1355uqshl z17.b, p5/m, z17.b, #1
1356uqshl z0.b, p0/m, z0.b, #0
1357uqshl z0.b, p0/m, z0.b, #7
1358uqshl z0.h, p0/m, z0.h, #0
1359uqshl z0.h, p0/m, z0.h, #15
1360uqshl z0.s, p0/m, z0.s, #0
1361uqshl z0.s, p0/m, z0.s, #31
1362uqshl z0.d, p0/m, z0.d, #0
1363uqshl z0.d, p0/m, z0.d, #63
1364
1365uqshl z17.b, p5/m, z17.b, z21.b
1366uqshl z0.b, p0/m, z0.b, z0.b
1367uqshl z0.h, p0/m, z0.h, z0.h
1368uqshl z0.s, p0/m, z0.s, z0.s
1369uqshl z0.d, p0/m, z0.d, z0.d
1370
1371uqshlr z17.b, p5/m, z17.b, z21.b
1372uqshlr z0.b, p0/m, z0.b, z0.b
1373uqshlr z0.h, p0/m, z0.h, z0.h
1374uqshlr z0.s, p0/m, z0.s, z0.s
1375uqshlr z0.d, p0/m, z0.d, z0.d
1376
1377uqshrnb z17.b, z21.h, #7
1378uqshrnb z0.b, z0.h, #1
1379uqshrnb z0.b, z0.h, #8
1380uqshrnb z0.h, z0.s, #1
1381uqshrnb z0.h, z0.s, #15
1382uqshrnb z0.h, z0.s, #16
1383uqshrnb z0.s, z0.d, #1
1384uqshrnb z0.s, z0.d, #31
1385uqshrnb z0.s, z0.d, #32
1386
1387uqshrnt z17.b, z21.h, #7
1388uqshrnt z0.b, z0.h, #1
1389uqshrnt z0.b, z0.h, #8
1390uqshrnt z0.h, z0.s, #1
1391uqshrnt z0.h, z0.s, #15
1392uqshrnt z0.h, z0.s, #16
1393uqshrnt z0.s, z0.d, #1
1394uqshrnt z0.s, z0.d, #31
1395uqshrnt z0.s, z0.d, #32
1396
1397uqsub z17.b, p5/m, z17.b, z21.b
1398uqsub z0.b, p0/m, z0.b, z0.b
1399uqsub z0.h, p0/m, z0.h, z0.h
1400uqsub z0.s, p0/m, z0.s, z0.s
1401uqsub z0.d, p0/m, z0.d, z0.d
1402
1403uqsubr z17.b, p5/m, z17.b, z21.b
1404uqsubr z0.b, p0/m, z0.b, z0.b
1405uqsubr z0.h, p0/m, z0.h, z0.h
1406uqsubr z0.s, p0/m, z0.s, z0.s
1407uqsubr z0.d, p0/m, z0.d, z0.d
1408
1409uqxtnb z17.b, z21.h
1410uqxtnb z0.b, z0.h
1411uqxtnb z0.h, z0.s
1412uqxtnb z0.s, z0.d
1413
1414uqxtnt z17.b, z21.h
1415uqxtnt z0.b, z0.h
1416uqxtnt z0.h, z0.s
1417uqxtnt z0.s, z0.d
1418
1419urecpe z17.s, p5/m, z21.s
1420urecpe z0.s, p0/m, z0.s
1421
1422urhadd z17.b, p5/m, z17.b, z21.b
1423urhadd z0.b, p0/m, z0.b, z0.b
1424urhadd z0.h, p0/m, z0.h, z0.h
1425urhadd z0.s, p0/m, z0.s, z0.s
1426urhadd z0.d, p0/m, z0.d, z0.d
1427
1428urshl z17.b, p5/m, z17.b, z21.b
1429urshl z0.b, p0/m, z0.b, z0.b
1430urshl z0.h, p0/m, z0.h, z0.h
1431urshl z0.s, p0/m, z0.s, z0.s
1432urshl z0.d, p0/m, z0.d, z0.d
1433
1434urshlr z17.b, p5/m, z17.b, z21.b
1435urshlr z0.b, p0/m, z0.b, z0.b
1436urshlr z0.h, p0/m, z0.h, z0.h
1437urshlr z0.s, p0/m, z0.s, z0.s
1438urshlr z0.d, p0/m, z0.d, z0.d
1439
1440urshr z17.b, p5/m, z17.b, #7
1441urshr z0.b, p0/m, z0.b, #8
1442urshr z0.b, p0/m, z0.b, #1
1443urshr z0.h, p0/m, z0.h, #16
1444urshr z0.h, p0/m, z0.h, #1
1445urshr z0.s, p0/m, z0.s, #32
1446urshr z0.s, p0/m, z0.s, #1
1447urshr z0.d, p0/m, z0.d, #64
1448urshr z0.d, p0/m, z0.d, #1
1449
1450ursqrte z17.s, p5/m, z21.s
1451ursqrte z0.s, p0/m, z0.s
1452
1453ursra z17.b, z21.b, #7
1454ursra z0.b, z0.b, #8
1455ursra z0.b, z0.b, #1
1456ursra z0.h, z0.h, #16
1457ursra z0.h, z0.h, #1
1458ursra z0.s, z0.s, #32
1459ursra z0.s, z0.s, #1
1460ursra z0.d, z0.d, #64
1461ursra z0.d, z0.d, #1
1462
1463ushllb z17.h, z21.b, #1
1464ushllb z0.h, z0.b, #0
1465ushllb z0.h, z0.b, #7
1466ushllb z0.s, z0.h, #0
1467ushllb z0.s, z0.h, #15
1468ushllb z0.d, z0.s, #0
1469ushllb z0.d, z0.s, #31
1470
1471ushllt z17.h, z21.b, #1
1472ushllt z0.h, z0.b, #0
1473ushllt z0.h, z0.b, #7
1474ushllt z0.s, z0.h, #0
1475ushllt z0.s, z0.h, #15
1476ushllt z0.d, z0.s, #0
1477ushllt z0.d, z0.s, #31
1478
1479usqadd z17.b, p5/m, z17.b, z21.b
1480usqadd z0.b, p0/m, z0.b, z0.b
1481usqadd z0.h, p0/m, z0.h, z0.h
1482usqadd z0.s, p0/m, z0.s, z0.s
1483usqadd z0.d, p0/m, z0.d, z0.d
1484
1485usra z17.b, z21.b, #7
1486usra z0.b, z0.b, #8
1487usra z0.b, z0.b, #1
1488usra z0.h, z0.h, #16
1489usra z0.h, z0.h, #1
1490usra z0.s, z0.s, #32
1491usra z0.s, z0.s, #1
1492usra z0.d, z0.d, #64
1493usra z0.d, z0.d, #1
1494
1495usublb z17.h, z21.b, z27.b
1496usublb z0.h, z0.b, z0.b
1497usublb z0.s, z0.h, z0.h
1498usublb z0.d, z0.s, z0.s
1499
1500usublt z17.h, z21.b, z27.b
1501usublt z0.h, z0.b, z0.b
1502usublt z0.s, z0.h, z0.h
1503usublt z0.d, z0.s, z0.s
1504
1505usubwb z17.h, z21.h, z27.b
1506usubwb z0.h, z0.h, z0.b
1507usubwb z0.s, z0.s, z0.h
1508usubwb z0.d, z0.d, z0.s
1509
1510usubwt z17.h, z21.h, z27.b
1511usubwt z0.h, z0.h, z0.b
1512usubwt z0.s, z0.s, z0.h
1513usubwt z0.d, z0.d, z0.s
1514
1515whilege p9.b, x21, x27
1516whilege p0.b, x0, x0
1517whilege p0.b, xzr, x0
1518whilege p0.b, x0, xzr
1519whilege p0.h, x0, x0
1520whilege p0.s, x0, x0
1521whilege p0.d, x0, x0
1522
1523whilege p9.b, w21, w27
1524whilege p0.b, w0, w0
1525whilege p0.b, wzr, w0
1526whilege p0.b, w0, wzr
1527whilege p0.h, w0, w0
1528whilege p0.s, w0, w0
1529whilege p0.d, w0, w0
1530
1531whilegt p9.b, x21, x27
1532whilegt p0.b, x0, x0
1533whilegt p0.b, xzr, x0
1534whilegt p0.b, x0, xzr
1535whilegt p0.h, x0, x0
1536whilegt p0.s, x0, x0
1537whilegt p0.d, x0, x0
1538
1539whilegt p9.b, w21, w27
1540whilegt p0.b, w0, w0
1541whilegt p0.b, wzr, w0
1542whilegt p0.b, w0, wzr
1543whilegt p0.h, w0, w0
1544whilegt p0.s, w0, w0
1545whilegt p0.d, w0, w0
1546
1547whilehi p9.b, x21, x27
1548whilehi p0.b, x0, x0
1549whilehi p0.b, xzr, x0
1550whilehi p0.b, x0, xzr
1551whilehi p0.h, x0, x0
1552whilehi p0.s, x0, x0
1553whilehi p0.d, x0, x0
1554
1555whilehi p9.b, w21, w27
1556whilehi p0.b, w0, w0
1557whilehi p0.b, wzr, w0
1558whilehi p0.b, w0, wzr
1559whilehi p0.h, w0, w0
1560whilehi p0.s, w0, w0
1561whilehi p0.d, w0, w0
1562
1563whilehs p9.b, x21, x27
1564whilehs p0.b, x0, x0
1565whilehs p0.b, xzr, x0
1566whilehs p0.b, x0, xzr
1567whilehs p0.h, x0, x0
1568whilehs p0.s, x0, x0
1569whilehs p0.d, x0, x0
1570
1571whilehs p9.b, w21, w27
1572whilehs p0.b, w0, w0
1573whilehs p0.b, wzr, w0
1574whilehs p0.b, w0, wzr
1575whilehs p0.h, w0, w0
1576whilehs p0.s, w0, w0
1577whilehs p0.d, w0, w0
1578
1579whilerw p9.b, x21, x27
1580whilerw p0.b, x0, x0
1581whilerw p0.h, x0, x0
1582whilerw p0.s, x0, x0
1583whilerw p0.d, x0, x0
1584
1585whilewr p9.b, x21, x27
1586whilewr p0.b, x0, x0
1587whilewr p0.h, x0, x0
1588whilewr p0.s, x0, x0
1589whilewr p0.d, x0, x0
1590
1591xar z17.b, z17.b, z21.b, #7
1592xar z0.b, z0.b, z0.b, #8
1593xar z0.b, z0.b, z0.b, #1
1594xar z0.h, z0.h, z0.h, #16
1595xar z0.h, z0.h, z0.h, #1
1596xar z0.s, z0.s, z0.s, #32
1597xar z0.s, z0.s, z0.s, #1
1598xar z0.d, z0.d, z0.d, #64
1599xar z0.d, z0.d, z0.d, #1
This page took 0.133297 seconds and 4 git commands to generate.