x86: Add tests for -n option of x86 assembler
[deliverable/binutils-gdb.git] / gas / testsuite / gas / i386 / xop.d
CommitLineData
5dd85c99
SP
1#objdump: -dw
2#name: i386 XOP
3
4.*: +file format .*
5
6Disassembly of section .text:
7
80+ <_start>:
9[ ]*[a-f0-9]+: 8f e9 78 81 ff[ ]+vfrczpd %xmm7,%xmm7
10[ ]*[a-f0-9]+: 8f e9 78 81 f0[ ]+vfrczpd %xmm0,%xmm6
11[ ]*[a-f0-9]+: 8f e9 78 81 03[ ]+vfrczpd \(%ebx\),%xmm0
12[ ]*[a-f0-9]+: 8f e9 78 81 3e[ ]+vfrczpd \(%esi\),%xmm7
13[ ]*[a-f0-9]+: 8f e9 78 81 c0[ ]+vfrczpd %xmm0,%xmm0
14[ ]*[a-f0-9]+: 8f e9 78 81 38[ ]+vfrczpd \(%eax\),%xmm7
15[ ]*[a-f0-9]+: 8f e9 78 81 c7[ ]+vfrczpd %xmm7,%xmm0
16[ ]*[a-f0-9]+: 8f e9 78 81 f1[ ]+vfrczpd %xmm1,%xmm6
17[ ]*[a-f0-9]+: 8f e9 78 81 c1[ ]+vfrczpd %xmm1,%xmm0
18[ ]*[a-f0-9]+: 8f e9 78 81 f8[ ]+vfrczpd %xmm0,%xmm7
19[ ]*[a-f0-9]+: 8f e9 78 81 30[ ]+vfrczpd \(%eax\),%xmm6
20[ ]*[a-f0-9]+: 8f e9 78 81 f9[ ]+vfrczpd %xmm1,%xmm7
21[ ]*[a-f0-9]+: 8f e9 78 81 06[ ]+vfrczpd \(%esi\),%xmm0
22[ ]*[a-f0-9]+: 8f e9 78 81 3b[ ]+vfrczpd \(%ebx\),%xmm7
23[ ]*[a-f0-9]+: 8f e9 78 81 36[ ]+vfrczpd \(%esi\),%xmm6
24[ ]*[a-f0-9]+: 8f e9 78 81 00[ ]+vfrczpd \(%eax\),%xmm0
25[ ]*[a-f0-9]+: 8f e9 7c 81 ff[ ]+vfrczpd %ymm7,%ymm7
26[ ]*[a-f0-9]+: 8f e9 7c 81 f0[ ]+vfrczpd %ymm0,%ymm6
27[ ]*[a-f0-9]+: 8f e9 7c 81 03[ ]+vfrczpd \(%ebx\),%ymm0
28[ ]*[a-f0-9]+: 8f e9 7c 81 3e[ ]+vfrczpd \(%esi\),%ymm7
29[ ]*[a-f0-9]+: 8f e9 7c 81 c0[ ]+vfrczpd %ymm0,%ymm0
30[ ]*[a-f0-9]+: 8f e9 7c 81 38[ ]+vfrczpd \(%eax\),%ymm7
31[ ]*[a-f0-9]+: 8f e9 7c 81 c7[ ]+vfrczpd %ymm7,%ymm0
32[ ]*[a-f0-9]+: 8f e9 7c 81 f1[ ]+vfrczpd %ymm1,%ymm6
33[ ]*[a-f0-9]+: 8f e9 7c 81 c1[ ]+vfrczpd %ymm1,%ymm0
34[ ]*[a-f0-9]+: 8f e9 7c 81 f8[ ]+vfrczpd %ymm0,%ymm7
35[ ]*[a-f0-9]+: 8f e9 7c 81 30[ ]+vfrczpd \(%eax\),%ymm6
36[ ]*[a-f0-9]+: 8f e9 7c 81 f9[ ]+vfrczpd %ymm1,%ymm7
37[ ]*[a-f0-9]+: 8f e9 7c 81 06[ ]+vfrczpd \(%esi\),%ymm0
38[ ]*[a-f0-9]+: 8f e9 7c 81 3b[ ]+vfrczpd \(%ebx\),%ymm7
39[ ]*[a-f0-9]+: 8f e9 7c 81 36[ ]+vfrczpd \(%esi\),%ymm6
40[ ]*[a-f0-9]+: 8f e9 7c 81 00[ ]+vfrczpd \(%eax\),%ymm0
41[ ]*[a-f0-9]+: 8f e9 78 80 ff[ ]+vfrczps %xmm7,%xmm7
42[ ]*[a-f0-9]+: 8f e9 78 80 f0[ ]+vfrczps %xmm0,%xmm6
43[ ]*[a-f0-9]+: 8f e9 78 80 03[ ]+vfrczps \(%ebx\),%xmm0
44[ ]*[a-f0-9]+: 8f e9 78 80 3e[ ]+vfrczps \(%esi\),%xmm7
45[ ]*[a-f0-9]+: 8f e9 78 80 c0[ ]+vfrczps %xmm0,%xmm0
46[ ]*[a-f0-9]+: 8f e9 78 80 38[ ]+vfrczps \(%eax\),%xmm7
47[ ]*[a-f0-9]+: 8f e9 78 80 c7[ ]+vfrczps %xmm7,%xmm0
48[ ]*[a-f0-9]+: 8f e9 78 80 f1[ ]+vfrczps %xmm1,%xmm6
49[ ]*[a-f0-9]+: 8f e9 78 80 c1[ ]+vfrczps %xmm1,%xmm0
50[ ]*[a-f0-9]+: 8f e9 78 80 f8[ ]+vfrczps %xmm0,%xmm7
51[ ]*[a-f0-9]+: 8f e9 78 80 30[ ]+vfrczps \(%eax\),%xmm6
52[ ]*[a-f0-9]+: 8f e9 78 80 f9[ ]+vfrczps %xmm1,%xmm7
53[ ]*[a-f0-9]+: 8f e9 78 80 06[ ]+vfrczps \(%esi\),%xmm0
54[ ]*[a-f0-9]+: 8f e9 78 80 3b[ ]+vfrczps \(%ebx\),%xmm7
55[ ]*[a-f0-9]+: 8f e9 78 80 36[ ]+vfrczps \(%esi\),%xmm6
56[ ]*[a-f0-9]+: 8f e9 78 80 00[ ]+vfrczps \(%eax\),%xmm0
57[ ]*[a-f0-9]+: 8f e9 7c 80 ff[ ]+vfrczps %ymm7,%ymm7
58[ ]*[a-f0-9]+: 8f e9 7c 80 f0[ ]+vfrczps %ymm0,%ymm6
59[ ]*[a-f0-9]+: 8f e9 7c 80 03[ ]+vfrczps \(%ebx\),%ymm0
60[ ]*[a-f0-9]+: 8f e9 7c 80 3e[ ]+vfrczps \(%esi\),%ymm7
61[ ]*[a-f0-9]+: 8f e9 7c 80 c0[ ]+vfrczps %ymm0,%ymm0
62[ ]*[a-f0-9]+: 8f e9 7c 80 38[ ]+vfrczps \(%eax\),%ymm7
63[ ]*[a-f0-9]+: 8f e9 7c 80 c7[ ]+vfrczps %ymm7,%ymm0
64[ ]*[a-f0-9]+: 8f e9 7c 80 f1[ ]+vfrczps %ymm1,%ymm6
65[ ]*[a-f0-9]+: 8f e9 7c 80 c1[ ]+vfrczps %ymm1,%ymm0
66[ ]*[a-f0-9]+: 8f e9 7c 80 f8[ ]+vfrczps %ymm0,%ymm7
67[ ]*[a-f0-9]+: 8f e9 7c 80 30[ ]+vfrczps \(%eax\),%ymm6
68[ ]*[a-f0-9]+: 8f e9 7c 80 f9[ ]+vfrczps %ymm1,%ymm7
69[ ]*[a-f0-9]+: 8f e9 7c 80 06[ ]+vfrczps \(%esi\),%ymm0
70[ ]*[a-f0-9]+: 8f e9 7c 80 3b[ ]+vfrczps \(%ebx\),%ymm7
71[ ]*[a-f0-9]+: 8f e9 7c 80 36[ ]+vfrczps \(%esi\),%ymm6
72[ ]*[a-f0-9]+: 8f e9 7c 80 00[ ]+vfrczps \(%eax\),%ymm0
73[ ]*[a-f0-9]+: 8f e9 78 83 ff[ ]+vfrczsd %xmm7,%xmm7
74[ ]*[a-f0-9]+: 8f e9 78 83 f0[ ]+vfrczsd %xmm0,%xmm6
75[ ]*[a-f0-9]+: 8f e9 78 83 03[ ]+vfrczsd \(%ebx\),%xmm0
76[ ]*[a-f0-9]+: 8f e9 78 83 3e[ ]+vfrczsd \(%esi\),%xmm7
77[ ]*[a-f0-9]+: 8f e9 78 83 c0[ ]+vfrczsd %xmm0,%xmm0
78[ ]*[a-f0-9]+: 8f e9 78 83 38[ ]+vfrczsd \(%eax\),%xmm7
79[ ]*[a-f0-9]+: 8f e9 78 83 c7[ ]+vfrczsd %xmm7,%xmm0
80[ ]*[a-f0-9]+: 8f e9 78 83 f1[ ]+vfrczsd %xmm1,%xmm6
81[ ]*[a-f0-9]+: 8f e9 78 83 c1[ ]+vfrczsd %xmm1,%xmm0
82[ ]*[a-f0-9]+: 8f e9 78 83 f8[ ]+vfrczsd %xmm0,%xmm7
83[ ]*[a-f0-9]+: 8f e9 78 83 30[ ]+vfrczsd \(%eax\),%xmm6
84[ ]*[a-f0-9]+: 8f e9 78 83 f9[ ]+vfrczsd %xmm1,%xmm7
85[ ]*[a-f0-9]+: 8f e9 78 83 06[ ]+vfrczsd \(%esi\),%xmm0
86[ ]*[a-f0-9]+: 8f e9 78 83 3b[ ]+vfrczsd \(%ebx\),%xmm7
87[ ]*[a-f0-9]+: 8f e9 78 83 36[ ]+vfrczsd \(%esi\),%xmm6
88[ ]*[a-f0-9]+: 8f e9 78 83 00[ ]+vfrczsd \(%eax\),%xmm0
89[ ]*[a-f0-9]+: 8f e9 78 82 ff[ ]+vfrczss %xmm7,%xmm7
90[ ]*[a-f0-9]+: 8f e9 78 82 f0[ ]+vfrczss %xmm0,%xmm6
91[ ]*[a-f0-9]+: 8f e9 78 82 03[ ]+vfrczss \(%ebx\),%xmm0
92[ ]*[a-f0-9]+: 8f e9 78 82 3e[ ]+vfrczss \(%esi\),%xmm7
93[ ]*[a-f0-9]+: 8f e9 78 82 c0[ ]+vfrczss %xmm0,%xmm0
94[ ]*[a-f0-9]+: 8f e9 78 82 38[ ]+vfrczss \(%eax\),%xmm7
95[ ]*[a-f0-9]+: 8f e9 78 82 c7[ ]+vfrczss %xmm7,%xmm0
96[ ]*[a-f0-9]+: 8f e9 78 82 f1[ ]+vfrczss %xmm1,%xmm6
97[ ]*[a-f0-9]+: 8f e9 78 82 c1[ ]+vfrczss %xmm1,%xmm0
98[ ]*[a-f0-9]+: 8f e9 78 82 f8[ ]+vfrczss %xmm0,%xmm7
99[ ]*[a-f0-9]+: 8f e9 78 82 30[ ]+vfrczss \(%eax\),%xmm6
100[ ]*[a-f0-9]+: 8f e9 78 82 f9[ ]+vfrczss %xmm1,%xmm7
101[ ]*[a-f0-9]+: 8f e9 78 82 06[ ]+vfrczss \(%esi\),%xmm0
102[ ]*[a-f0-9]+: 8f e9 78 82 3b[ ]+vfrczss \(%ebx\),%xmm7
103[ ]*[a-f0-9]+: 8f e9 78 82 36[ ]+vfrczss \(%esi\),%xmm6
104[ ]*[a-f0-9]+: 8f e9 78 82 00[ ]+vfrczss \(%eax\),%xmm0
105[ ]*[a-f0-9]+: 8f e8 40 a2 c7 00[ ]+vpcmov %xmm0,%xmm7,%xmm7,%xmm0
106[ ]*[a-f0-9]+: 8f e8 78 a2 06 70[ ]+vpcmov %xmm7,\(%esi\),%xmm0,%xmm0
107[ ]*[a-f0-9]+: 8f e8 60 a2 06 10[ ]+vpcmov %xmm1,\(%esi\),%xmm3,%xmm0
108[ ]*[a-f0-9]+: 8f e8 78 a2 e8 10[ ]+vpcmov %xmm1,%xmm0,%xmm0,%xmm5
109[ ]*[a-f0-9]+: 8f e8 78 a2 c6 10[ ]+vpcmov %xmm1,%xmm6,%xmm0,%xmm0
110[ ]*[a-f0-9]+: 8f e8 78 a2 fe 10[ ]+vpcmov %xmm1,%xmm6,%xmm0,%xmm7
111[ ]*[a-f0-9]+: 8f e8 78 a2 3a 10[ ]+vpcmov %xmm1,\(%edx\),%xmm0,%xmm7
112[ ]*[a-f0-9]+: 8f e8 78 a2 f8 70[ ]+vpcmov %xmm7,%xmm0,%xmm0,%xmm7
113[ ]*[a-f0-9]+: 8f e8 60 a2 3e 70[ ]+vpcmov %xmm7,\(%esi\),%xmm3,%xmm7
114[ ]*[a-f0-9]+: 8f e8 60 a2 fe 70[ ]+vpcmov %xmm7,%xmm6,%xmm3,%xmm7
115[ ]*[a-f0-9]+: 8f e8 60 a2 c7 70[ ]+vpcmov %xmm7,%xmm7,%xmm3,%xmm0
116[ ]*[a-f0-9]+: 8f e8 60 a2 02 00[ ]+vpcmov %xmm0,\(%edx\),%xmm3,%xmm0
117[ ]*[a-f0-9]+: 8f e8 40 a2 2a 10[ ]+vpcmov %xmm1,\(%edx\),%xmm7,%xmm5
118[ ]*[a-f0-9]+: 8f e8 40 a2 ef 10[ ]+vpcmov %xmm1,%xmm7,%xmm7,%xmm5
119[ ]*[a-f0-9]+: 8f e8 78 a2 c7 10[ ]+vpcmov %xmm1,%xmm7,%xmm0,%xmm0
120[ ]*[a-f0-9]+: 8f e8 60 a2 2e 70[ ]+vpcmov %xmm7,\(%esi\),%xmm3,%xmm5
121[ ]*[a-f0-9]+: 8f e8 44 a2 c7 00[ ]+vpcmov %ymm0,%ymm7,%ymm7,%ymm0
122[ ]*[a-f0-9]+: 8f e8 7c a2 06 70[ ]+vpcmov %ymm7,\(%esi\),%ymm0,%ymm0
123[ ]*[a-f0-9]+: 8f e8 64 a2 06 10[ ]+vpcmov %ymm1,\(%esi\),%ymm3,%ymm0
124[ ]*[a-f0-9]+: 8f e8 7c a2 e8 10[ ]+vpcmov %ymm1,%ymm0,%ymm0,%ymm5
125[ ]*[a-f0-9]+: 8f e8 7c a2 c6 10[ ]+vpcmov %ymm1,%ymm6,%ymm0,%ymm0
126[ ]*[a-f0-9]+: 8f e8 7c a2 fe 10[ ]+vpcmov %ymm1,%ymm6,%ymm0,%ymm7
127[ ]*[a-f0-9]+: 8f e8 7c a2 3a 10[ ]+vpcmov %ymm1,\(%edx\),%ymm0,%ymm7
128[ ]*[a-f0-9]+: 8f e8 7c a2 f8 70[ ]+vpcmov %ymm7,%ymm0,%ymm0,%ymm7
129[ ]*[a-f0-9]+: 8f e8 64 a2 3e 70[ ]+vpcmov %ymm7,\(%esi\),%ymm3,%ymm7
130[ ]*[a-f0-9]+: 8f e8 64 a2 fe 70[ ]+vpcmov %ymm7,%ymm6,%ymm3,%ymm7
131[ ]*[a-f0-9]+: 8f e8 64 a2 c7 70[ ]+vpcmov %ymm7,%ymm7,%ymm3,%ymm0
132[ ]*[a-f0-9]+: 8f e8 64 a2 02 00[ ]+vpcmov %ymm0,\(%edx\),%ymm3,%ymm0
133[ ]*[a-f0-9]+: 8f e8 44 a2 2a 10[ ]+vpcmov %ymm1,\(%edx\),%ymm7,%ymm5
134[ ]*[a-f0-9]+: 8f e8 44 a2 ef 10[ ]+vpcmov %ymm1,%ymm7,%ymm7,%ymm5
135[ ]*[a-f0-9]+: 8f e8 7c a2 c7 10[ ]+vpcmov %ymm1,%ymm7,%ymm0,%ymm0
136[ ]*[a-f0-9]+: 8f e8 64 a2 2e 70[ ]+vpcmov %ymm7,\(%esi\),%ymm3,%ymm5
137[ ]*[a-f0-9]+: 8f e8 40 a2 c6 00[ ]+vpcmov %xmm0,%xmm6,%xmm7,%xmm0
138[ ]*[a-f0-9]+: 8f e8 f8 a2 06 70[ ]+vpcmov \(%esi\),%xmm7,%xmm0,%xmm0
139[ ]*[a-f0-9]+: 8f e8 e0 a2 00 70[ ]+vpcmov \(%eax\),%xmm7,%xmm3,%xmm0
140[ ]*[a-f0-9]+: 8f e8 78 a2 e8 70[ ]+vpcmov %xmm7,%xmm0,%xmm0,%xmm5
141[ ]*[a-f0-9]+: 8f e8 78 a2 c0 70[ ]+vpcmov %xmm7,%xmm0,%xmm0,%xmm0
142[ ]*[a-f0-9]+: 8f e8 78 a2 f8 70[ ]+vpcmov %xmm7,%xmm0,%xmm0,%xmm7
143[ ]*[a-f0-9]+: 8f e8 f8 a2 38 60[ ]+vpcmov \(%eax\),%xmm6,%xmm0,%xmm7
144[ ]*[a-f0-9]+: 8f e8 f8 a2 3e 00[ ]+vpcmov \(%esi\),%xmm0,%xmm0,%xmm7
145[ ]*[a-f0-9]+: 8f e8 e0 a2 3b 70[ ]+vpcmov \(%ebx\),%xmm7,%xmm3,%xmm7
146[ ]*[a-f0-9]+: 8f e8 e0 a2 3b 00[ ]+vpcmov \(%ebx\),%xmm0,%xmm3,%xmm7
147[ ]*[a-f0-9]+: 8f e8 e0 a2 06 60[ ]+vpcmov \(%esi\),%xmm6,%xmm3,%xmm0
148[ ]*[a-f0-9]+: 8f e8 60 a2 c7 10[ ]+vpcmov %xmm1,%xmm7,%xmm3,%xmm0
149[ ]*[a-f0-9]+: 8f e8 c0 a2 28 70[ ]+vpcmov \(%eax\),%xmm7,%xmm7,%xmm5
150[ ]*[a-f0-9]+: 8f e8 40 a2 ee 70[ ]+vpcmov %xmm7,%xmm6,%xmm7,%xmm5
151[ ]*[a-f0-9]+: 8f e8 78 a2 c6 70[ ]+vpcmov %xmm7,%xmm6,%xmm0,%xmm0
152[ ]*[a-f0-9]+: 8f e8 e0 a2 2b 70[ ]+vpcmov \(%ebx\),%xmm7,%xmm3,%xmm5
153[ ]*[a-f0-9]+: 8f e8 44 a2 c6 00[ ]+vpcmov %ymm0,%ymm6,%ymm7,%ymm0
154[ ]*[a-f0-9]+: 8f e8 fc a2 06 70[ ]+vpcmov \(%esi\),%ymm7,%ymm0,%ymm0
155[ ]*[a-f0-9]+: 8f e8 e4 a2 00 70[ ]+vpcmov \(%eax\),%ymm7,%ymm3,%ymm0
156[ ]*[a-f0-9]+: 8f e8 7c a2 e8 70[ ]+vpcmov %ymm7,%ymm0,%ymm0,%ymm5
157[ ]*[a-f0-9]+: 8f e8 7c a2 c0 70[ ]+vpcmov %ymm7,%ymm0,%ymm0,%ymm0
158[ ]*[a-f0-9]+: 8f e8 7c a2 f8 70[ ]+vpcmov %ymm7,%ymm0,%ymm0,%ymm7
159[ ]*[a-f0-9]+: 8f e8 fc a2 38 60[ ]+vpcmov \(%eax\),%ymm6,%ymm0,%ymm7
160[ ]*[a-f0-9]+: 8f e8 fc a2 3e 00[ ]+vpcmov \(%esi\),%ymm0,%ymm0,%ymm7
161[ ]*[a-f0-9]+: 8f e8 e4 a2 3b 70[ ]+vpcmov \(%ebx\),%ymm7,%ymm3,%ymm7
162[ ]*[a-f0-9]+: 8f e8 e4 a2 3b 00[ ]+vpcmov \(%ebx\),%ymm0,%ymm3,%ymm7
163[ ]*[a-f0-9]+: 8f e8 e4 a2 06 60[ ]+vpcmov \(%esi\),%ymm6,%ymm3,%ymm0
164[ ]*[a-f0-9]+: 8f e8 64 a2 c7 10[ ]+vpcmov %ymm1,%ymm7,%ymm3,%ymm0
165[ ]*[a-f0-9]+: 8f e8 c4 a2 28 70[ ]+vpcmov \(%eax\),%ymm7,%ymm7,%ymm5
166[ ]*[a-f0-9]+: 8f e8 44 a2 ee 70[ ]+vpcmov %ymm7,%ymm6,%ymm7,%ymm5
167[ ]*[a-f0-9]+: 8f e8 7c a2 c6 70[ ]+vpcmov %ymm7,%ymm6,%ymm0,%ymm0
168[ ]*[a-f0-9]+: 8f e8 e4 a2 2b 70[ ]+vpcmov \(%ebx\),%ymm7,%ymm3,%ymm5
be92cb14 169[ ]*[a-f0-9]+: 8f e8 78 cc 38 03[ ]+vpcomgeb \(%eax\),%xmm0,%xmm7
5dd85c99
SP
170[ ]*[a-f0-9]+: 8f e8 78 cc c8 ff[ ]+vpcomb \$0xff,%xmm0,%xmm0,%xmm1
171[ ]*[a-f0-9]+: 8f e8 78 cc cd ff[ ]+vpcomb \$0xff,%xmm5,%xmm0,%xmm1
be92cb14
JB
172[ ]*[a-f0-9]+: 8f e8 50 cc cd 00[ ]+vpcomltb %xmm5,%xmm5,%xmm1
173[ ]*[a-f0-9]+: 8f e8 78 cc cd 00[ ]+vpcomltb %xmm5,%xmm0,%xmm1
174[ ]*[a-f0-9]+: 8f e8 40 cc c8 00[ ]+vpcomltb %xmm0,%xmm7,%xmm1
175[ ]*[a-f0-9]+: 8f e8 40 cc f8 03[ ]+vpcomgeb %xmm0,%xmm7,%xmm7
176[ ]*[a-f0-9]+: 8f e8 40 cc fd 00[ ]+vpcomltb %xmm5,%xmm7,%xmm7
5dd85c99 177[ ]*[a-f0-9]+: 8f e8 40 cc ff ff[ ]+vpcomb \$0xff,%xmm7,%xmm7,%xmm7
be92cb14
JB
178[ ]*[a-f0-9]+: 8f e8 40 cc ff 00[ ]+vpcomltb %xmm7,%xmm7,%xmm7
179[ ]*[a-f0-9]+: 8f e8 78 cc c7 03[ ]+vpcomgeb %xmm7,%xmm0,%xmm0
5dd85c99
SP
180[ ]*[a-f0-9]+: 8f e8 78 cc cf ff[ ]+vpcomb \$0xff,%xmm7,%xmm0,%xmm1
181[ ]*[a-f0-9]+: 8f e8 50 cc 08 ff[ ]+vpcomb \$0xff,\(%eax\),%xmm5,%xmm1
be92cb14
JB
182[ ]*[a-f0-9]+: 8f e8 50 cc 08 03[ ]+vpcomgeb \(%eax\),%xmm5,%xmm1
183[ ]*[a-f0-9]+: 8f e8 78 cc f8 03[ ]+vpcomgeb %xmm0,%xmm0,%xmm7
5dd85c99 184[ ]*[a-f0-9]+: 8f e8 50 cc c7 ff[ ]+vpcomb \$0xff,%xmm7,%xmm5,%xmm0
be92cb14 185[ ]*[a-f0-9]+: 8f e8 78 ce 38 03[ ]+vpcomged \(%eax\),%xmm0,%xmm7
5dd85c99
SP
186[ ]*[a-f0-9]+: 8f e8 78 ce c8 ff[ ]+vpcomd \$0xff,%xmm0,%xmm0,%xmm1
187[ ]*[a-f0-9]+: 8f e8 78 ce cd ff[ ]+vpcomd \$0xff,%xmm5,%xmm0,%xmm1
be92cb14
JB
188[ ]*[a-f0-9]+: 8f e8 50 ce cd 00[ ]+vpcomltd %xmm5,%xmm5,%xmm1
189[ ]*[a-f0-9]+: 8f e8 78 ce cd 00[ ]+vpcomltd %xmm5,%xmm0,%xmm1
190[ ]*[a-f0-9]+: 8f e8 40 ce c8 00[ ]+vpcomltd %xmm0,%xmm7,%xmm1
191[ ]*[a-f0-9]+: 8f e8 40 ce f8 03[ ]+vpcomged %xmm0,%xmm7,%xmm7
192[ ]*[a-f0-9]+: 8f e8 40 ce fd 00[ ]+vpcomltd %xmm5,%xmm7,%xmm7
5dd85c99 193[ ]*[a-f0-9]+: 8f e8 40 ce ff ff[ ]+vpcomd \$0xff,%xmm7,%xmm7,%xmm7
be92cb14
JB
194[ ]*[a-f0-9]+: 8f e8 40 ce ff 00[ ]+vpcomltd %xmm7,%xmm7,%xmm7
195[ ]*[a-f0-9]+: 8f e8 78 ce c7 03[ ]+vpcomged %xmm7,%xmm0,%xmm0
5dd85c99
SP
196[ ]*[a-f0-9]+: 8f e8 78 ce cf ff[ ]+vpcomd \$0xff,%xmm7,%xmm0,%xmm1
197[ ]*[a-f0-9]+: 8f e8 50 ce 08 ff[ ]+vpcomd \$0xff,\(%eax\),%xmm5,%xmm1
be92cb14
JB
198[ ]*[a-f0-9]+: 8f e8 50 ce 08 03[ ]+vpcomged \(%eax\),%xmm5,%xmm1
199[ ]*[a-f0-9]+: 8f e8 78 ce f8 03[ ]+vpcomged %xmm0,%xmm0,%xmm7
5dd85c99 200[ ]*[a-f0-9]+: 8f e8 50 ce c7 ff[ ]+vpcomd \$0xff,%xmm7,%xmm5,%xmm0
be92cb14 201[ ]*[a-f0-9]+: 8f e8 78 cf 38 03[ ]+vpcomgeq \(%eax\),%xmm0,%xmm7
5dd85c99
SP
202[ ]*[a-f0-9]+: 8f e8 78 cf c8 ff[ ]+vpcomq \$0xff,%xmm0,%xmm0,%xmm1
203[ ]*[a-f0-9]+: 8f e8 78 cf cd ff[ ]+vpcomq \$0xff,%xmm5,%xmm0,%xmm1
be92cb14
JB
204[ ]*[a-f0-9]+: 8f e8 50 cf cd 00[ ]+vpcomltq %xmm5,%xmm5,%xmm1
205[ ]*[a-f0-9]+: 8f e8 78 cf cd 00[ ]+vpcomltq %xmm5,%xmm0,%xmm1
206[ ]*[a-f0-9]+: 8f e8 40 cf c8 00[ ]+vpcomltq %xmm0,%xmm7,%xmm1
207[ ]*[a-f0-9]+: 8f e8 40 cf f8 03[ ]+vpcomgeq %xmm0,%xmm7,%xmm7
208[ ]*[a-f0-9]+: 8f e8 40 cf fd 00[ ]+vpcomltq %xmm5,%xmm7,%xmm7
5dd85c99 209[ ]*[a-f0-9]+: 8f e8 40 cf ff ff[ ]+vpcomq \$0xff,%xmm7,%xmm7,%xmm7
be92cb14
JB
210[ ]*[a-f0-9]+: 8f e8 40 cf ff 00[ ]+vpcomltq %xmm7,%xmm7,%xmm7
211[ ]*[a-f0-9]+: 8f e8 78 cf c7 03[ ]+vpcomgeq %xmm7,%xmm0,%xmm0
5dd85c99
SP
212[ ]*[a-f0-9]+: 8f e8 78 cf cf ff[ ]+vpcomq \$0xff,%xmm7,%xmm0,%xmm1
213[ ]*[a-f0-9]+: 8f e8 50 cf 08 ff[ ]+vpcomq \$0xff,\(%eax\),%xmm5,%xmm1
be92cb14
JB
214[ ]*[a-f0-9]+: 8f e8 50 cf 08 03[ ]+vpcomgeq \(%eax\),%xmm5,%xmm1
215[ ]*[a-f0-9]+: 8f e8 78 cf f8 03[ ]+vpcomgeq %xmm0,%xmm0,%xmm7
5dd85c99 216[ ]*[a-f0-9]+: 8f e8 50 cf c7 ff[ ]+vpcomq \$0xff,%xmm7,%xmm5,%xmm0
be92cb14 217[ ]*[a-f0-9]+: 8f e8 78 ec 38 03[ ]+vpcomgeub \(%eax\),%xmm0,%xmm7
5dd85c99
SP
218[ ]*[a-f0-9]+: 8f e8 78 ec c8 ff[ ]+vpcomub \$0xff,%xmm0,%xmm0,%xmm1
219[ ]*[a-f0-9]+: 8f e8 78 ec cd ff[ ]+vpcomub \$0xff,%xmm5,%xmm0,%xmm1
be92cb14
JB
220[ ]*[a-f0-9]+: 8f e8 50 ec cd 00[ ]+vpcomltub %xmm5,%xmm5,%xmm1
221[ ]*[a-f0-9]+: 8f e8 78 ec cd 00[ ]+vpcomltub %xmm5,%xmm0,%xmm1
222[ ]*[a-f0-9]+: 8f e8 40 ec c8 00[ ]+vpcomltub %xmm0,%xmm7,%xmm1
223[ ]*[a-f0-9]+: 8f e8 40 ec f8 03[ ]+vpcomgeub %xmm0,%xmm7,%xmm7
224[ ]*[a-f0-9]+: 8f e8 40 ec fd 00[ ]+vpcomltub %xmm5,%xmm7,%xmm7
5dd85c99 225[ ]*[a-f0-9]+: 8f e8 40 ec ff ff[ ]+vpcomub \$0xff,%xmm7,%xmm7,%xmm7
be92cb14
JB
226[ ]*[a-f0-9]+: 8f e8 40 ec ff 00[ ]+vpcomltub %xmm7,%xmm7,%xmm7
227[ ]*[a-f0-9]+: 8f e8 78 ec c7 03[ ]+vpcomgeub %xmm7,%xmm0,%xmm0
5dd85c99
SP
228[ ]*[a-f0-9]+: 8f e8 78 ec cf ff[ ]+vpcomub \$0xff,%xmm7,%xmm0,%xmm1
229[ ]*[a-f0-9]+: 8f e8 50 ec 08 ff[ ]+vpcomub \$0xff,\(%eax\),%xmm5,%xmm1
be92cb14
JB
230[ ]*[a-f0-9]+: 8f e8 50 ec 08 03[ ]+vpcomgeub \(%eax\),%xmm5,%xmm1
231[ ]*[a-f0-9]+: 8f e8 78 ec f8 03[ ]+vpcomgeub %xmm0,%xmm0,%xmm7
5dd85c99 232[ ]*[a-f0-9]+: 8f e8 50 ec c7 ff[ ]+vpcomub \$0xff,%xmm7,%xmm5,%xmm0
be92cb14 233[ ]*[a-f0-9]+: 8f e8 78 ee 38 03[ ]+vpcomgeud \(%eax\),%xmm0,%xmm7
5dd85c99
SP
234[ ]*[a-f0-9]+: 8f e8 78 ee c8 ff[ ]+vpcomud \$0xff,%xmm0,%xmm0,%xmm1
235[ ]*[a-f0-9]+: 8f e8 78 ee cd ff[ ]+vpcomud \$0xff,%xmm5,%xmm0,%xmm1
be92cb14
JB
236[ ]*[a-f0-9]+: 8f e8 50 ee cd 00[ ]+vpcomltud %xmm5,%xmm5,%xmm1
237[ ]*[a-f0-9]+: 8f e8 78 ee cd 00[ ]+vpcomltud %xmm5,%xmm0,%xmm1
238[ ]*[a-f0-9]+: 8f e8 40 ee c8 00[ ]+vpcomltud %xmm0,%xmm7,%xmm1
239[ ]*[a-f0-9]+: 8f e8 40 ee f8 03[ ]+vpcomgeud %xmm0,%xmm7,%xmm7
240[ ]*[a-f0-9]+: 8f e8 40 ee fd 00[ ]+vpcomltud %xmm5,%xmm7,%xmm7
5dd85c99 241[ ]*[a-f0-9]+: 8f e8 40 ee ff ff[ ]+vpcomud \$0xff,%xmm7,%xmm7,%xmm7
be92cb14
JB
242[ ]*[a-f0-9]+: 8f e8 40 ee ff 00[ ]+vpcomltud %xmm7,%xmm7,%xmm7
243[ ]*[a-f0-9]+: 8f e8 78 ee c7 03[ ]+vpcomgeud %xmm7,%xmm0,%xmm0
5dd85c99
SP
244[ ]*[a-f0-9]+: 8f e8 78 ee cf ff[ ]+vpcomud \$0xff,%xmm7,%xmm0,%xmm1
245[ ]*[a-f0-9]+: 8f e8 50 ee 08 ff[ ]+vpcomud \$0xff,\(%eax\),%xmm5,%xmm1
be92cb14
JB
246[ ]*[a-f0-9]+: 8f e8 50 ee 08 03[ ]+vpcomgeud \(%eax\),%xmm5,%xmm1
247[ ]*[a-f0-9]+: 8f e8 78 ee f8 03[ ]+vpcomgeud %xmm0,%xmm0,%xmm7
5dd85c99 248[ ]*[a-f0-9]+: 8f e8 50 ee c7 ff[ ]+vpcomud \$0xff,%xmm7,%xmm5,%xmm0
be92cb14 249[ ]*[a-f0-9]+: 8f e8 78 ef 38 03[ ]+vpcomgeuq \(%eax\),%xmm0,%xmm7
5dd85c99
SP
250[ ]*[a-f0-9]+: 8f e8 78 ef c8 ff[ ]+vpcomuq \$0xff,%xmm0,%xmm0,%xmm1
251[ ]*[a-f0-9]+: 8f e8 78 ef cd ff[ ]+vpcomuq \$0xff,%xmm5,%xmm0,%xmm1
be92cb14
JB
252[ ]*[a-f0-9]+: 8f e8 50 ef cd 00[ ]+vpcomltuq %xmm5,%xmm5,%xmm1
253[ ]*[a-f0-9]+: 8f e8 78 ef cd 00[ ]+vpcomltuq %xmm5,%xmm0,%xmm1
254[ ]*[a-f0-9]+: 8f e8 40 ef c8 00[ ]+vpcomltuq %xmm0,%xmm7,%xmm1
255[ ]*[a-f0-9]+: 8f e8 40 ef f8 03[ ]+vpcomgeuq %xmm0,%xmm7,%xmm7
256[ ]*[a-f0-9]+: 8f e8 40 ef fd 00[ ]+vpcomltuq %xmm5,%xmm7,%xmm7
5dd85c99 257[ ]*[a-f0-9]+: 8f e8 40 ef ff ff[ ]+vpcomuq \$0xff,%xmm7,%xmm7,%xmm7
be92cb14
JB
258[ ]*[a-f0-9]+: 8f e8 40 ef ff 00[ ]+vpcomltuq %xmm7,%xmm7,%xmm7
259[ ]*[a-f0-9]+: 8f e8 78 ef c7 03[ ]+vpcomgeuq %xmm7,%xmm0,%xmm0
5dd85c99
SP
260[ ]*[a-f0-9]+: 8f e8 78 ef cf ff[ ]+vpcomuq \$0xff,%xmm7,%xmm0,%xmm1
261[ ]*[a-f0-9]+: 8f e8 50 ef 08 ff[ ]+vpcomuq \$0xff,\(%eax\),%xmm5,%xmm1
be92cb14
JB
262[ ]*[a-f0-9]+: 8f e8 50 ef 08 03[ ]+vpcomgeuq \(%eax\),%xmm5,%xmm1
263[ ]*[a-f0-9]+: 8f e8 78 ef f8 03[ ]+vpcomgeuq %xmm0,%xmm0,%xmm7
5dd85c99 264[ ]*[a-f0-9]+: 8f e8 50 ef c7 ff[ ]+vpcomuq \$0xff,%xmm7,%xmm5,%xmm0
be92cb14 265[ ]*[a-f0-9]+: 8f e8 78 ed 38 03[ ]+vpcomgeuw \(%eax\),%xmm0,%xmm7
5dd85c99
SP
266[ ]*[a-f0-9]+: 8f e8 78 ed c8 ff[ ]+vpcomuw \$0xff,%xmm0,%xmm0,%xmm1
267[ ]*[a-f0-9]+: 8f e8 78 ed cd ff[ ]+vpcomuw \$0xff,%xmm5,%xmm0,%xmm1
be92cb14
JB
268[ ]*[a-f0-9]+: 8f e8 50 ed cd 00[ ]+vpcomltuw %xmm5,%xmm5,%xmm1
269[ ]*[a-f0-9]+: 8f e8 78 ed cd 00[ ]+vpcomltuw %xmm5,%xmm0,%xmm1
270[ ]*[a-f0-9]+: 8f e8 40 ed c8 00[ ]+vpcomltuw %xmm0,%xmm7,%xmm1
271[ ]*[a-f0-9]+: 8f e8 40 ed f8 03[ ]+vpcomgeuw %xmm0,%xmm7,%xmm7
272[ ]*[a-f0-9]+: 8f e8 40 ed fd 00[ ]+vpcomltuw %xmm5,%xmm7,%xmm7
5dd85c99 273[ ]*[a-f0-9]+: 8f e8 40 ed ff ff[ ]+vpcomuw \$0xff,%xmm7,%xmm7,%xmm7
be92cb14
JB
274[ ]*[a-f0-9]+: 8f e8 40 ed ff 00[ ]+vpcomltuw %xmm7,%xmm7,%xmm7
275[ ]*[a-f0-9]+: 8f e8 78 ed c7 03[ ]+vpcomgeuw %xmm7,%xmm0,%xmm0
5dd85c99
SP
276[ ]*[a-f0-9]+: 8f e8 78 ed cf ff[ ]+vpcomuw \$0xff,%xmm7,%xmm0,%xmm1
277[ ]*[a-f0-9]+: 8f e8 50 ed 08 ff[ ]+vpcomuw \$0xff,\(%eax\),%xmm5,%xmm1
be92cb14
JB
278[ ]*[a-f0-9]+: 8f e8 50 ed 08 03[ ]+vpcomgeuw \(%eax\),%xmm5,%xmm1
279[ ]*[a-f0-9]+: 8f e8 78 ed f8 03[ ]+vpcomgeuw %xmm0,%xmm0,%xmm7
5dd85c99 280[ ]*[a-f0-9]+: 8f e8 50 ed c7 ff[ ]+vpcomuw \$0xff,%xmm7,%xmm5,%xmm0
be92cb14 281[ ]*[a-f0-9]+: 8f e8 78 cd 38 03[ ]+vpcomgew \(%eax\),%xmm0,%xmm7
5dd85c99
SP
282[ ]*[a-f0-9]+: 8f e8 78 cd c8 ff[ ]+vpcomw \$0xff,%xmm0,%xmm0,%xmm1
283[ ]*[a-f0-9]+: 8f e8 78 cd cd ff[ ]+vpcomw \$0xff,%xmm5,%xmm0,%xmm1
be92cb14
JB
284[ ]*[a-f0-9]+: 8f e8 50 cd cd 00[ ]+vpcomltw %xmm5,%xmm5,%xmm1
285[ ]*[a-f0-9]+: 8f e8 78 cd cd 00[ ]+vpcomltw %xmm5,%xmm0,%xmm1
286[ ]*[a-f0-9]+: 8f e8 40 cd c8 00[ ]+vpcomltw %xmm0,%xmm7,%xmm1
287[ ]*[a-f0-9]+: 8f e8 40 cd f8 03[ ]+vpcomgew %xmm0,%xmm7,%xmm7
288[ ]*[a-f0-9]+: 8f e8 40 cd fd 00[ ]+vpcomltw %xmm5,%xmm7,%xmm7
5dd85c99 289[ ]*[a-f0-9]+: 8f e8 40 cd ff ff[ ]+vpcomw \$0xff,%xmm7,%xmm7,%xmm7
be92cb14
JB
290[ ]*[a-f0-9]+: 8f e8 40 cd ff 00[ ]+vpcomltw %xmm7,%xmm7,%xmm7
291[ ]*[a-f0-9]+: 8f e8 78 cd c7 03[ ]+vpcomgew %xmm7,%xmm0,%xmm0
5dd85c99
SP
292[ ]*[a-f0-9]+: 8f e8 78 cd cf ff[ ]+vpcomw \$0xff,%xmm7,%xmm0,%xmm1
293[ ]*[a-f0-9]+: 8f e8 50 cd 08 ff[ ]+vpcomw \$0xff,\(%eax\),%xmm5,%xmm1
be92cb14
JB
294[ ]*[a-f0-9]+: 8f e8 50 cd 08 03[ ]+vpcomgew \(%eax\),%xmm5,%xmm1
295[ ]*[a-f0-9]+: 8f e8 78 cd f8 03[ ]+vpcomgew %xmm0,%xmm0,%xmm7
5dd85c99 296[ ]*[a-f0-9]+: 8f e8 50 cd c7 ff[ ]+vpcomw \$0xff,%xmm7,%xmm5,%xmm0
a683cc34
SP
297[ ]*[a-f0-9]+: c4 e3 41 49 00 50[ ]+vpermil2pd \$0x0,%xmm5,\(%eax\),%xmm7,%xmm0
298[ ]*[a-f0-9]+: c4 e3 59 49 c2 11[ ]+vpermil2pd \$0x1,%xmm1,%xmm2,%xmm4,%xmm0
299[ ]*[a-f0-9]+: c4 e3 41 49 10 42[ ]+vpermil2pd \$0x2,%xmm4,\(%eax\),%xmm7,%xmm2
300[ ]*[a-f0-9]+: c4 e3 59 49 3c 83 33[ ]+vpermil2pd \$0x3,%xmm3,\(%ebx,%eax,4\),%xmm4,%xmm7
301[ ]*[a-f0-9]+: c4 e3 79 49 f7 30[ ]+vpermil2pd \$0x0,%xmm3,%xmm7,%xmm0,%xmm6
302[ ]*[a-f0-9]+: c4 e3 79 49 14 16 71[ ]+vpermil2pd \$0x1,%xmm7,\(%esi,%edx,1\),%xmm0,%xmm2
303[ ]*[a-f0-9]+: c4 e3 59 49 fd 32[ ]+vpermil2pd \$0x2,%xmm3,%xmm5,%xmm4,%xmm7
304[ ]*[a-f0-9]+: c4 e3 71 49 d0 33[ ]+vpermil2pd \$0x3,%xmm3,%xmm0,%xmm1,%xmm2
305[ ]*[a-f0-9]+: c4 e3 69 49 f1 72[ ]+vpermil2pd \$0x2,%xmm7,%xmm1,%xmm2,%xmm6
306[ ]*[a-f0-9]+: c4 e3 e9 49 bc 19 88 07 00 00 01[ ]+vpermil2pd \$0x1,0x788\(%ecx,%ebx,1\),%xmm0,%xmm2,%xmm7
307[ ]*[a-f0-9]+: c4 e3 79 49 f9 40[ ]+vpermil2pd \$0x0,%xmm4,%xmm1,%xmm0,%xmm7
308[ ]*[a-f0-9]+: c4 e3 59 49 c7 33[ ]+vpermil2pd \$0x3,%xmm3,%xmm7,%xmm4,%xmm0
309[ ]*[a-f0-9]+: c4 e3 f9 49 b4 59 88 07 00 00 73[ ]+vpermil2pd \$0x3,0x788\(%ecx,%ebx,2\),%xmm7,%xmm0,%xmm6
310[ ]*[a-f0-9]+: c4 e3 51 49 c7 31[ ]+vpermil2pd \$0x1,%xmm3,%xmm7,%xmm5,%xmm0
311[ ]*[a-f0-9]+: c4 e3 59 49 f1 22[ ]+vpermil2pd \$0x2,%xmm2,%xmm1,%xmm4,%xmm6
312[ ]*[a-f0-9]+: c4 e3 69 49 fb 03[ ]+vpermil2pd \$0x3,%xmm0,%xmm3,%xmm2,%xmm7
313[ ]*[a-f0-9]+: c4 e3 75 49 d7 63[ ]+vpermil2pd \$0x3,%ymm6,%ymm7,%ymm1,%ymm2
314[ ]*[a-f0-9]+: c4 e3 75 49 e7 61[ ]+vpermil2pd \$0x1,%ymm6,%ymm7,%ymm1,%ymm4
315[ ]*[a-f0-9]+: c4 e3 55 49 7c 87 05 02[ ]+vpermil2pd \$0x2,%ymm0,0x5\(%edi,%eax,4\),%ymm5,%ymm7
316[ ]*[a-f0-9]+: c4 e3 7d 49 d6 50[ ]+vpermil2pd \$0x0,%ymm5,%ymm6,%ymm0,%ymm2
317[ ]*[a-f0-9]+: c4 e3 65 49 c7 43[ ]+vpermil2pd \$0x3,%ymm4,%ymm7,%ymm3,%ymm0
318[ ]*[a-f0-9]+: c4 e3 6d 49 c6 70[ ]+vpermil2pd \$0x0,%ymm7,%ymm6,%ymm2,%ymm0
319[ ]*[a-f0-9]+: c4 e3 75 49 3e 42[ ]+vpermil2pd \$0x2,%ymm4,\(%esi\),%ymm1,%ymm7
320[ ]*[a-f0-9]+: c4 e3 75 49 f8 61[ ]+vpermil2pd \$0x1,%ymm6,%ymm0,%ymm1,%ymm7
321[ ]*[a-f0-9]+: c4 e3 c5 49 01 51[ ]+vpermil2pd \$0x1,\(%ecx\),%ymm5,%ymm7,%ymm0
322[ ]*[a-f0-9]+: c4 e3 c5 49 04 46 43[ ]+vpermil2pd \$0x3,\(%esi,%eax,2\),%ymm4,%ymm7,%ymm0
323[ ]*[a-f0-9]+: c4 e3 e5 49 39 00[ ]+vpermil2pd \$0x0,\(%ecx\),%ymm0,%ymm3,%ymm7
324[ ]*[a-f0-9]+: c4 e3 cd 49 3c 06 22[ ]+vpermil2pd \$0x2,\(%esi,%eax,1\),%ymm2,%ymm6,%ymm7
325[ ]*[a-f0-9]+: c4 e3 cd 49 09 00[ ]+vpermil2pd \$0x0,\(%ecx\),%ymm0,%ymm6,%ymm1
326[ ]*[a-f0-9]+: c4 e3 45 49 c3 22[ ]+vpermil2pd \$0x2,%ymm2,%ymm3,%ymm7,%ymm0
327[ ]*[a-f0-9]+: c4 e3 45 49 ca 03[ ]+vpermil2pd \$0x3,%ymm0,%ymm2,%ymm7,%ymm1
328[ ]*[a-f0-9]+: c4 e3 5d 49 f8 51[ ]+vpermil2pd \$0x1,%ymm5,%ymm0,%ymm4,%ymm7
329[ ]*[a-f0-9]+: c4 e3 79 48 fc 33[ ]+vpermil2ps \$0x3,%xmm3,%xmm4,%xmm0,%xmm7
330[ ]*[a-f0-9]+: c4 e3 59 48 38 01[ ]+vpermil2ps \$0x1,%xmm0,\(%eax\),%xmm4,%xmm7
331[ ]*[a-f0-9]+: c4 e3 41 48 38 32[ ]+vpermil2ps \$0x2,%xmm3,\(%eax\),%xmm7,%xmm7
332[ ]*[a-f0-9]+: c4 e3 41 48 14 c3 73[ ]+vpermil2ps \$0x3,%xmm7,\(%ebx,%eax,8\),%xmm7,%xmm2
333[ ]*[a-f0-9]+: c4 e3 41 48 f8 72[ ]+vpermil2ps \$0x2,%xmm7,%xmm0,%xmm7,%xmm7
334[ ]*[a-f0-9]+: c4 e3 79 48 3c 16 73[ ]+vpermil2ps \$0x3,%xmm7,\(%esi,%edx,1\),%xmm0,%xmm7
335[ ]*[a-f0-9]+: c4 e3 41 48 fc 71[ ]+vpermil2ps \$0x1,%xmm7,%xmm4,%xmm7,%xmm7
336[ ]*[a-f0-9]+: c4 e3 41 48 10 30[ ]+vpermil2ps \$0x0,%xmm3,\(%eax\),%xmm7,%xmm2
337[ ]*[a-f0-9]+: c4 e3 c1 48 33 72[ ]+vpermil2ps \$0x2,\(%ebx\),%xmm7,%xmm7,%xmm6
338[ ]*[a-f0-9]+: c4 e3 d1 48 04 1b 73[ ]+vpermil2ps \$0x3,\(%ebx,%ebx,1\),%xmm7,%xmm5,%xmm0
339[ ]*[a-f0-9]+: c4 e3 c1 48 34 1b 10[ ]+vpermil2ps \$0x0,\(%ebx,%ebx,1\),%xmm1,%xmm7,%xmm6
340[ ]*[a-f0-9]+: c4 e3 69 48 f9 02[ ]+vpermil2ps \$0x2,%xmm0,%xmm1,%xmm2,%xmm7
341[ ]*[a-f0-9]+: c4 e3 e9 48 34 1b 72[ ]+vpermil2ps \$0x2,\(%ebx,%ebx,1\),%xmm7,%xmm2,%xmm6
342[ ]*[a-f0-9]+: c4 e3 c1 48 34 1b 13[ ]+vpermil2ps \$0x3,\(%ebx,%ebx,1\),%xmm1,%xmm7,%xmm6
343[ ]*[a-f0-9]+: c4 e3 e9 48 3c 1b 70[ ]+vpermil2ps \$0x0,\(%ebx,%ebx,1\),%xmm7,%xmm2,%xmm7
344[ ]*[a-f0-9]+: c4 e3 41 48 f9 71[ ]+vpermil2ps \$0x1,%xmm7,%xmm1,%xmm7,%xmm7
345[ ]*[a-f0-9]+: c4 e3 75 48 d7 61[ ]+vpermil2ps \$0x1,%ymm6,%ymm7,%ymm1,%ymm2
346[ ]*[a-f0-9]+: c4 e3 45 48 c6 73[ ]+vpermil2ps \$0x3,%ymm7,%ymm6,%ymm7,%ymm0
347[ ]*[a-f0-9]+: c4 e3 45 48 d6 52[ ]+vpermil2ps \$0x2,%ymm5,%ymm6,%ymm7,%ymm2
348[ ]*[a-f0-9]+: c4 e3 45 48 f8 20[ ]+vpermil2ps \$0x0,%ymm2,%ymm0,%ymm7,%ymm7
349[ ]*[a-f0-9]+: c4 e3 45 48 04 cf 63[ ]+vpermil2ps \$0x3,%ymm6,\(%edi,%ecx,8\),%ymm7,%ymm0
350[ ]*[a-f0-9]+: c4 e3 45 48 c7 62[ ]+vpermil2ps \$0x2,%ymm6,%ymm7,%ymm7,%ymm0
351[ ]*[a-f0-9]+: c4 e3 75 48 d6 70[ ]+vpermil2ps \$0x0,%ymm7,%ymm6,%ymm1,%ymm2
352[ ]*[a-f0-9]+: c4 e3 75 48 06 61[ ]+vpermil2ps \$0x1,%ymm6,\(%esi\),%ymm1,%ymm0
353[ ]*[a-f0-9]+: c4 e3 fd 48 7c 43 0c 42[ ]+vpermil2ps \$0x2,0xc\(%ebx,%eax,2\),%ymm4,%ymm0,%ymm7
354[ ]*[a-f0-9]+: c4 e3 6d 48 c6 51[ ]+vpermil2ps \$0x1,%ymm5,%ymm6,%ymm2,%ymm0
355[ ]*[a-f0-9]+: c4 e3 cd 48 3c 06 43[ ]+vpermil2ps \$0x3,\(%esi,%eax,1\),%ymm4,%ymm6,%ymm7
356[ ]*[a-f0-9]+: c4 e3 cd 48 04 de 31[ ]+vpermil2ps \$0x1,\(%esi,%ebx,8\),%ymm3,%ymm6,%ymm0
357[ ]*[a-f0-9]+: c4 e3 fd 48 0c 48 70[ ]+vpermil2ps \$0x0,\(%eax,%ecx,2\),%ymm7,%ymm0,%ymm1
358[ ]*[a-f0-9]+: c4 e3 45 48 ff 62[ ]+vpermil2ps \$0x2,%ymm6,%ymm7,%ymm7,%ymm7
359[ ]*[a-f0-9]+: c4 e3 6d 48 c3 43[ ]+vpermil2ps \$0x3,%ymm4,%ymm3,%ymm2,%ymm0
360[ ]*[a-f0-9]+: c4 e3 45 48 fe 00[ ]+vpermil2ps \$0x0,%ymm0,%ymm6,%ymm7,%ymm7
5dd85c99
SP
361[ ]*[a-f0-9]+: 8f e9 78 c2 ff[ ]+vphaddbd %xmm7,%xmm7
362[ ]*[a-f0-9]+: 8f e9 78 c2 f0[ ]+vphaddbd %xmm0,%xmm6
363[ ]*[a-f0-9]+: 8f e9 78 c2 03[ ]+vphaddbd \(%ebx\),%xmm0
364[ ]*[a-f0-9]+: 8f e9 78 c2 3e[ ]+vphaddbd \(%esi\),%xmm7
365[ ]*[a-f0-9]+: 8f e9 78 c2 c0[ ]+vphaddbd %xmm0,%xmm0
366[ ]*[a-f0-9]+: 8f e9 78 c2 38[ ]+vphaddbd \(%eax\),%xmm7
367[ ]*[a-f0-9]+: 8f e9 78 c2 c7[ ]+vphaddbd %xmm7,%xmm0
368[ ]*[a-f0-9]+: 8f e9 78 c2 f1[ ]+vphaddbd %xmm1,%xmm6
369[ ]*[a-f0-9]+: 8f e9 78 c2 c1[ ]+vphaddbd %xmm1,%xmm0
370[ ]*[a-f0-9]+: 8f e9 78 c2 f8[ ]+vphaddbd %xmm0,%xmm7
371[ ]*[a-f0-9]+: 8f e9 78 c2 30[ ]+vphaddbd \(%eax\),%xmm6
372[ ]*[a-f0-9]+: 8f e9 78 c2 f9[ ]+vphaddbd %xmm1,%xmm7
373[ ]*[a-f0-9]+: 8f e9 78 c2 06[ ]+vphaddbd \(%esi\),%xmm0
374[ ]*[a-f0-9]+: 8f e9 78 c2 3b[ ]+vphaddbd \(%ebx\),%xmm7
375[ ]*[a-f0-9]+: 8f e9 78 c2 36[ ]+vphaddbd \(%esi\),%xmm6
376[ ]*[a-f0-9]+: 8f e9 78 c2 00[ ]+vphaddbd \(%eax\),%xmm0
377[ ]*[a-f0-9]+: 8f e9 78 c3 ff[ ]+vphaddbq %xmm7,%xmm7
378[ ]*[a-f0-9]+: 8f e9 78 c3 f0[ ]+vphaddbq %xmm0,%xmm6
379[ ]*[a-f0-9]+: 8f e9 78 c3 03[ ]+vphaddbq \(%ebx\),%xmm0
380[ ]*[a-f0-9]+: 8f e9 78 c3 3e[ ]+vphaddbq \(%esi\),%xmm7
381[ ]*[a-f0-9]+: 8f e9 78 c3 c0[ ]+vphaddbq %xmm0,%xmm0
382[ ]*[a-f0-9]+: 8f e9 78 c3 38[ ]+vphaddbq \(%eax\),%xmm7
383[ ]*[a-f0-9]+: 8f e9 78 c3 c7[ ]+vphaddbq %xmm7,%xmm0
384[ ]*[a-f0-9]+: 8f e9 78 c3 f1[ ]+vphaddbq %xmm1,%xmm6
385[ ]*[a-f0-9]+: 8f e9 78 c3 c1[ ]+vphaddbq %xmm1,%xmm0
386[ ]*[a-f0-9]+: 8f e9 78 c3 f8[ ]+vphaddbq %xmm0,%xmm7
387[ ]*[a-f0-9]+: 8f e9 78 c3 30[ ]+vphaddbq \(%eax\),%xmm6
388[ ]*[a-f0-9]+: 8f e9 78 c3 f9[ ]+vphaddbq %xmm1,%xmm7
389[ ]*[a-f0-9]+: 8f e9 78 c3 06[ ]+vphaddbq \(%esi\),%xmm0
390[ ]*[a-f0-9]+: 8f e9 78 c3 3b[ ]+vphaddbq \(%ebx\),%xmm7
391[ ]*[a-f0-9]+: 8f e9 78 c3 36[ ]+vphaddbq \(%esi\),%xmm6
392[ ]*[a-f0-9]+: 8f e9 78 c3 00[ ]+vphaddbq \(%eax\),%xmm0
393[ ]*[a-f0-9]+: 8f e9 78 c1 ff[ ]+vphaddbw %xmm7,%xmm7
394[ ]*[a-f0-9]+: 8f e9 78 c1 f0[ ]+vphaddbw %xmm0,%xmm6
395[ ]*[a-f0-9]+: 8f e9 78 c1 03[ ]+vphaddbw \(%ebx\),%xmm0
396[ ]*[a-f0-9]+: 8f e9 78 c1 3e[ ]+vphaddbw \(%esi\),%xmm7
397[ ]*[a-f0-9]+: 8f e9 78 c1 c0[ ]+vphaddbw %xmm0,%xmm0
398[ ]*[a-f0-9]+: 8f e9 78 c1 38[ ]+vphaddbw \(%eax\),%xmm7
399[ ]*[a-f0-9]+: 8f e9 78 c1 c7[ ]+vphaddbw %xmm7,%xmm0
400[ ]*[a-f0-9]+: 8f e9 78 c1 f1[ ]+vphaddbw %xmm1,%xmm6
401[ ]*[a-f0-9]+: 8f e9 78 c1 c1[ ]+vphaddbw %xmm1,%xmm0
402[ ]*[a-f0-9]+: 8f e9 78 c1 f8[ ]+vphaddbw %xmm0,%xmm7
403[ ]*[a-f0-9]+: 8f e9 78 c1 30[ ]+vphaddbw \(%eax\),%xmm6
404[ ]*[a-f0-9]+: 8f e9 78 c1 f9[ ]+vphaddbw %xmm1,%xmm7
405[ ]*[a-f0-9]+: 8f e9 78 c1 06[ ]+vphaddbw \(%esi\),%xmm0
406[ ]*[a-f0-9]+: 8f e9 78 c1 3b[ ]+vphaddbw \(%ebx\),%xmm7
407[ ]*[a-f0-9]+: 8f e9 78 c1 36[ ]+vphaddbw \(%esi\),%xmm6
408[ ]*[a-f0-9]+: 8f e9 78 c1 00[ ]+vphaddbw \(%eax\),%xmm0
409[ ]*[a-f0-9]+: 8f e9 78 cb ff[ ]+vphadddq %xmm7,%xmm7
410[ ]*[a-f0-9]+: 8f e9 78 cb f0[ ]+vphadddq %xmm0,%xmm6
411[ ]*[a-f0-9]+: 8f e9 78 cb 03[ ]+vphadddq \(%ebx\),%xmm0
412[ ]*[a-f0-9]+: 8f e9 78 cb 3e[ ]+vphadddq \(%esi\),%xmm7
413[ ]*[a-f0-9]+: 8f e9 78 cb c0[ ]+vphadddq %xmm0,%xmm0
414[ ]*[a-f0-9]+: 8f e9 78 cb 38[ ]+vphadddq \(%eax\),%xmm7
415[ ]*[a-f0-9]+: 8f e9 78 cb c7[ ]+vphadddq %xmm7,%xmm0
416[ ]*[a-f0-9]+: 8f e9 78 cb f1[ ]+vphadddq %xmm1,%xmm6
417[ ]*[a-f0-9]+: 8f e9 78 cb c1[ ]+vphadddq %xmm1,%xmm0
418[ ]*[a-f0-9]+: 8f e9 78 cb f8[ ]+vphadddq %xmm0,%xmm7
419[ ]*[a-f0-9]+: 8f e9 78 cb 30[ ]+vphadddq \(%eax\),%xmm6
420[ ]*[a-f0-9]+: 8f e9 78 cb f9[ ]+vphadddq %xmm1,%xmm7
421[ ]*[a-f0-9]+: 8f e9 78 cb 06[ ]+vphadddq \(%esi\),%xmm0
422[ ]*[a-f0-9]+: 8f e9 78 cb 3b[ ]+vphadddq \(%ebx\),%xmm7
423[ ]*[a-f0-9]+: 8f e9 78 cb 36[ ]+vphadddq \(%esi\),%xmm6
424[ ]*[a-f0-9]+: 8f e9 78 cb 00[ ]+vphadddq \(%eax\),%xmm0
425[ ]*[a-f0-9]+: 8f e9 78 d2 ff[ ]+vphaddubd %xmm7,%xmm7
426[ ]*[a-f0-9]+: 8f e9 78 d2 f0[ ]+vphaddubd %xmm0,%xmm6
427[ ]*[a-f0-9]+: 8f e9 78 d2 03[ ]+vphaddubd \(%ebx\),%xmm0
428[ ]*[a-f0-9]+: 8f e9 78 d2 3e[ ]+vphaddubd \(%esi\),%xmm7
429[ ]*[a-f0-9]+: 8f e9 78 d2 c0[ ]+vphaddubd %xmm0,%xmm0
430[ ]*[a-f0-9]+: 8f e9 78 d2 38[ ]+vphaddubd \(%eax\),%xmm7
431[ ]*[a-f0-9]+: 8f e9 78 d2 c7[ ]+vphaddubd %xmm7,%xmm0
432[ ]*[a-f0-9]+: 8f e9 78 d2 f1[ ]+vphaddubd %xmm1,%xmm6
433[ ]*[a-f0-9]+: 8f e9 78 d2 c1[ ]+vphaddubd %xmm1,%xmm0
434[ ]*[a-f0-9]+: 8f e9 78 d2 f8[ ]+vphaddubd %xmm0,%xmm7
435[ ]*[a-f0-9]+: 8f e9 78 d2 30[ ]+vphaddubd \(%eax\),%xmm6
436[ ]*[a-f0-9]+: 8f e9 78 d2 f9[ ]+vphaddubd %xmm1,%xmm7
437[ ]*[a-f0-9]+: 8f e9 78 d2 06[ ]+vphaddubd \(%esi\),%xmm0
438[ ]*[a-f0-9]+: 8f e9 78 d2 3b[ ]+vphaddubd \(%ebx\),%xmm7
439[ ]*[a-f0-9]+: 8f e9 78 d2 36[ ]+vphaddubd \(%esi\),%xmm6
440[ ]*[a-f0-9]+: 8f e9 78 d2 00[ ]+vphaddubd \(%eax\),%xmm0
441[ ]*[a-f0-9]+: 8f e9 78 d3 ff[ ]+vphaddubq %xmm7,%xmm7
442[ ]*[a-f0-9]+: 8f e9 78 d3 f0[ ]+vphaddubq %xmm0,%xmm6
443[ ]*[a-f0-9]+: 8f e9 78 d3 03[ ]+vphaddubq \(%ebx\),%xmm0
444[ ]*[a-f0-9]+: 8f e9 78 d3 3e[ ]+vphaddubq \(%esi\),%xmm7
445[ ]*[a-f0-9]+: 8f e9 78 d3 c0[ ]+vphaddubq %xmm0,%xmm0
446[ ]*[a-f0-9]+: 8f e9 78 d3 38[ ]+vphaddubq \(%eax\),%xmm7
447[ ]*[a-f0-9]+: 8f e9 78 d3 c7[ ]+vphaddubq %xmm7,%xmm0
448[ ]*[a-f0-9]+: 8f e9 78 d3 f1[ ]+vphaddubq %xmm1,%xmm6
449[ ]*[a-f0-9]+: 8f e9 78 d3 c1[ ]+vphaddubq %xmm1,%xmm0
450[ ]*[a-f0-9]+: 8f e9 78 d3 f8[ ]+vphaddubq %xmm0,%xmm7
451[ ]*[a-f0-9]+: 8f e9 78 d3 30[ ]+vphaddubq \(%eax\),%xmm6
452[ ]*[a-f0-9]+: 8f e9 78 d3 f9[ ]+vphaddubq %xmm1,%xmm7
453[ ]*[a-f0-9]+: 8f e9 78 d3 06[ ]+vphaddubq \(%esi\),%xmm0
454[ ]*[a-f0-9]+: 8f e9 78 d3 3b[ ]+vphaddubq \(%ebx\),%xmm7
455[ ]*[a-f0-9]+: 8f e9 78 d3 36[ ]+vphaddubq \(%esi\),%xmm6
456[ ]*[a-f0-9]+: 8f e9 78 d3 00[ ]+vphaddubq \(%eax\),%xmm0
457[ ]*[a-f0-9]+: 8f e9 78 d1 ff[ ]+vphaddubw %xmm7,%xmm7
458[ ]*[a-f0-9]+: 8f e9 78 d1 f0[ ]+vphaddubw %xmm0,%xmm6
459[ ]*[a-f0-9]+: 8f e9 78 d1 03[ ]+vphaddubw \(%ebx\),%xmm0
460[ ]*[a-f0-9]+: 8f e9 78 d1 3e[ ]+vphaddubw \(%esi\),%xmm7
461[ ]*[a-f0-9]+: 8f e9 78 d1 c0[ ]+vphaddubw %xmm0,%xmm0
462[ ]*[a-f0-9]+: 8f e9 78 d1 38[ ]+vphaddubw \(%eax\),%xmm7
463[ ]*[a-f0-9]+: 8f e9 78 d1 c7[ ]+vphaddubw %xmm7,%xmm0
464[ ]*[a-f0-9]+: 8f e9 78 d1 f1[ ]+vphaddubw %xmm1,%xmm6
465[ ]*[a-f0-9]+: 8f e9 78 d1 c1[ ]+vphaddubw %xmm1,%xmm0
466[ ]*[a-f0-9]+: 8f e9 78 d1 f8[ ]+vphaddubw %xmm0,%xmm7
467[ ]*[a-f0-9]+: 8f e9 78 d1 30[ ]+vphaddubw \(%eax\),%xmm6
468[ ]*[a-f0-9]+: 8f e9 78 d1 f9[ ]+vphaddubw %xmm1,%xmm7
469[ ]*[a-f0-9]+: 8f e9 78 d1 06[ ]+vphaddubw \(%esi\),%xmm0
470[ ]*[a-f0-9]+: 8f e9 78 d1 3b[ ]+vphaddubw \(%ebx\),%xmm7
471[ ]*[a-f0-9]+: 8f e9 78 d1 36[ ]+vphaddubw \(%esi\),%xmm6
472[ ]*[a-f0-9]+: 8f e9 78 d1 00[ ]+vphaddubw \(%eax\),%xmm0
473[ ]*[a-f0-9]+: 8f e9 78 db ff[ ]+vphaddudq %xmm7,%xmm7
474[ ]*[a-f0-9]+: 8f e9 78 db f0[ ]+vphaddudq %xmm0,%xmm6
475[ ]*[a-f0-9]+: 8f e9 78 db 03[ ]+vphaddudq \(%ebx\),%xmm0
476[ ]*[a-f0-9]+: 8f e9 78 db 3e[ ]+vphaddudq \(%esi\),%xmm7
477[ ]*[a-f0-9]+: 8f e9 78 db c0[ ]+vphaddudq %xmm0,%xmm0
478[ ]*[a-f0-9]+: 8f e9 78 db 38[ ]+vphaddudq \(%eax\),%xmm7
479[ ]*[a-f0-9]+: 8f e9 78 db c7[ ]+vphaddudq %xmm7,%xmm0
480[ ]*[a-f0-9]+: 8f e9 78 db f1[ ]+vphaddudq %xmm1,%xmm6
481[ ]*[a-f0-9]+: 8f e9 78 db c1[ ]+vphaddudq %xmm1,%xmm0
482[ ]*[a-f0-9]+: 8f e9 78 db f8[ ]+vphaddudq %xmm0,%xmm7
483[ ]*[a-f0-9]+: 8f e9 78 db 30[ ]+vphaddudq \(%eax\),%xmm6
484[ ]*[a-f0-9]+: 8f e9 78 db f9[ ]+vphaddudq %xmm1,%xmm7
485[ ]*[a-f0-9]+: 8f e9 78 db 06[ ]+vphaddudq \(%esi\),%xmm0
486[ ]*[a-f0-9]+: 8f e9 78 db 3b[ ]+vphaddudq \(%ebx\),%xmm7
487[ ]*[a-f0-9]+: 8f e9 78 db 36[ ]+vphaddudq \(%esi\),%xmm6
488[ ]*[a-f0-9]+: 8f e9 78 db 00[ ]+vphaddudq \(%eax\),%xmm0
489[ ]*[a-f0-9]+: 8f e9 78 d6 ff[ ]+vphadduwd %xmm7,%xmm7
490[ ]*[a-f0-9]+: 8f e9 78 d6 f0[ ]+vphadduwd %xmm0,%xmm6
491[ ]*[a-f0-9]+: 8f e9 78 d6 03[ ]+vphadduwd \(%ebx\),%xmm0
492[ ]*[a-f0-9]+: 8f e9 78 d6 3e[ ]+vphadduwd \(%esi\),%xmm7
493[ ]*[a-f0-9]+: 8f e9 78 d6 c0[ ]+vphadduwd %xmm0,%xmm0
494[ ]*[a-f0-9]+: 8f e9 78 d6 38[ ]+vphadduwd \(%eax\),%xmm7
495[ ]*[a-f0-9]+: 8f e9 78 d6 c7[ ]+vphadduwd %xmm7,%xmm0
496[ ]*[a-f0-9]+: 8f e9 78 d6 f1[ ]+vphadduwd %xmm1,%xmm6
497[ ]*[a-f0-9]+: 8f e9 78 d6 c1[ ]+vphadduwd %xmm1,%xmm0
498[ ]*[a-f0-9]+: 8f e9 78 d6 f8[ ]+vphadduwd %xmm0,%xmm7
499[ ]*[a-f0-9]+: 8f e9 78 d6 30[ ]+vphadduwd \(%eax\),%xmm6
500[ ]*[a-f0-9]+: 8f e9 78 d6 f9[ ]+vphadduwd %xmm1,%xmm7
501[ ]*[a-f0-9]+: 8f e9 78 d6 06[ ]+vphadduwd \(%esi\),%xmm0
502[ ]*[a-f0-9]+: 8f e9 78 d6 3b[ ]+vphadduwd \(%ebx\),%xmm7
503[ ]*[a-f0-9]+: 8f e9 78 d6 36[ ]+vphadduwd \(%esi\),%xmm6
504[ ]*[a-f0-9]+: 8f e9 78 d6 00[ ]+vphadduwd \(%eax\),%xmm0
505[ ]*[a-f0-9]+: 8f e9 78 d7 ff[ ]+vphadduwq %xmm7,%xmm7
506[ ]*[a-f0-9]+: 8f e9 78 d7 f0[ ]+vphadduwq %xmm0,%xmm6
507[ ]*[a-f0-9]+: 8f e9 78 d7 03[ ]+vphadduwq \(%ebx\),%xmm0
508[ ]*[a-f0-9]+: 8f e9 78 d7 3e[ ]+vphadduwq \(%esi\),%xmm7
509[ ]*[a-f0-9]+: 8f e9 78 d7 c0[ ]+vphadduwq %xmm0,%xmm0
510[ ]*[a-f0-9]+: 8f e9 78 d7 38[ ]+vphadduwq \(%eax\),%xmm7
511[ ]*[a-f0-9]+: 8f e9 78 d7 c7[ ]+vphadduwq %xmm7,%xmm0
512[ ]*[a-f0-9]+: 8f e9 78 d7 f1[ ]+vphadduwq %xmm1,%xmm6
513[ ]*[a-f0-9]+: 8f e9 78 d7 c1[ ]+vphadduwq %xmm1,%xmm0
514[ ]*[a-f0-9]+: 8f e9 78 d7 f8[ ]+vphadduwq %xmm0,%xmm7
515[ ]*[a-f0-9]+: 8f e9 78 d7 30[ ]+vphadduwq \(%eax\),%xmm6
516[ ]*[a-f0-9]+: 8f e9 78 d7 f9[ ]+vphadduwq %xmm1,%xmm7
517[ ]*[a-f0-9]+: 8f e9 78 d7 06[ ]+vphadduwq \(%esi\),%xmm0
518[ ]*[a-f0-9]+: 8f e9 78 d7 3b[ ]+vphadduwq \(%ebx\),%xmm7
519[ ]*[a-f0-9]+: 8f e9 78 d7 36[ ]+vphadduwq \(%esi\),%xmm6
520[ ]*[a-f0-9]+: 8f e9 78 d7 00[ ]+vphadduwq \(%eax\),%xmm0
521[ ]*[a-f0-9]+: 8f e9 78 c6 ff[ ]+vphaddwd %xmm7,%xmm7
522[ ]*[a-f0-9]+: 8f e9 78 c6 f0[ ]+vphaddwd %xmm0,%xmm6
523[ ]*[a-f0-9]+: 8f e9 78 c6 03[ ]+vphaddwd \(%ebx\),%xmm0
524[ ]*[a-f0-9]+: 8f e9 78 c6 3e[ ]+vphaddwd \(%esi\),%xmm7
525[ ]*[a-f0-9]+: 8f e9 78 c6 c0[ ]+vphaddwd %xmm0,%xmm0
526[ ]*[a-f0-9]+: 8f e9 78 c6 38[ ]+vphaddwd \(%eax\),%xmm7
527[ ]*[a-f0-9]+: 8f e9 78 c6 c7[ ]+vphaddwd %xmm7,%xmm0
528[ ]*[a-f0-9]+: 8f e9 78 c6 f1[ ]+vphaddwd %xmm1,%xmm6
529[ ]*[a-f0-9]+: 8f e9 78 c6 c1[ ]+vphaddwd %xmm1,%xmm0
530[ ]*[a-f0-9]+: 8f e9 78 c6 f8[ ]+vphaddwd %xmm0,%xmm7
531[ ]*[a-f0-9]+: 8f e9 78 c6 30[ ]+vphaddwd \(%eax\),%xmm6
532[ ]*[a-f0-9]+: 8f e9 78 c6 f9[ ]+vphaddwd %xmm1,%xmm7
533[ ]*[a-f0-9]+: 8f e9 78 c6 06[ ]+vphaddwd \(%esi\),%xmm0
534[ ]*[a-f0-9]+: 8f e9 78 c6 3b[ ]+vphaddwd \(%ebx\),%xmm7
535[ ]*[a-f0-9]+: 8f e9 78 c6 36[ ]+vphaddwd \(%esi\),%xmm6
536[ ]*[a-f0-9]+: 8f e9 78 c6 00[ ]+vphaddwd \(%eax\),%xmm0
537[ ]*[a-f0-9]+: 8f e9 78 c7 ff[ ]+vphaddwq %xmm7,%xmm7
538[ ]*[a-f0-9]+: 8f e9 78 c7 f0[ ]+vphaddwq %xmm0,%xmm6
539[ ]*[a-f0-9]+: 8f e9 78 c7 03[ ]+vphaddwq \(%ebx\),%xmm0
540[ ]*[a-f0-9]+: 8f e9 78 c7 3e[ ]+vphaddwq \(%esi\),%xmm7
541[ ]*[a-f0-9]+: 8f e9 78 c7 c0[ ]+vphaddwq %xmm0,%xmm0
542[ ]*[a-f0-9]+: 8f e9 78 c7 38[ ]+vphaddwq \(%eax\),%xmm7
543[ ]*[a-f0-9]+: 8f e9 78 c7 c7[ ]+vphaddwq %xmm7,%xmm0
544[ ]*[a-f0-9]+: 8f e9 78 c7 f1[ ]+vphaddwq %xmm1,%xmm6
545[ ]*[a-f0-9]+: 8f e9 78 c7 c1[ ]+vphaddwq %xmm1,%xmm0
546[ ]*[a-f0-9]+: 8f e9 78 c7 f8[ ]+vphaddwq %xmm0,%xmm7
547[ ]*[a-f0-9]+: 8f e9 78 c7 30[ ]+vphaddwq \(%eax\),%xmm6
548[ ]*[a-f0-9]+: 8f e9 78 c7 f9[ ]+vphaddwq %xmm1,%xmm7
549[ ]*[a-f0-9]+: 8f e9 78 c7 06[ ]+vphaddwq \(%esi\),%xmm0
550[ ]*[a-f0-9]+: 8f e9 78 c7 3b[ ]+vphaddwq \(%ebx\),%xmm7
551[ ]*[a-f0-9]+: 8f e9 78 c7 36[ ]+vphaddwq \(%esi\),%xmm6
552[ ]*[a-f0-9]+: 8f e9 78 c7 00[ ]+vphaddwq \(%eax\),%xmm0
553[ ]*[a-f0-9]+: 8f e9 78 e1 ff[ ]+vphsubbw %xmm7,%xmm7
554[ ]*[a-f0-9]+: 8f e9 78 e1 f0[ ]+vphsubbw %xmm0,%xmm6
555[ ]*[a-f0-9]+: 8f e9 78 e1 03[ ]+vphsubbw \(%ebx\),%xmm0
556[ ]*[a-f0-9]+: 8f e9 78 e1 3e[ ]+vphsubbw \(%esi\),%xmm7
557[ ]*[a-f0-9]+: 8f e9 78 e1 c0[ ]+vphsubbw %xmm0,%xmm0
558[ ]*[a-f0-9]+: 8f e9 78 e1 38[ ]+vphsubbw \(%eax\),%xmm7
559[ ]*[a-f0-9]+: 8f e9 78 e1 c7[ ]+vphsubbw %xmm7,%xmm0
560[ ]*[a-f0-9]+: 8f e9 78 e1 f1[ ]+vphsubbw %xmm1,%xmm6
561[ ]*[a-f0-9]+: 8f e9 78 e1 c1[ ]+vphsubbw %xmm1,%xmm0
562[ ]*[a-f0-9]+: 8f e9 78 e1 f8[ ]+vphsubbw %xmm0,%xmm7
563[ ]*[a-f0-9]+: 8f e9 78 e1 30[ ]+vphsubbw \(%eax\),%xmm6
564[ ]*[a-f0-9]+: 8f e9 78 e1 f9[ ]+vphsubbw %xmm1,%xmm7
565[ ]*[a-f0-9]+: 8f e9 78 e1 06[ ]+vphsubbw \(%esi\),%xmm0
566[ ]*[a-f0-9]+: 8f e9 78 e1 3b[ ]+vphsubbw \(%ebx\),%xmm7
567[ ]*[a-f0-9]+: 8f e9 78 e1 36[ ]+vphsubbw \(%esi\),%xmm6
568[ ]*[a-f0-9]+: 8f e9 78 e1 00[ ]+vphsubbw \(%eax\),%xmm0
569[ ]*[a-f0-9]+: 8f e9 78 e3 ff[ ]+vphsubdq %xmm7,%xmm7
570[ ]*[a-f0-9]+: 8f e9 78 e3 f0[ ]+vphsubdq %xmm0,%xmm6
571[ ]*[a-f0-9]+: 8f e9 78 e3 03[ ]+vphsubdq \(%ebx\),%xmm0
572[ ]*[a-f0-9]+: 8f e9 78 e3 3e[ ]+vphsubdq \(%esi\),%xmm7
573[ ]*[a-f0-9]+: 8f e9 78 e3 c0[ ]+vphsubdq %xmm0,%xmm0
574[ ]*[a-f0-9]+: 8f e9 78 e3 38[ ]+vphsubdq \(%eax\),%xmm7
575[ ]*[a-f0-9]+: 8f e9 78 e3 c7[ ]+vphsubdq %xmm7,%xmm0
576[ ]*[a-f0-9]+: 8f e9 78 e3 f1[ ]+vphsubdq %xmm1,%xmm6
577[ ]*[a-f0-9]+: 8f e9 78 e3 c1[ ]+vphsubdq %xmm1,%xmm0
578[ ]*[a-f0-9]+: 8f e9 78 e3 f8[ ]+vphsubdq %xmm0,%xmm7
579[ ]*[a-f0-9]+: 8f e9 78 e3 30[ ]+vphsubdq \(%eax\),%xmm6
580[ ]*[a-f0-9]+: 8f e9 78 e3 f9[ ]+vphsubdq %xmm1,%xmm7
581[ ]*[a-f0-9]+: 8f e9 78 e3 06[ ]+vphsubdq \(%esi\),%xmm0
582[ ]*[a-f0-9]+: 8f e9 78 e3 3b[ ]+vphsubdq \(%ebx\),%xmm7
583[ ]*[a-f0-9]+: 8f e9 78 e3 36[ ]+vphsubdq \(%esi\),%xmm6
584[ ]*[a-f0-9]+: 8f e9 78 e3 00[ ]+vphsubdq \(%eax\),%xmm0
585[ ]*[a-f0-9]+: 8f e9 78 e2 ff[ ]+vphsubwd %xmm7,%xmm7
586[ ]*[a-f0-9]+: 8f e9 78 e2 f0[ ]+vphsubwd %xmm0,%xmm6
587[ ]*[a-f0-9]+: 8f e9 78 e2 03[ ]+vphsubwd \(%ebx\),%xmm0
588[ ]*[a-f0-9]+: 8f e9 78 e2 3e[ ]+vphsubwd \(%esi\),%xmm7
589[ ]*[a-f0-9]+: 8f e9 78 e2 c0[ ]+vphsubwd %xmm0,%xmm0
590[ ]*[a-f0-9]+: 8f e9 78 e2 38[ ]+vphsubwd \(%eax\),%xmm7
591[ ]*[a-f0-9]+: 8f e9 78 e2 c7[ ]+vphsubwd %xmm7,%xmm0
592[ ]*[a-f0-9]+: 8f e9 78 e2 f1[ ]+vphsubwd %xmm1,%xmm6
593[ ]*[a-f0-9]+: 8f e9 78 e2 c1[ ]+vphsubwd %xmm1,%xmm0
594[ ]*[a-f0-9]+: 8f e9 78 e2 f8[ ]+vphsubwd %xmm0,%xmm7
595[ ]*[a-f0-9]+: 8f e9 78 e2 30[ ]+vphsubwd \(%eax\),%xmm6
596[ ]*[a-f0-9]+: 8f e9 78 e2 f9[ ]+vphsubwd %xmm1,%xmm7
597[ ]*[a-f0-9]+: 8f e9 78 e2 06[ ]+vphsubwd \(%esi\),%xmm0
598[ ]*[a-f0-9]+: 8f e9 78 e2 3b[ ]+vphsubwd \(%ebx\),%xmm7
599[ ]*[a-f0-9]+: 8f e9 78 e2 36[ ]+vphsubwd \(%esi\),%xmm6
600[ ]*[a-f0-9]+: 8f e9 78 e2 00[ ]+vphsubwd \(%eax\),%xmm0
601[ ]*[a-f0-9]+: 8f e8 40 9e c7 00[ ]+vpmacsdd %xmm0,%xmm7,%xmm7,%xmm0
602[ ]*[a-f0-9]+: 8f e8 78 9e 06 70[ ]+vpmacsdd %xmm7,\(%esi\),%xmm0,%xmm0
603[ ]*[a-f0-9]+: 8f e8 60 9e 06 10[ ]+vpmacsdd %xmm1,\(%esi\),%xmm3,%xmm0
604[ ]*[a-f0-9]+: 8f e8 78 9e e8 10[ ]+vpmacsdd %xmm1,%xmm0,%xmm0,%xmm5
605[ ]*[a-f0-9]+: 8f e8 78 9e c6 10[ ]+vpmacsdd %xmm1,%xmm6,%xmm0,%xmm0
606[ ]*[a-f0-9]+: 8f e8 78 9e fe 10[ ]+vpmacsdd %xmm1,%xmm6,%xmm0,%xmm7
607[ ]*[a-f0-9]+: 8f e8 78 9e 3a 10[ ]+vpmacsdd %xmm1,\(%edx\),%xmm0,%xmm7
608[ ]*[a-f0-9]+: 8f e8 78 9e f8 70[ ]+vpmacsdd %xmm7,%xmm0,%xmm0,%xmm7
609[ ]*[a-f0-9]+: 8f e8 60 9e 3e 70[ ]+vpmacsdd %xmm7,\(%esi\),%xmm3,%xmm7
610[ ]*[a-f0-9]+: 8f e8 60 9e fe 70[ ]+vpmacsdd %xmm7,%xmm6,%xmm3,%xmm7
611[ ]*[a-f0-9]+: 8f e8 60 9e c7 70[ ]+vpmacsdd %xmm7,%xmm7,%xmm3,%xmm0
612[ ]*[a-f0-9]+: 8f e8 60 9e 02 00[ ]+vpmacsdd %xmm0,\(%edx\),%xmm3,%xmm0
613[ ]*[a-f0-9]+: 8f e8 40 9e 2a 10[ ]+vpmacsdd %xmm1,\(%edx\),%xmm7,%xmm5
614[ ]*[a-f0-9]+: 8f e8 40 9e ef 10[ ]+vpmacsdd %xmm1,%xmm7,%xmm7,%xmm5
615[ ]*[a-f0-9]+: 8f e8 78 9e c7 10[ ]+vpmacsdd %xmm1,%xmm7,%xmm0,%xmm0
616[ ]*[a-f0-9]+: 8f e8 60 9e 2e 70[ ]+vpmacsdd %xmm7,\(%esi\),%xmm3,%xmm5
617[ ]*[a-f0-9]+: 8f e8 40 9f c7 00[ ]+vpmacsdqh %xmm0,%xmm7,%xmm7,%xmm0
618[ ]*[a-f0-9]+: 8f e8 78 9f 06 70[ ]+vpmacsdqh %xmm7,\(%esi\),%xmm0,%xmm0
619[ ]*[a-f0-9]+: 8f e8 60 9f 06 10[ ]+vpmacsdqh %xmm1,\(%esi\),%xmm3,%xmm0
620[ ]*[a-f0-9]+: 8f e8 78 9f e8 10[ ]+vpmacsdqh %xmm1,%xmm0,%xmm0,%xmm5
621[ ]*[a-f0-9]+: 8f e8 78 9f c6 10[ ]+vpmacsdqh %xmm1,%xmm6,%xmm0,%xmm0
622[ ]*[a-f0-9]+: 8f e8 78 9f fe 10[ ]+vpmacsdqh %xmm1,%xmm6,%xmm0,%xmm7
623[ ]*[a-f0-9]+: 8f e8 78 9f 3a 10[ ]+vpmacsdqh %xmm1,\(%edx\),%xmm0,%xmm7
624[ ]*[a-f0-9]+: 8f e8 78 9f f8 70[ ]+vpmacsdqh %xmm7,%xmm0,%xmm0,%xmm7
625[ ]*[a-f0-9]+: 8f e8 60 9f 3e 70[ ]+vpmacsdqh %xmm7,\(%esi\),%xmm3,%xmm7
626[ ]*[a-f0-9]+: 8f e8 60 9f fe 70[ ]+vpmacsdqh %xmm7,%xmm6,%xmm3,%xmm7
627[ ]*[a-f0-9]+: 8f e8 60 9f c7 70[ ]+vpmacsdqh %xmm7,%xmm7,%xmm3,%xmm0
628[ ]*[a-f0-9]+: 8f e8 60 9f 02 00[ ]+vpmacsdqh %xmm0,\(%edx\),%xmm3,%xmm0
629[ ]*[a-f0-9]+: 8f e8 40 9f 2a 10[ ]+vpmacsdqh %xmm1,\(%edx\),%xmm7,%xmm5
630[ ]*[a-f0-9]+: 8f e8 40 9f ef 10[ ]+vpmacsdqh %xmm1,%xmm7,%xmm7,%xmm5
631[ ]*[a-f0-9]+: 8f e8 78 9f c7 10[ ]+vpmacsdqh %xmm1,%xmm7,%xmm0,%xmm0
632[ ]*[a-f0-9]+: 8f e8 60 9f 2e 70[ ]+vpmacsdqh %xmm7,\(%esi\),%xmm3,%xmm5
633[ ]*[a-f0-9]+: 8f e8 40 97 c7 00[ ]+vpmacsdql %xmm0,%xmm7,%xmm7,%xmm0
634[ ]*[a-f0-9]+: 8f e8 78 97 06 70[ ]+vpmacsdql %xmm7,\(%esi\),%xmm0,%xmm0
635[ ]*[a-f0-9]+: 8f e8 60 97 06 10[ ]+vpmacsdql %xmm1,\(%esi\),%xmm3,%xmm0
636[ ]*[a-f0-9]+: 8f e8 78 97 e8 10[ ]+vpmacsdql %xmm1,%xmm0,%xmm0,%xmm5
637[ ]*[a-f0-9]+: 8f e8 78 97 c6 10[ ]+vpmacsdql %xmm1,%xmm6,%xmm0,%xmm0
638[ ]*[a-f0-9]+: 8f e8 78 97 fe 10[ ]+vpmacsdql %xmm1,%xmm6,%xmm0,%xmm7
639[ ]*[a-f0-9]+: 8f e8 78 97 3a 10[ ]+vpmacsdql %xmm1,\(%edx\),%xmm0,%xmm7
640[ ]*[a-f0-9]+: 8f e8 78 97 f8 70[ ]+vpmacsdql %xmm7,%xmm0,%xmm0,%xmm7
641[ ]*[a-f0-9]+: 8f e8 60 97 3e 70[ ]+vpmacsdql %xmm7,\(%esi\),%xmm3,%xmm7
642[ ]*[a-f0-9]+: 8f e8 60 97 fe 70[ ]+vpmacsdql %xmm7,%xmm6,%xmm3,%xmm7
643[ ]*[a-f0-9]+: 8f e8 60 97 c7 70[ ]+vpmacsdql %xmm7,%xmm7,%xmm3,%xmm0
644[ ]*[a-f0-9]+: 8f e8 60 97 02 00[ ]+vpmacsdql %xmm0,\(%edx\),%xmm3,%xmm0
645[ ]*[a-f0-9]+: 8f e8 40 97 2a 10[ ]+vpmacsdql %xmm1,\(%edx\),%xmm7,%xmm5
646[ ]*[a-f0-9]+: 8f e8 40 97 ef 10[ ]+vpmacsdql %xmm1,%xmm7,%xmm7,%xmm5
647[ ]*[a-f0-9]+: 8f e8 78 97 c7 10[ ]+vpmacsdql %xmm1,%xmm7,%xmm0,%xmm0
648[ ]*[a-f0-9]+: 8f e8 60 97 2e 70[ ]+vpmacsdql %xmm7,\(%esi\),%xmm3,%xmm5
649[ ]*[a-f0-9]+: 8f e8 40 8e c7 00[ ]+vpmacssdd %xmm0,%xmm7,%xmm7,%xmm0
650[ ]*[a-f0-9]+: 8f e8 78 8e 06 70[ ]+vpmacssdd %xmm7,\(%esi\),%xmm0,%xmm0
651[ ]*[a-f0-9]+: 8f e8 60 8e 06 10[ ]+vpmacssdd %xmm1,\(%esi\),%xmm3,%xmm0
652[ ]*[a-f0-9]+: 8f e8 78 8e e8 10[ ]+vpmacssdd %xmm1,%xmm0,%xmm0,%xmm5
653[ ]*[a-f0-9]+: 8f e8 78 8e c6 10[ ]+vpmacssdd %xmm1,%xmm6,%xmm0,%xmm0
654[ ]*[a-f0-9]+: 8f e8 78 8e fe 10[ ]+vpmacssdd %xmm1,%xmm6,%xmm0,%xmm7
655[ ]*[a-f0-9]+: 8f e8 78 8e 3a 10[ ]+vpmacssdd %xmm1,\(%edx\),%xmm0,%xmm7
656[ ]*[a-f0-9]+: 8f e8 78 8e f8 70[ ]+vpmacssdd %xmm7,%xmm0,%xmm0,%xmm7
657[ ]*[a-f0-9]+: 8f e8 60 8e 3e 70[ ]+vpmacssdd %xmm7,\(%esi\),%xmm3,%xmm7
658[ ]*[a-f0-9]+: 8f e8 60 8e fe 70[ ]+vpmacssdd %xmm7,%xmm6,%xmm3,%xmm7
659[ ]*[a-f0-9]+: 8f e8 60 8e c7 70[ ]+vpmacssdd %xmm7,%xmm7,%xmm3,%xmm0
660[ ]*[a-f0-9]+: 8f e8 60 8e 02 00[ ]+vpmacssdd %xmm0,\(%edx\),%xmm3,%xmm0
661[ ]*[a-f0-9]+: 8f e8 40 8e 2a 10[ ]+vpmacssdd %xmm1,\(%edx\),%xmm7,%xmm5
662[ ]*[a-f0-9]+: 8f e8 40 8e ef 10[ ]+vpmacssdd %xmm1,%xmm7,%xmm7,%xmm5
663[ ]*[a-f0-9]+: 8f e8 78 8e c7 10[ ]+vpmacssdd %xmm1,%xmm7,%xmm0,%xmm0
664[ ]*[a-f0-9]+: 8f e8 60 8e 2e 70[ ]+vpmacssdd %xmm7,\(%esi\),%xmm3,%xmm5
665[ ]*[a-f0-9]+: 8f e8 40 8f c7 00[ ]+vpmacssdqh %xmm0,%xmm7,%xmm7,%xmm0
666[ ]*[a-f0-9]+: 8f e8 78 8f 06 70[ ]+vpmacssdqh %xmm7,\(%esi\),%xmm0,%xmm0
667[ ]*[a-f0-9]+: 8f e8 60 8f 06 10[ ]+vpmacssdqh %xmm1,\(%esi\),%xmm3,%xmm0
668[ ]*[a-f0-9]+: 8f e8 78 8f e8 10[ ]+vpmacssdqh %xmm1,%xmm0,%xmm0,%xmm5
669[ ]*[a-f0-9]+: 8f e8 78 8f c6 10[ ]+vpmacssdqh %xmm1,%xmm6,%xmm0,%xmm0
670[ ]*[a-f0-9]+: 8f e8 78 8f fe 10[ ]+vpmacssdqh %xmm1,%xmm6,%xmm0,%xmm7
671[ ]*[a-f0-9]+: 8f e8 78 8f 3a 10[ ]+vpmacssdqh %xmm1,\(%edx\),%xmm0,%xmm7
672[ ]*[a-f0-9]+: 8f e8 78 8f f8 70[ ]+vpmacssdqh %xmm7,%xmm0,%xmm0,%xmm7
673[ ]*[a-f0-9]+: 8f e8 60 8f 3e 70[ ]+vpmacssdqh %xmm7,\(%esi\),%xmm3,%xmm7
674[ ]*[a-f0-9]+: 8f e8 60 8f fe 70[ ]+vpmacssdqh %xmm7,%xmm6,%xmm3,%xmm7
675[ ]*[a-f0-9]+: 8f e8 60 8f c7 70[ ]+vpmacssdqh %xmm7,%xmm7,%xmm3,%xmm0
676[ ]*[a-f0-9]+: 8f e8 60 8f 02 00[ ]+vpmacssdqh %xmm0,\(%edx\),%xmm3,%xmm0
677[ ]*[a-f0-9]+: 8f e8 40 8f 2a 10[ ]+vpmacssdqh %xmm1,\(%edx\),%xmm7,%xmm5
678[ ]*[a-f0-9]+: 8f e8 40 8f ef 10[ ]+vpmacssdqh %xmm1,%xmm7,%xmm7,%xmm5
679[ ]*[a-f0-9]+: 8f e8 78 8f c7 10[ ]+vpmacssdqh %xmm1,%xmm7,%xmm0,%xmm0
680[ ]*[a-f0-9]+: 8f e8 60 8f 2e 70[ ]+vpmacssdqh %xmm7,\(%esi\),%xmm3,%xmm5
681[ ]*[a-f0-9]+: 8f e8 40 87 c7 00[ ]+vpmacssdql %xmm0,%xmm7,%xmm7,%xmm0
682[ ]*[a-f0-9]+: 8f e8 78 87 06 70[ ]+vpmacssdql %xmm7,\(%esi\),%xmm0,%xmm0
683[ ]*[a-f0-9]+: 8f e8 60 87 06 10[ ]+vpmacssdql %xmm1,\(%esi\),%xmm3,%xmm0
684[ ]*[a-f0-9]+: 8f e8 78 87 e8 10[ ]+vpmacssdql %xmm1,%xmm0,%xmm0,%xmm5
685[ ]*[a-f0-9]+: 8f e8 78 87 c6 10[ ]+vpmacssdql %xmm1,%xmm6,%xmm0,%xmm0
686[ ]*[a-f0-9]+: 8f e8 78 87 fe 10[ ]+vpmacssdql %xmm1,%xmm6,%xmm0,%xmm7
687[ ]*[a-f0-9]+: 8f e8 78 87 3a 10[ ]+vpmacssdql %xmm1,\(%edx\),%xmm0,%xmm7
688[ ]*[a-f0-9]+: 8f e8 78 87 f8 70[ ]+vpmacssdql %xmm7,%xmm0,%xmm0,%xmm7
689[ ]*[a-f0-9]+: 8f e8 60 87 3e 70[ ]+vpmacssdql %xmm7,\(%esi\),%xmm3,%xmm7
690[ ]*[a-f0-9]+: 8f e8 60 87 fe 70[ ]+vpmacssdql %xmm7,%xmm6,%xmm3,%xmm7
691[ ]*[a-f0-9]+: 8f e8 60 87 c7 70[ ]+vpmacssdql %xmm7,%xmm7,%xmm3,%xmm0
692[ ]*[a-f0-9]+: 8f e8 60 87 02 00[ ]+vpmacssdql %xmm0,\(%edx\),%xmm3,%xmm0
693[ ]*[a-f0-9]+: 8f e8 40 87 2a 10[ ]+vpmacssdql %xmm1,\(%edx\),%xmm7,%xmm5
694[ ]*[a-f0-9]+: 8f e8 40 87 ef 10[ ]+vpmacssdql %xmm1,%xmm7,%xmm7,%xmm5
695[ ]*[a-f0-9]+: 8f e8 78 87 c7 10[ ]+vpmacssdql %xmm1,%xmm7,%xmm0,%xmm0
696[ ]*[a-f0-9]+: 8f e8 60 87 2e 70[ ]+vpmacssdql %xmm7,\(%esi\),%xmm3,%xmm5
697[ ]*[a-f0-9]+: 8f e8 40 86 c7 00[ ]+vpmacsswd %xmm0,%xmm7,%xmm7,%xmm0
698[ ]*[a-f0-9]+: 8f e8 78 86 06 70[ ]+vpmacsswd %xmm7,\(%esi\),%xmm0,%xmm0
699[ ]*[a-f0-9]+: 8f e8 60 86 06 10[ ]+vpmacsswd %xmm1,\(%esi\),%xmm3,%xmm0
700[ ]*[a-f0-9]+: 8f e8 78 86 e8 10[ ]+vpmacsswd %xmm1,%xmm0,%xmm0,%xmm5
701[ ]*[a-f0-9]+: 8f e8 78 86 c6 10[ ]+vpmacsswd %xmm1,%xmm6,%xmm0,%xmm0
702[ ]*[a-f0-9]+: 8f e8 78 86 fe 10[ ]+vpmacsswd %xmm1,%xmm6,%xmm0,%xmm7
703[ ]*[a-f0-9]+: 8f e8 78 86 3a 10[ ]+vpmacsswd %xmm1,\(%edx\),%xmm0,%xmm7
704[ ]*[a-f0-9]+: 8f e8 78 86 f8 70[ ]+vpmacsswd %xmm7,%xmm0,%xmm0,%xmm7
705[ ]*[a-f0-9]+: 8f e8 60 86 3e 70[ ]+vpmacsswd %xmm7,\(%esi\),%xmm3,%xmm7
706[ ]*[a-f0-9]+: 8f e8 60 86 fe 70[ ]+vpmacsswd %xmm7,%xmm6,%xmm3,%xmm7
707[ ]*[a-f0-9]+: 8f e8 60 86 c7 70[ ]+vpmacsswd %xmm7,%xmm7,%xmm3,%xmm0
708[ ]*[a-f0-9]+: 8f e8 60 86 02 00[ ]+vpmacsswd %xmm0,\(%edx\),%xmm3,%xmm0
709[ ]*[a-f0-9]+: 8f e8 40 86 2a 10[ ]+vpmacsswd %xmm1,\(%edx\),%xmm7,%xmm5
710[ ]*[a-f0-9]+: 8f e8 40 86 ef 10[ ]+vpmacsswd %xmm1,%xmm7,%xmm7,%xmm5
711[ ]*[a-f0-9]+: 8f e8 78 86 c7 10[ ]+vpmacsswd %xmm1,%xmm7,%xmm0,%xmm0
712[ ]*[a-f0-9]+: 8f e8 60 86 2e 70[ ]+vpmacsswd %xmm7,\(%esi\),%xmm3,%xmm5
713[ ]*[a-f0-9]+: 8f e8 40 85 c7 00[ ]+vpmacssww %xmm0,%xmm7,%xmm7,%xmm0
714[ ]*[a-f0-9]+: 8f e8 78 85 06 70[ ]+vpmacssww %xmm7,\(%esi\),%xmm0,%xmm0
715[ ]*[a-f0-9]+: 8f e8 60 85 06 10[ ]+vpmacssww %xmm1,\(%esi\),%xmm3,%xmm0
716[ ]*[a-f0-9]+: 8f e8 78 85 e8 10[ ]+vpmacssww %xmm1,%xmm0,%xmm0,%xmm5
717[ ]*[a-f0-9]+: 8f e8 78 85 c6 10[ ]+vpmacssww %xmm1,%xmm6,%xmm0,%xmm0
718[ ]*[a-f0-9]+: 8f e8 78 85 fe 10[ ]+vpmacssww %xmm1,%xmm6,%xmm0,%xmm7
719[ ]*[a-f0-9]+: 8f e8 78 85 3a 10[ ]+vpmacssww %xmm1,\(%edx\),%xmm0,%xmm7
720[ ]*[a-f0-9]+: 8f e8 78 85 f8 70[ ]+vpmacssww %xmm7,%xmm0,%xmm0,%xmm7
721[ ]*[a-f0-9]+: 8f e8 60 85 3e 70[ ]+vpmacssww %xmm7,\(%esi\),%xmm3,%xmm7
722[ ]*[a-f0-9]+: 8f e8 60 85 fe 70[ ]+vpmacssww %xmm7,%xmm6,%xmm3,%xmm7
723[ ]*[a-f0-9]+: 8f e8 60 85 c7 70[ ]+vpmacssww %xmm7,%xmm7,%xmm3,%xmm0
724[ ]*[a-f0-9]+: 8f e8 60 85 02 00[ ]+vpmacssww %xmm0,\(%edx\),%xmm3,%xmm0
725[ ]*[a-f0-9]+: 8f e8 40 85 2a 10[ ]+vpmacssww %xmm1,\(%edx\),%xmm7,%xmm5
726[ ]*[a-f0-9]+: 8f e8 40 85 ef 10[ ]+vpmacssww %xmm1,%xmm7,%xmm7,%xmm5
727[ ]*[a-f0-9]+: 8f e8 78 85 c7 10[ ]+vpmacssww %xmm1,%xmm7,%xmm0,%xmm0
728[ ]*[a-f0-9]+: 8f e8 60 85 2e 70[ ]+vpmacssww %xmm7,\(%esi\),%xmm3,%xmm5
729[ ]*[a-f0-9]+: 8f e8 40 96 c7 00[ ]+vpmacswd %xmm0,%xmm7,%xmm7,%xmm0
730[ ]*[a-f0-9]+: 8f e8 78 96 06 70[ ]+vpmacswd %xmm7,\(%esi\),%xmm0,%xmm0
731[ ]*[a-f0-9]+: 8f e8 60 96 06 10[ ]+vpmacswd %xmm1,\(%esi\),%xmm3,%xmm0
732[ ]*[a-f0-9]+: 8f e8 78 96 e8 10[ ]+vpmacswd %xmm1,%xmm0,%xmm0,%xmm5
733[ ]*[a-f0-9]+: 8f e8 78 96 c6 10[ ]+vpmacswd %xmm1,%xmm6,%xmm0,%xmm0
734[ ]*[a-f0-9]+: 8f e8 78 96 fe 10[ ]+vpmacswd %xmm1,%xmm6,%xmm0,%xmm7
735[ ]*[a-f0-9]+: 8f e8 78 96 3a 10[ ]+vpmacswd %xmm1,\(%edx\),%xmm0,%xmm7
736[ ]*[a-f0-9]+: 8f e8 78 96 f8 70[ ]+vpmacswd %xmm7,%xmm0,%xmm0,%xmm7
737[ ]*[a-f0-9]+: 8f e8 60 96 3e 70[ ]+vpmacswd %xmm7,\(%esi\),%xmm3,%xmm7
738[ ]*[a-f0-9]+: 8f e8 60 96 fe 70[ ]+vpmacswd %xmm7,%xmm6,%xmm3,%xmm7
739[ ]*[a-f0-9]+: 8f e8 60 96 c7 70[ ]+vpmacswd %xmm7,%xmm7,%xmm3,%xmm0
740[ ]*[a-f0-9]+: 8f e8 60 96 02 00[ ]+vpmacswd %xmm0,\(%edx\),%xmm3,%xmm0
741[ ]*[a-f0-9]+: 8f e8 40 96 2a 10[ ]+vpmacswd %xmm1,\(%edx\),%xmm7,%xmm5
742[ ]*[a-f0-9]+: 8f e8 40 96 ef 10[ ]+vpmacswd %xmm1,%xmm7,%xmm7,%xmm5
743[ ]*[a-f0-9]+: 8f e8 78 96 c7 10[ ]+vpmacswd %xmm1,%xmm7,%xmm0,%xmm0
744[ ]*[a-f0-9]+: 8f e8 60 96 2e 70[ ]+vpmacswd %xmm7,\(%esi\),%xmm3,%xmm5
745[ ]*[a-f0-9]+: 8f e8 40 95 c7 00[ ]+vpmacsww %xmm0,%xmm7,%xmm7,%xmm0
746[ ]*[a-f0-9]+: 8f e8 78 95 06 70[ ]+vpmacsww %xmm7,\(%esi\),%xmm0,%xmm0
747[ ]*[a-f0-9]+: 8f e8 60 95 06 10[ ]+vpmacsww %xmm1,\(%esi\),%xmm3,%xmm0
748[ ]*[a-f0-9]+: 8f e8 78 95 e8 10[ ]+vpmacsww %xmm1,%xmm0,%xmm0,%xmm5
749[ ]*[a-f0-9]+: 8f e8 78 95 c6 10[ ]+vpmacsww %xmm1,%xmm6,%xmm0,%xmm0
750[ ]*[a-f0-9]+: 8f e8 78 95 fe 10[ ]+vpmacsww %xmm1,%xmm6,%xmm0,%xmm7
751[ ]*[a-f0-9]+: 8f e8 78 95 3a 10[ ]+vpmacsww %xmm1,\(%edx\),%xmm0,%xmm7
752[ ]*[a-f0-9]+: 8f e8 78 95 f8 70[ ]+vpmacsww %xmm7,%xmm0,%xmm0,%xmm7
753[ ]*[a-f0-9]+: 8f e8 60 95 3e 70[ ]+vpmacsww %xmm7,\(%esi\),%xmm3,%xmm7
754[ ]*[a-f0-9]+: 8f e8 60 95 fe 70[ ]+vpmacsww %xmm7,%xmm6,%xmm3,%xmm7
755[ ]*[a-f0-9]+: 8f e8 60 95 c7 70[ ]+vpmacsww %xmm7,%xmm7,%xmm3,%xmm0
756[ ]*[a-f0-9]+: 8f e8 60 95 02 00[ ]+vpmacsww %xmm0,\(%edx\),%xmm3,%xmm0
757[ ]*[a-f0-9]+: 8f e8 40 95 2a 10[ ]+vpmacsww %xmm1,\(%edx\),%xmm7,%xmm5
758[ ]*[a-f0-9]+: 8f e8 40 95 ef 10[ ]+vpmacsww %xmm1,%xmm7,%xmm7,%xmm5
759[ ]*[a-f0-9]+: 8f e8 78 95 c7 10[ ]+vpmacsww %xmm1,%xmm7,%xmm0,%xmm0
760[ ]*[a-f0-9]+: 8f e8 60 95 2e 70[ ]+vpmacsww %xmm7,\(%esi\),%xmm3,%xmm5
761[ ]*[a-f0-9]+: 8f e8 40 a6 c7 00[ ]+vpmadcsswd %xmm0,%xmm7,%xmm7,%xmm0
762[ ]*[a-f0-9]+: 8f e8 78 a6 06 70[ ]+vpmadcsswd %xmm7,\(%esi\),%xmm0,%xmm0
763[ ]*[a-f0-9]+: 8f e8 60 a6 06 10[ ]+vpmadcsswd %xmm1,\(%esi\),%xmm3,%xmm0
764[ ]*[a-f0-9]+: 8f e8 78 a6 e8 10[ ]+vpmadcsswd %xmm1,%xmm0,%xmm0,%xmm5
765[ ]*[a-f0-9]+: 8f e8 78 a6 c6 10[ ]+vpmadcsswd %xmm1,%xmm6,%xmm0,%xmm0
766[ ]*[a-f0-9]+: 8f e8 78 a6 fe 10[ ]+vpmadcsswd %xmm1,%xmm6,%xmm0,%xmm7
767[ ]*[a-f0-9]+: 8f e8 78 a6 3a 10[ ]+vpmadcsswd %xmm1,\(%edx\),%xmm0,%xmm7
768[ ]*[a-f0-9]+: 8f e8 78 a6 f8 70[ ]+vpmadcsswd %xmm7,%xmm0,%xmm0,%xmm7
769[ ]*[a-f0-9]+: 8f e8 60 a6 3e 70[ ]+vpmadcsswd %xmm7,\(%esi\),%xmm3,%xmm7
770[ ]*[a-f0-9]+: 8f e8 60 a6 fe 70[ ]+vpmadcsswd %xmm7,%xmm6,%xmm3,%xmm7
771[ ]*[a-f0-9]+: 8f e8 60 a6 c7 70[ ]+vpmadcsswd %xmm7,%xmm7,%xmm3,%xmm0
772[ ]*[a-f0-9]+: 8f e8 60 a6 02 00[ ]+vpmadcsswd %xmm0,\(%edx\),%xmm3,%xmm0
773[ ]*[a-f0-9]+: 8f e8 40 a6 2a 10[ ]+vpmadcsswd %xmm1,\(%edx\),%xmm7,%xmm5
774[ ]*[a-f0-9]+: 8f e8 40 a6 ef 10[ ]+vpmadcsswd %xmm1,%xmm7,%xmm7,%xmm5
775[ ]*[a-f0-9]+: 8f e8 78 a6 c7 10[ ]+vpmadcsswd %xmm1,%xmm7,%xmm0,%xmm0
776[ ]*[a-f0-9]+: 8f e8 60 a6 2e 70[ ]+vpmadcsswd %xmm7,\(%esi\),%xmm3,%xmm5
777[ ]*[a-f0-9]+: 8f e8 40 b6 c7 00[ ]+vpmadcswd %xmm0,%xmm7,%xmm7,%xmm0
778[ ]*[a-f0-9]+: 8f e8 78 b6 06 70[ ]+vpmadcswd %xmm7,\(%esi\),%xmm0,%xmm0
779[ ]*[a-f0-9]+: 8f e8 60 b6 06 10[ ]+vpmadcswd %xmm1,\(%esi\),%xmm3,%xmm0
780[ ]*[a-f0-9]+: 8f e8 78 b6 e8 10[ ]+vpmadcswd %xmm1,%xmm0,%xmm0,%xmm5
781[ ]*[a-f0-9]+: 8f e8 78 b6 c6 10[ ]+vpmadcswd %xmm1,%xmm6,%xmm0,%xmm0
782[ ]*[a-f0-9]+: 8f e8 78 b6 fe 10[ ]+vpmadcswd %xmm1,%xmm6,%xmm0,%xmm7
783[ ]*[a-f0-9]+: 8f e8 78 b6 3a 10[ ]+vpmadcswd %xmm1,\(%edx\),%xmm0,%xmm7
784[ ]*[a-f0-9]+: 8f e8 78 b6 f8 70[ ]+vpmadcswd %xmm7,%xmm0,%xmm0,%xmm7
785[ ]*[a-f0-9]+: 8f e8 60 b6 3e 70[ ]+vpmadcswd %xmm7,\(%esi\),%xmm3,%xmm7
786[ ]*[a-f0-9]+: 8f e8 60 b6 fe 70[ ]+vpmadcswd %xmm7,%xmm6,%xmm3,%xmm7
787[ ]*[a-f0-9]+: 8f e8 60 b6 c7 70[ ]+vpmadcswd %xmm7,%xmm7,%xmm3,%xmm0
788[ ]*[a-f0-9]+: 8f e8 60 b6 02 00[ ]+vpmadcswd %xmm0,\(%edx\),%xmm3,%xmm0
789[ ]*[a-f0-9]+: 8f e8 40 b6 2a 10[ ]+vpmadcswd %xmm1,\(%edx\),%xmm7,%xmm5
790[ ]*[a-f0-9]+: 8f e8 40 b6 ef 10[ ]+vpmadcswd %xmm1,%xmm7,%xmm7,%xmm5
791[ ]*[a-f0-9]+: 8f e8 78 b6 c7 10[ ]+vpmadcswd %xmm1,%xmm7,%xmm0,%xmm0
792[ ]*[a-f0-9]+: 8f e8 60 b6 2e 70[ ]+vpmadcswd %xmm7,\(%esi\),%xmm3,%xmm5
793[ ]*[a-f0-9]+: 8f e8 40 a3 c6 00[ ]+vpperm %xmm0,%xmm6,%xmm7,%xmm0
794[ ]*[a-f0-9]+: 8f e8 f8 a3 06 70[ ]+vpperm \(%esi\),%xmm7,%xmm0,%xmm0
795[ ]*[a-f0-9]+: 8f e8 e0 a3 00 70[ ]+vpperm \(%eax\),%xmm7,%xmm3,%xmm0
796[ ]*[a-f0-9]+: 8f e8 78 a3 e8 70[ ]+vpperm %xmm7,%xmm0,%xmm0,%xmm5
797[ ]*[a-f0-9]+: 8f e8 78 a3 c0 70[ ]+vpperm %xmm7,%xmm0,%xmm0,%xmm0
798[ ]*[a-f0-9]+: 8f e8 78 a3 f8 70[ ]+vpperm %xmm7,%xmm0,%xmm0,%xmm7
799[ ]*[a-f0-9]+: 8f e8 f8 a3 38 60[ ]+vpperm \(%eax\),%xmm6,%xmm0,%xmm7
800[ ]*[a-f0-9]+: 8f e8 f8 a3 3e 00[ ]+vpperm \(%esi\),%xmm0,%xmm0,%xmm7
801[ ]*[a-f0-9]+: 8f e8 e0 a3 3b 70[ ]+vpperm \(%ebx\),%xmm7,%xmm3,%xmm7
802[ ]*[a-f0-9]+: 8f e8 e0 a3 3b 00[ ]+vpperm \(%ebx\),%xmm0,%xmm3,%xmm7
803[ ]*[a-f0-9]+: 8f e8 e0 a3 06 60[ ]+vpperm \(%esi\),%xmm6,%xmm3,%xmm0
804[ ]*[a-f0-9]+: 8f e8 60 a3 c7 10[ ]+vpperm %xmm1,%xmm7,%xmm3,%xmm0
805[ ]*[a-f0-9]+: 8f e8 c0 a3 28 70[ ]+vpperm \(%eax\),%xmm7,%xmm7,%xmm5
806[ ]*[a-f0-9]+: 8f e8 40 a3 ee 70[ ]+vpperm %xmm7,%xmm6,%xmm7,%xmm5
807[ ]*[a-f0-9]+: 8f e8 78 a3 c6 70[ ]+vpperm %xmm7,%xmm6,%xmm0,%xmm0
808[ ]*[a-f0-9]+: 8f e8 e0 a3 2b 70[ ]+vpperm \(%ebx\),%xmm7,%xmm3,%xmm5
809[ ]*[a-f0-9]+: 8f e8 40 a3 c7 00[ ]+vpperm %xmm0,%xmm7,%xmm7,%xmm0
810[ ]*[a-f0-9]+: 8f e8 78 a3 06 70[ ]+vpperm %xmm7,\(%esi\),%xmm0,%xmm0
811[ ]*[a-f0-9]+: 8f e8 60 a3 06 10[ ]+vpperm %xmm1,\(%esi\),%xmm3,%xmm0
812[ ]*[a-f0-9]+: 8f e8 78 a3 e8 10[ ]+vpperm %xmm1,%xmm0,%xmm0,%xmm5
813[ ]*[a-f0-9]+: 8f e8 78 a3 c6 10[ ]+vpperm %xmm1,%xmm6,%xmm0,%xmm0
814[ ]*[a-f0-9]+: 8f e8 78 a3 fe 10[ ]+vpperm %xmm1,%xmm6,%xmm0,%xmm7
815[ ]*[a-f0-9]+: 8f e8 78 a3 3a 10[ ]+vpperm %xmm1,\(%edx\),%xmm0,%xmm7
816[ ]*[a-f0-9]+: 8f e8 78 a3 f8 70[ ]+vpperm %xmm7,%xmm0,%xmm0,%xmm7
817[ ]*[a-f0-9]+: 8f e8 60 a3 3e 70[ ]+vpperm %xmm7,\(%esi\),%xmm3,%xmm7
818[ ]*[a-f0-9]+: 8f e8 60 a3 fe 70[ ]+vpperm %xmm7,%xmm6,%xmm3,%xmm7
819[ ]*[a-f0-9]+: 8f e8 60 a3 c7 70[ ]+vpperm %xmm7,%xmm7,%xmm3,%xmm0
820[ ]*[a-f0-9]+: 8f e8 60 a3 02 00[ ]+vpperm %xmm0,\(%edx\),%xmm3,%xmm0
821[ ]*[a-f0-9]+: 8f e8 40 a3 2a 10[ ]+vpperm %xmm1,\(%edx\),%xmm7,%xmm5
822[ ]*[a-f0-9]+: 8f e8 40 a3 ef 10[ ]+vpperm %xmm1,%xmm7,%xmm7,%xmm5
823[ ]*[a-f0-9]+: 8f e8 78 a3 c7 10[ ]+vpperm %xmm1,%xmm7,%xmm0,%xmm0
824[ ]*[a-f0-9]+: 8f e8 60 a3 2e 70[ ]+vpperm %xmm7,\(%esi\),%xmm3,%xmm5
825[ ]*[a-f0-9]+: 8f e9 40 90 d8[ ]+vprotb %xmm7,%xmm0,%xmm3
826[ ]*[a-f0-9]+: 8f e9 40 90 fe[ ]+vprotb %xmm7,%xmm6,%xmm7
827[ ]*[a-f0-9]+: 8f e9 40 90 c0[ ]+vprotb %xmm7,%xmm0,%xmm0
828[ ]*[a-f0-9]+: 8f e9 70 90 1e[ ]+vprotb %xmm1,\(%esi\),%xmm3
829[ ]*[a-f0-9]+: 8f e9 78 90 c7[ ]+vprotb %xmm0,%xmm7,%xmm0
830[ ]*[a-f0-9]+: 8f e9 78 90 df[ ]+vprotb %xmm0,%xmm7,%xmm3
831[ ]*[a-f0-9]+: 8f e9 78 90 c6[ ]+vprotb %xmm0,%xmm6,%xmm0
832[ ]*[a-f0-9]+: 8f e9 70 90 c6[ ]+vprotb %xmm1,%xmm6,%xmm0
833[ ]*[a-f0-9]+: 8f e9 40 90 df[ ]+vprotb %xmm7,%xmm7,%xmm3
834[ ]*[a-f0-9]+: 8f e9 78 90 3e[ ]+vprotb %xmm0,\(%esi\),%xmm7
835[ ]*[a-f0-9]+: 8f e9 78 90 fe[ ]+vprotb %xmm0,%xmm6,%xmm7
836[ ]*[a-f0-9]+: 8f e9 40 90 1e[ ]+vprotb %xmm7,\(%esi\),%xmm3
837[ ]*[a-f0-9]+: 8f e9 40 90 02[ ]+vprotb %xmm7,\(%edx\),%xmm0
838[ ]*[a-f0-9]+: 8f e9 70 90 3e[ ]+vprotb %xmm1,\(%esi\),%xmm7
839[ ]*[a-f0-9]+: 8f e9 70 90 c7[ ]+vprotb %xmm1,%xmm7,%xmm0
840[ ]*[a-f0-9]+: 8f e9 78 90 1a[ ]+vprotb %xmm0,\(%edx\),%xmm3
841[ ]*[a-f0-9]+: 8f e9 f8 90 1b[ ]+vprotb \(%ebx\),%xmm0,%xmm3
842[ ]*[a-f0-9]+: 8f e9 f8 90 3b[ ]+vprotb \(%ebx\),%xmm0,%xmm7
843[ ]*[a-f0-9]+: 8f e9 f8 90 06[ ]+vprotb \(%esi\),%xmm0,%xmm0
844[ ]*[a-f0-9]+: 8f e9 c0 90 18[ ]+vprotb \(%eax\),%xmm7,%xmm3
845[ ]*[a-f0-9]+: 8f e9 70 90 c6[ ]+vprotb %xmm1,%xmm6,%xmm0
846[ ]*[a-f0-9]+: 8f e9 70 90 de[ ]+vprotb %xmm1,%xmm6,%xmm3
847[ ]*[a-f0-9]+: 8f e9 70 90 c0[ ]+vprotb %xmm1,%xmm0,%xmm0
848[ ]*[a-f0-9]+: 8f e9 40 90 c0[ ]+vprotb %xmm7,%xmm0,%xmm0
849[ ]*[a-f0-9]+: 8f e9 c8 90 1e[ ]+vprotb \(%esi\),%xmm6,%xmm3
850[ ]*[a-f0-9]+: 8f e9 70 90 ff[ ]+vprotb %xmm1,%xmm7,%xmm7
851[ ]*[a-f0-9]+: 8f e9 78 90 f8[ ]+vprotb %xmm0,%xmm0,%xmm7
852[ ]*[a-f0-9]+: 8f e9 c0 90 1b[ ]+vprotb \(%ebx\),%xmm7,%xmm3
853[ ]*[a-f0-9]+: 8f e9 c0 90 03[ ]+vprotb \(%ebx\),%xmm7,%xmm0
854[ ]*[a-f0-9]+: 8f e9 c0 90 38[ ]+vprotb \(%eax\),%xmm7,%xmm7
855[ ]*[a-f0-9]+: 8f e9 c8 90 00[ ]+vprotb \(%eax\),%xmm6,%xmm0
856[ ]*[a-f0-9]+: 8f e9 70 90 df[ ]+vprotb %xmm1,%xmm7,%xmm3
857[ ]*[a-f0-9]+: 8f e8 78 c0 d5 03[ ]+vprotb \$0x3,%xmm5,%xmm2
858[ ]*[a-f0-9]+: 8f e8 78 c0 c0 ff[ ]+vprotb \$0xff,%xmm0,%xmm0
859[ ]*[a-f0-9]+: 8f e8 78 c0 fd ff[ ]+vprotb \$0xff,%xmm5,%xmm7
860[ ]*[a-f0-9]+: 8f e8 78 c0 fd 00[ ]+vprotb \$0x0,%xmm5,%xmm7
861[ ]*[a-f0-9]+: 8f e8 78 c0 ff 00[ ]+vprotb \$0x0,%xmm7,%xmm7
862[ ]*[a-f0-9]+: 8f e8 78 c0 d0 00[ ]+vprotb \$0x0,%xmm0,%xmm2
863[ ]*[a-f0-9]+: 8f e8 78 c0 c5 ff[ ]+vprotb \$0xff,%xmm5,%xmm0
864[ ]*[a-f0-9]+: 8f e8 78 c0 c0 03[ ]+vprotb \$0x3,%xmm0,%xmm0
865[ ]*[a-f0-9]+: 8f e8 78 c0 c5 03[ ]+vprotb \$0x3,%xmm5,%xmm0
866[ ]*[a-f0-9]+: 8f e8 78 c0 f8 00[ ]+vprotb \$0x0,%xmm0,%xmm7
867[ ]*[a-f0-9]+: 8f e8 78 c0 c7 ff[ ]+vprotb \$0xff,%xmm7,%xmm0
868[ ]*[a-f0-9]+: 8f e8 78 c0 d0 ff[ ]+vprotb \$0xff,%xmm0,%xmm2
869[ ]*[a-f0-9]+: 8f e8 78 c0 d7 ff[ ]+vprotb \$0xff,%xmm7,%xmm2
870[ ]*[a-f0-9]+: 8f e8 78 c0 ff 03[ ]+vprotb \$0x3,%xmm7,%xmm7
871[ ]*[a-f0-9]+: 8f e8 78 c0 d5 ff[ ]+vprotb \$0xff,%xmm5,%xmm2
872[ ]*[a-f0-9]+: 8f e8 78 c0 d0 03[ ]+vprotb \$0x3,%xmm0,%xmm2
873[ ]*[a-f0-9]+: 8f e9 40 92 d8[ ]+vprotd %xmm7,%xmm0,%xmm3
874[ ]*[a-f0-9]+: 8f e9 40 92 fe[ ]+vprotd %xmm7,%xmm6,%xmm7
875[ ]*[a-f0-9]+: 8f e9 40 92 c0[ ]+vprotd %xmm7,%xmm0,%xmm0
876[ ]*[a-f0-9]+: 8f e9 70 92 1e[ ]+vprotd %xmm1,\(%esi\),%xmm3
877[ ]*[a-f0-9]+: 8f e9 78 92 c7[ ]+vprotd %xmm0,%xmm7,%xmm0
878[ ]*[a-f0-9]+: 8f e9 78 92 df[ ]+vprotd %xmm0,%xmm7,%xmm3
879[ ]*[a-f0-9]+: 8f e9 78 92 c6[ ]+vprotd %xmm0,%xmm6,%xmm0
880[ ]*[a-f0-9]+: 8f e9 70 92 c6[ ]+vprotd %xmm1,%xmm6,%xmm0
881[ ]*[a-f0-9]+: 8f e9 40 92 df[ ]+vprotd %xmm7,%xmm7,%xmm3
882[ ]*[a-f0-9]+: 8f e9 78 92 3e[ ]+vprotd %xmm0,\(%esi\),%xmm7
883[ ]*[a-f0-9]+: 8f e9 78 92 fe[ ]+vprotd %xmm0,%xmm6,%xmm7
884[ ]*[a-f0-9]+: 8f e9 40 92 1e[ ]+vprotd %xmm7,\(%esi\),%xmm3
885[ ]*[a-f0-9]+: 8f e9 40 92 02[ ]+vprotd %xmm7,\(%edx\),%xmm0
886[ ]*[a-f0-9]+: 8f e9 70 92 3e[ ]+vprotd %xmm1,\(%esi\),%xmm7
887[ ]*[a-f0-9]+: 8f e9 70 92 c7[ ]+vprotd %xmm1,%xmm7,%xmm0
888[ ]*[a-f0-9]+: 8f e9 78 92 1a[ ]+vprotd %xmm0,\(%edx\),%xmm3
889[ ]*[a-f0-9]+: 8f e9 f8 92 1b[ ]+vprotd \(%ebx\),%xmm0,%xmm3
890[ ]*[a-f0-9]+: 8f e9 f8 92 3b[ ]+vprotd \(%ebx\),%xmm0,%xmm7
891[ ]*[a-f0-9]+: 8f e9 f8 92 06[ ]+vprotd \(%esi\),%xmm0,%xmm0
892[ ]*[a-f0-9]+: 8f e9 c0 92 18[ ]+vprotd \(%eax\),%xmm7,%xmm3
893[ ]*[a-f0-9]+: 8f e9 70 92 c6[ ]+vprotd %xmm1,%xmm6,%xmm0
894[ ]*[a-f0-9]+: 8f e9 70 92 de[ ]+vprotd %xmm1,%xmm6,%xmm3
895[ ]*[a-f0-9]+: 8f e9 70 92 c0[ ]+vprotd %xmm1,%xmm0,%xmm0
896[ ]*[a-f0-9]+: 8f e9 40 92 c0[ ]+vprotd %xmm7,%xmm0,%xmm0
897[ ]*[a-f0-9]+: 8f e9 c8 92 1e[ ]+vprotd \(%esi\),%xmm6,%xmm3
898[ ]*[a-f0-9]+: 8f e9 70 92 ff[ ]+vprotd %xmm1,%xmm7,%xmm7
899[ ]*[a-f0-9]+: 8f e9 78 92 f8[ ]+vprotd %xmm0,%xmm0,%xmm7
900[ ]*[a-f0-9]+: 8f e9 c0 92 1b[ ]+vprotd \(%ebx\),%xmm7,%xmm3
901[ ]*[a-f0-9]+: 8f e9 c0 92 03[ ]+vprotd \(%ebx\),%xmm7,%xmm0
902[ ]*[a-f0-9]+: 8f e9 c0 92 38[ ]+vprotd \(%eax\),%xmm7,%xmm7
903[ ]*[a-f0-9]+: 8f e9 c8 92 00[ ]+vprotd \(%eax\),%xmm6,%xmm0
904[ ]*[a-f0-9]+: 8f e9 70 92 df[ ]+vprotd %xmm1,%xmm7,%xmm3
905[ ]*[a-f0-9]+: 8f e8 78 c2 ff 00[ ]+vprotd \$0x0,%xmm7,%xmm7
906[ ]*[a-f0-9]+: 8f e8 78 c2 3b 00[ ]+vprotd \$0x0,\(%ebx\),%xmm7
907[ ]*[a-f0-9]+: 8f e8 78 c2 e8 00[ ]+vprotd \$0x0,%xmm0,%xmm5
908[ ]*[a-f0-9]+: 8f e8 78 c2 c5 ff[ ]+vprotd \$0xff,%xmm5,%xmm0
909[ ]*[a-f0-9]+: 8f e8 78 c2 c0 03[ ]+vprotd \$0x3,%xmm0,%xmm0
910[ ]*[a-f0-9]+: 8f e8 78 c2 c7 03[ ]+vprotd \$0x3,%xmm7,%xmm0
911[ ]*[a-f0-9]+: 8f e8 78 c2 ed 00[ ]+vprotd \$0x0,%xmm5,%xmm5
912[ ]*[a-f0-9]+: 8f e8 78 c2 f8 00[ ]+vprotd \$0x0,%xmm0,%xmm7
913[ ]*[a-f0-9]+: 8f e8 78 c2 00 03[ ]+vprotd \$0x3,\(%eax\),%xmm0
914[ ]*[a-f0-9]+: 8f e8 78 c2 03 ff[ ]+vprotd \$0xff,\(%ebx\),%xmm0
915[ ]*[a-f0-9]+: 8f e8 78 c2 38 00[ ]+vprotd \$0x0,\(%eax\),%xmm7
916[ ]*[a-f0-9]+: 8f e8 78 c2 ff ff[ ]+vprotd \$0xff,%xmm7,%xmm7
917[ ]*[a-f0-9]+: 8f e8 78 c2 ed ff[ ]+vprotd \$0xff,%xmm5,%xmm5
918[ ]*[a-f0-9]+: 8f e8 78 c2 2b ff[ ]+vprotd \$0xff,\(%ebx\),%xmm5
919[ ]*[a-f0-9]+: 8f e8 78 c2 c7 ff[ ]+vprotd \$0xff,%xmm7,%xmm0
920[ ]*[a-f0-9]+: 8f e8 78 c2 38 03[ ]+vprotd \$0x3,\(%eax\),%xmm7
921[ ]*[a-f0-9]+: 8f e9 40 93 d8[ ]+vprotq %xmm7,%xmm0,%xmm3
922[ ]*[a-f0-9]+: 8f e9 40 93 fe[ ]+vprotq %xmm7,%xmm6,%xmm7
923[ ]*[a-f0-9]+: 8f e9 40 93 c0[ ]+vprotq %xmm7,%xmm0,%xmm0
924[ ]*[a-f0-9]+: 8f e9 70 93 1e[ ]+vprotq %xmm1,\(%esi\),%xmm3
925[ ]*[a-f0-9]+: 8f e9 78 93 c7[ ]+vprotq %xmm0,%xmm7,%xmm0
926[ ]*[a-f0-9]+: 8f e9 78 93 df[ ]+vprotq %xmm0,%xmm7,%xmm3
927[ ]*[a-f0-9]+: 8f e9 78 93 c6[ ]+vprotq %xmm0,%xmm6,%xmm0
928[ ]*[a-f0-9]+: 8f e9 70 93 c6[ ]+vprotq %xmm1,%xmm6,%xmm0
929[ ]*[a-f0-9]+: 8f e9 40 93 df[ ]+vprotq %xmm7,%xmm7,%xmm3
930[ ]*[a-f0-9]+: 8f e9 78 93 3e[ ]+vprotq %xmm0,\(%esi\),%xmm7
931[ ]*[a-f0-9]+: 8f e9 78 93 fe[ ]+vprotq %xmm0,%xmm6,%xmm7
932[ ]*[a-f0-9]+: 8f e9 40 93 1e[ ]+vprotq %xmm7,\(%esi\),%xmm3
933[ ]*[a-f0-9]+: 8f e9 40 93 02[ ]+vprotq %xmm7,\(%edx\),%xmm0
934[ ]*[a-f0-9]+: 8f e9 70 93 3e[ ]+vprotq %xmm1,\(%esi\),%xmm7
935[ ]*[a-f0-9]+: 8f e9 70 93 c7[ ]+vprotq %xmm1,%xmm7,%xmm0
936[ ]*[a-f0-9]+: 8f e9 78 93 1a[ ]+vprotq %xmm0,\(%edx\),%xmm3
937[ ]*[a-f0-9]+: 8f e9 f8 93 1b[ ]+vprotq \(%ebx\),%xmm0,%xmm3
938[ ]*[a-f0-9]+: 8f e9 f8 93 3b[ ]+vprotq \(%ebx\),%xmm0,%xmm7
939[ ]*[a-f0-9]+: 8f e9 f8 93 06[ ]+vprotq \(%esi\),%xmm0,%xmm0
940[ ]*[a-f0-9]+: 8f e9 c0 93 18[ ]+vprotq \(%eax\),%xmm7,%xmm3
941[ ]*[a-f0-9]+: 8f e9 70 93 c6[ ]+vprotq %xmm1,%xmm6,%xmm0
942[ ]*[a-f0-9]+: 8f e9 70 93 de[ ]+vprotq %xmm1,%xmm6,%xmm3
943[ ]*[a-f0-9]+: 8f e9 70 93 c0[ ]+vprotq %xmm1,%xmm0,%xmm0
944[ ]*[a-f0-9]+: 8f e9 40 93 c0[ ]+vprotq %xmm7,%xmm0,%xmm0
945[ ]*[a-f0-9]+: 8f e9 c8 93 1e[ ]+vprotq \(%esi\),%xmm6,%xmm3
946[ ]*[a-f0-9]+: 8f e9 70 93 ff[ ]+vprotq %xmm1,%xmm7,%xmm7
947[ ]*[a-f0-9]+: 8f e9 78 93 f8[ ]+vprotq %xmm0,%xmm0,%xmm7
948[ ]*[a-f0-9]+: 8f e9 c0 93 1b[ ]+vprotq \(%ebx\),%xmm7,%xmm3
949[ ]*[a-f0-9]+: 8f e9 c0 93 03[ ]+vprotq \(%ebx\),%xmm7,%xmm0
950[ ]*[a-f0-9]+: 8f e9 c0 93 38[ ]+vprotq \(%eax\),%xmm7,%xmm7
951[ ]*[a-f0-9]+: 8f e9 c8 93 00[ ]+vprotq \(%eax\),%xmm6,%xmm0
952[ ]*[a-f0-9]+: 8f e9 70 93 df[ ]+vprotq %xmm1,%xmm7,%xmm3
953[ ]*[a-f0-9]+: 8f e8 78 c3 ff 00[ ]+vprotq \$0x0,%xmm7,%xmm7
954[ ]*[a-f0-9]+: 8f e8 78 c3 3b 00[ ]+vprotq \$0x0,\(%ebx\),%xmm7
955[ ]*[a-f0-9]+: 8f e8 78 c3 e8 00[ ]+vprotq \$0x0,%xmm0,%xmm5
956[ ]*[a-f0-9]+: 8f e8 78 c3 c5 ff[ ]+vprotq \$0xff,%xmm5,%xmm0
957[ ]*[a-f0-9]+: 8f e8 78 c3 c0 03[ ]+vprotq \$0x3,%xmm0,%xmm0
958[ ]*[a-f0-9]+: 8f e8 78 c3 c7 03[ ]+vprotq \$0x3,%xmm7,%xmm0
959[ ]*[a-f0-9]+: 8f e8 78 c3 ed 00[ ]+vprotq \$0x0,%xmm5,%xmm5
960[ ]*[a-f0-9]+: 8f e8 78 c3 f8 00[ ]+vprotq \$0x0,%xmm0,%xmm7
961[ ]*[a-f0-9]+: 8f e8 78 c3 00 03[ ]+vprotq \$0x3,\(%eax\),%xmm0
962[ ]*[a-f0-9]+: 8f e8 78 c3 03 ff[ ]+vprotq \$0xff,\(%ebx\),%xmm0
963[ ]*[a-f0-9]+: 8f e8 78 c3 38 00[ ]+vprotq \$0x0,\(%eax\),%xmm7
964[ ]*[a-f0-9]+: 8f e8 78 c3 ff ff[ ]+vprotq \$0xff,%xmm7,%xmm7
965[ ]*[a-f0-9]+: 8f e8 78 c3 ed ff[ ]+vprotq \$0xff,%xmm5,%xmm5
966[ ]*[a-f0-9]+: 8f e8 78 c3 2b ff[ ]+vprotq \$0xff,\(%ebx\),%xmm5
967[ ]*[a-f0-9]+: 8f e8 78 c3 c7 ff[ ]+vprotq \$0xff,%xmm7,%xmm0
968[ ]*[a-f0-9]+: 8f e8 78 c3 38 03[ ]+vprotq \$0x3,\(%eax\),%xmm7
969[ ]*[a-f0-9]+: 8f e9 40 91 d8[ ]+vprotw %xmm7,%xmm0,%xmm3
970[ ]*[a-f0-9]+: 8f e9 40 91 fe[ ]+vprotw %xmm7,%xmm6,%xmm7
971[ ]*[a-f0-9]+: 8f e9 40 91 c0[ ]+vprotw %xmm7,%xmm0,%xmm0
972[ ]*[a-f0-9]+: 8f e9 70 91 1e[ ]+vprotw %xmm1,\(%esi\),%xmm3
973[ ]*[a-f0-9]+: 8f e9 78 91 c7[ ]+vprotw %xmm0,%xmm7,%xmm0
974[ ]*[a-f0-9]+: 8f e9 78 91 df[ ]+vprotw %xmm0,%xmm7,%xmm3
975[ ]*[a-f0-9]+: 8f e9 78 91 c6[ ]+vprotw %xmm0,%xmm6,%xmm0
976[ ]*[a-f0-9]+: 8f e9 70 91 c6[ ]+vprotw %xmm1,%xmm6,%xmm0
977[ ]*[a-f0-9]+: 8f e9 40 91 df[ ]+vprotw %xmm7,%xmm7,%xmm3
978[ ]*[a-f0-9]+: 8f e9 78 91 3e[ ]+vprotw %xmm0,\(%esi\),%xmm7
979[ ]*[a-f0-9]+: 8f e9 78 91 fe[ ]+vprotw %xmm0,%xmm6,%xmm7
980[ ]*[a-f0-9]+: 8f e9 40 91 1e[ ]+vprotw %xmm7,\(%esi\),%xmm3
981[ ]*[a-f0-9]+: 8f e9 40 91 02[ ]+vprotw %xmm7,\(%edx\),%xmm0
982[ ]*[a-f0-9]+: 8f e9 70 91 3e[ ]+vprotw %xmm1,\(%esi\),%xmm7
983[ ]*[a-f0-9]+: 8f e9 70 91 c7[ ]+vprotw %xmm1,%xmm7,%xmm0
984[ ]*[a-f0-9]+: 8f e9 78 91 1a[ ]+vprotw %xmm0,\(%edx\),%xmm3
985[ ]*[a-f0-9]+: 8f e9 f8 91 1b[ ]+vprotw \(%ebx\),%xmm0,%xmm3
986[ ]*[a-f0-9]+: 8f e9 f8 91 3b[ ]+vprotw \(%ebx\),%xmm0,%xmm7
987[ ]*[a-f0-9]+: 8f e9 f8 91 06[ ]+vprotw \(%esi\),%xmm0,%xmm0
988[ ]*[a-f0-9]+: 8f e9 c0 91 18[ ]+vprotw \(%eax\),%xmm7,%xmm3
989[ ]*[a-f0-9]+: 8f e9 70 91 c6[ ]+vprotw %xmm1,%xmm6,%xmm0
990[ ]*[a-f0-9]+: 8f e9 70 91 de[ ]+vprotw %xmm1,%xmm6,%xmm3
991[ ]*[a-f0-9]+: 8f e9 70 91 c0[ ]+vprotw %xmm1,%xmm0,%xmm0
992[ ]*[a-f0-9]+: 8f e9 40 91 c0[ ]+vprotw %xmm7,%xmm0,%xmm0
993[ ]*[a-f0-9]+: 8f e9 c8 91 1e[ ]+vprotw \(%esi\),%xmm6,%xmm3
994[ ]*[a-f0-9]+: 8f e9 70 91 ff[ ]+vprotw %xmm1,%xmm7,%xmm7
995[ ]*[a-f0-9]+: 8f e9 78 91 f8[ ]+vprotw %xmm0,%xmm0,%xmm7
996[ ]*[a-f0-9]+: 8f e9 c0 91 1b[ ]+vprotw \(%ebx\),%xmm7,%xmm3
997[ ]*[a-f0-9]+: 8f e9 c0 91 03[ ]+vprotw \(%ebx\),%xmm7,%xmm0
998[ ]*[a-f0-9]+: 8f e9 c0 91 38[ ]+vprotw \(%eax\),%xmm7,%xmm7
999[ ]*[a-f0-9]+: 8f e9 c8 91 00[ ]+vprotw \(%eax\),%xmm6,%xmm0
1000[ ]*[a-f0-9]+: 8f e9 70 91 df[ ]+vprotw %xmm1,%xmm7,%xmm3
1001[ ]*[a-f0-9]+: 8f e8 78 c1 ff 00[ ]+vprotw \$0x0,%xmm7,%xmm7
1002[ ]*[a-f0-9]+: 8f e8 78 c1 3b 00[ ]+vprotw \$0x0,\(%ebx\),%xmm7
1003[ ]*[a-f0-9]+: 8f e8 78 c1 e8 00[ ]+vprotw \$0x0,%xmm0,%xmm5
1004[ ]*[a-f0-9]+: 8f e8 78 c1 c5 ff[ ]+vprotw \$0xff,%xmm5,%xmm0
1005[ ]*[a-f0-9]+: 8f e8 78 c1 c0 03[ ]+vprotw \$0x3,%xmm0,%xmm0
1006[ ]*[a-f0-9]+: 8f e8 78 c1 c7 03[ ]+vprotw \$0x3,%xmm7,%xmm0
1007[ ]*[a-f0-9]+: 8f e8 78 c1 ed 00[ ]+vprotw \$0x0,%xmm5,%xmm5
1008[ ]*[a-f0-9]+: 8f e8 78 c1 f8 00[ ]+vprotw \$0x0,%xmm0,%xmm7
1009[ ]*[a-f0-9]+: 8f e8 78 c1 00 03[ ]+vprotw \$0x3,\(%eax\),%xmm0
1010[ ]*[a-f0-9]+: 8f e8 78 c1 03 ff[ ]+vprotw \$0xff,\(%ebx\),%xmm0
1011[ ]*[a-f0-9]+: 8f e8 78 c1 38 00[ ]+vprotw \$0x0,\(%eax\),%xmm7
1012[ ]*[a-f0-9]+: 8f e8 78 c1 ff ff[ ]+vprotw \$0xff,%xmm7,%xmm7
1013[ ]*[a-f0-9]+: 8f e8 78 c1 ed ff[ ]+vprotw \$0xff,%xmm5,%xmm5
1014[ ]*[a-f0-9]+: 8f e8 78 c1 2b ff[ ]+vprotw \$0xff,\(%ebx\),%xmm5
1015[ ]*[a-f0-9]+: 8f e8 78 c1 c7 ff[ ]+vprotw \$0xff,%xmm7,%xmm0
1016[ ]*[a-f0-9]+: 8f e8 78 c1 38 03[ ]+vprotw \$0x3,\(%eax\),%xmm7
1017[ ]*[a-f0-9]+: 8f e9 40 98 d8[ ]+vpshab %xmm7,%xmm0,%xmm3
1018[ ]*[a-f0-9]+: 8f e9 40 98 fe[ ]+vpshab %xmm7,%xmm6,%xmm7
1019[ ]*[a-f0-9]+: 8f e9 40 98 c0[ ]+vpshab %xmm7,%xmm0,%xmm0
1020[ ]*[a-f0-9]+: 8f e9 70 98 1e[ ]+vpshab %xmm1,\(%esi\),%xmm3
1021[ ]*[a-f0-9]+: 8f e9 78 98 c7[ ]+vpshab %xmm0,%xmm7,%xmm0
1022[ ]*[a-f0-9]+: 8f e9 78 98 df[ ]+vpshab %xmm0,%xmm7,%xmm3
1023[ ]*[a-f0-9]+: 8f e9 78 98 c6[ ]+vpshab %xmm0,%xmm6,%xmm0
1024[ ]*[a-f0-9]+: 8f e9 70 98 c6[ ]+vpshab %xmm1,%xmm6,%xmm0
1025[ ]*[a-f0-9]+: 8f e9 40 98 df[ ]+vpshab %xmm7,%xmm7,%xmm3
1026[ ]*[a-f0-9]+: 8f e9 78 98 3e[ ]+vpshab %xmm0,\(%esi\),%xmm7
1027[ ]*[a-f0-9]+: 8f e9 78 98 fe[ ]+vpshab %xmm0,%xmm6,%xmm7
1028[ ]*[a-f0-9]+: 8f e9 40 98 1e[ ]+vpshab %xmm7,\(%esi\),%xmm3
1029[ ]*[a-f0-9]+: 8f e9 40 98 02[ ]+vpshab %xmm7,\(%edx\),%xmm0
1030[ ]*[a-f0-9]+: 8f e9 70 98 3e[ ]+vpshab %xmm1,\(%esi\),%xmm7
1031[ ]*[a-f0-9]+: 8f e9 70 98 c7[ ]+vpshab %xmm1,%xmm7,%xmm0
1032[ ]*[a-f0-9]+: 8f e9 78 98 1a[ ]+vpshab %xmm0,\(%edx\),%xmm3
1033[ ]*[a-f0-9]+: 8f e9 f8 98 1b[ ]+vpshab \(%ebx\),%xmm0,%xmm3
1034[ ]*[a-f0-9]+: 8f e9 f8 98 3b[ ]+vpshab \(%ebx\),%xmm0,%xmm7
1035[ ]*[a-f0-9]+: 8f e9 f8 98 06[ ]+vpshab \(%esi\),%xmm0,%xmm0
1036[ ]*[a-f0-9]+: 8f e9 c0 98 18[ ]+vpshab \(%eax\),%xmm7,%xmm3
1037[ ]*[a-f0-9]+: 8f e9 70 98 c6[ ]+vpshab %xmm1,%xmm6,%xmm0
1038[ ]*[a-f0-9]+: 8f e9 70 98 de[ ]+vpshab %xmm1,%xmm6,%xmm3
1039[ ]*[a-f0-9]+: 8f e9 70 98 c0[ ]+vpshab %xmm1,%xmm0,%xmm0
1040[ ]*[a-f0-9]+: 8f e9 40 98 c0[ ]+vpshab %xmm7,%xmm0,%xmm0
1041[ ]*[a-f0-9]+: 8f e9 c8 98 1e[ ]+vpshab \(%esi\),%xmm6,%xmm3
1042[ ]*[a-f0-9]+: 8f e9 70 98 ff[ ]+vpshab %xmm1,%xmm7,%xmm7
1043[ ]*[a-f0-9]+: 8f e9 78 98 f8[ ]+vpshab %xmm0,%xmm0,%xmm7
1044[ ]*[a-f0-9]+: 8f e9 c0 98 1b[ ]+vpshab \(%ebx\),%xmm7,%xmm3
1045[ ]*[a-f0-9]+: 8f e9 c0 98 03[ ]+vpshab \(%ebx\),%xmm7,%xmm0
1046[ ]*[a-f0-9]+: 8f e9 c0 98 38[ ]+vpshab \(%eax\),%xmm7,%xmm7
1047[ ]*[a-f0-9]+: 8f e9 c8 98 00[ ]+vpshab \(%eax\),%xmm6,%xmm0
1048[ ]*[a-f0-9]+: 8f e9 70 98 df[ ]+vpshab %xmm1,%xmm7,%xmm3
1049[ ]*[a-f0-9]+: 8f e9 40 9a d8[ ]+vpshad %xmm7,%xmm0,%xmm3
1050[ ]*[a-f0-9]+: 8f e9 40 9a fe[ ]+vpshad %xmm7,%xmm6,%xmm7
1051[ ]*[a-f0-9]+: 8f e9 40 9a c0[ ]+vpshad %xmm7,%xmm0,%xmm0
1052[ ]*[a-f0-9]+: 8f e9 70 9a 1e[ ]+vpshad %xmm1,\(%esi\),%xmm3
1053[ ]*[a-f0-9]+: 8f e9 78 9a c7[ ]+vpshad %xmm0,%xmm7,%xmm0
1054[ ]*[a-f0-9]+: 8f e9 78 9a df[ ]+vpshad %xmm0,%xmm7,%xmm3
1055[ ]*[a-f0-9]+: 8f e9 78 9a c6[ ]+vpshad %xmm0,%xmm6,%xmm0
1056[ ]*[a-f0-9]+: 8f e9 70 9a c6[ ]+vpshad %xmm1,%xmm6,%xmm0
1057[ ]*[a-f0-9]+: 8f e9 40 9a df[ ]+vpshad %xmm7,%xmm7,%xmm3
1058[ ]*[a-f0-9]+: 8f e9 78 9a 3e[ ]+vpshad %xmm0,\(%esi\),%xmm7
1059[ ]*[a-f0-9]+: 8f e9 78 9a fe[ ]+vpshad %xmm0,%xmm6,%xmm7
1060[ ]*[a-f0-9]+: 8f e9 40 9a 1e[ ]+vpshad %xmm7,\(%esi\),%xmm3
1061[ ]*[a-f0-9]+: 8f e9 40 9a 02[ ]+vpshad %xmm7,\(%edx\),%xmm0
1062[ ]*[a-f0-9]+: 8f e9 70 9a 3e[ ]+vpshad %xmm1,\(%esi\),%xmm7
1063[ ]*[a-f0-9]+: 8f e9 70 9a c7[ ]+vpshad %xmm1,%xmm7,%xmm0
1064[ ]*[a-f0-9]+: 8f e9 78 9a 1a[ ]+vpshad %xmm0,\(%edx\),%xmm3
1065[ ]*[a-f0-9]+: 8f e9 f8 9a 1b[ ]+vpshad \(%ebx\),%xmm0,%xmm3
1066[ ]*[a-f0-9]+: 8f e9 f8 9a 3b[ ]+vpshad \(%ebx\),%xmm0,%xmm7
1067[ ]*[a-f0-9]+: 8f e9 f8 9a 06[ ]+vpshad \(%esi\),%xmm0,%xmm0
1068[ ]*[a-f0-9]+: 8f e9 c0 9a 18[ ]+vpshad \(%eax\),%xmm7,%xmm3
1069[ ]*[a-f0-9]+: 8f e9 70 9a c6[ ]+vpshad %xmm1,%xmm6,%xmm0
1070[ ]*[a-f0-9]+: 8f e9 70 9a de[ ]+vpshad %xmm1,%xmm6,%xmm3
1071[ ]*[a-f0-9]+: 8f e9 70 9a c0[ ]+vpshad %xmm1,%xmm0,%xmm0
1072[ ]*[a-f0-9]+: 8f e9 40 9a c0[ ]+vpshad %xmm7,%xmm0,%xmm0
1073[ ]*[a-f0-9]+: 8f e9 c8 9a 1e[ ]+vpshad \(%esi\),%xmm6,%xmm3
1074[ ]*[a-f0-9]+: 8f e9 70 9a ff[ ]+vpshad %xmm1,%xmm7,%xmm7
1075[ ]*[a-f0-9]+: 8f e9 78 9a f8[ ]+vpshad %xmm0,%xmm0,%xmm7
1076[ ]*[a-f0-9]+: 8f e9 c0 9a 1b[ ]+vpshad \(%ebx\),%xmm7,%xmm3
1077[ ]*[a-f0-9]+: 8f e9 c0 9a 03[ ]+vpshad \(%ebx\),%xmm7,%xmm0
1078[ ]*[a-f0-9]+: 8f e9 c0 9a 38[ ]+vpshad \(%eax\),%xmm7,%xmm7
1079[ ]*[a-f0-9]+: 8f e9 c8 9a 00[ ]+vpshad \(%eax\),%xmm6,%xmm0
1080[ ]*[a-f0-9]+: 8f e9 70 9a df[ ]+vpshad %xmm1,%xmm7,%xmm3
1081[ ]*[a-f0-9]+: 8f e9 40 9b d8[ ]+vpshaq %xmm7,%xmm0,%xmm3
1082[ ]*[a-f0-9]+: 8f e9 40 9b fe[ ]+vpshaq %xmm7,%xmm6,%xmm7
1083[ ]*[a-f0-9]+: 8f e9 40 9b c0[ ]+vpshaq %xmm7,%xmm0,%xmm0
1084[ ]*[a-f0-9]+: 8f e9 70 9b 1e[ ]+vpshaq %xmm1,\(%esi\),%xmm3
1085[ ]*[a-f0-9]+: 8f e9 78 9b c7[ ]+vpshaq %xmm0,%xmm7,%xmm0
1086[ ]*[a-f0-9]+: 8f e9 78 9b df[ ]+vpshaq %xmm0,%xmm7,%xmm3
1087[ ]*[a-f0-9]+: 8f e9 78 9b c6[ ]+vpshaq %xmm0,%xmm6,%xmm0
1088[ ]*[a-f0-9]+: 8f e9 70 9b c6[ ]+vpshaq %xmm1,%xmm6,%xmm0
1089[ ]*[a-f0-9]+: 8f e9 40 9b df[ ]+vpshaq %xmm7,%xmm7,%xmm3
1090[ ]*[a-f0-9]+: 8f e9 78 9b 3e[ ]+vpshaq %xmm0,\(%esi\),%xmm7
1091[ ]*[a-f0-9]+: 8f e9 78 9b fe[ ]+vpshaq %xmm0,%xmm6,%xmm7
1092[ ]*[a-f0-9]+: 8f e9 40 9b 1e[ ]+vpshaq %xmm7,\(%esi\),%xmm3
1093[ ]*[a-f0-9]+: 8f e9 40 9b 02[ ]+vpshaq %xmm7,\(%edx\),%xmm0
1094[ ]*[a-f0-9]+: 8f e9 70 9b 3e[ ]+vpshaq %xmm1,\(%esi\),%xmm7
1095[ ]*[a-f0-9]+: 8f e9 70 9b c7[ ]+vpshaq %xmm1,%xmm7,%xmm0
1096[ ]*[a-f0-9]+: 8f e9 78 9b 1a[ ]+vpshaq %xmm0,\(%edx\),%xmm3
1097[ ]*[a-f0-9]+: 8f e9 f8 9b 1b[ ]+vpshaq \(%ebx\),%xmm0,%xmm3
1098[ ]*[a-f0-9]+: 8f e9 f8 9b 3b[ ]+vpshaq \(%ebx\),%xmm0,%xmm7
1099[ ]*[a-f0-9]+: 8f e9 f8 9b 06[ ]+vpshaq \(%esi\),%xmm0,%xmm0
1100[ ]*[a-f0-9]+: 8f e9 c0 9b 18[ ]+vpshaq \(%eax\),%xmm7,%xmm3
1101[ ]*[a-f0-9]+: 8f e9 70 9b c6[ ]+vpshaq %xmm1,%xmm6,%xmm0
1102[ ]*[a-f0-9]+: 8f e9 70 9b de[ ]+vpshaq %xmm1,%xmm6,%xmm3
1103[ ]*[a-f0-9]+: 8f e9 70 9b c0[ ]+vpshaq %xmm1,%xmm0,%xmm0
1104[ ]*[a-f0-9]+: 8f e9 40 9b c0[ ]+vpshaq %xmm7,%xmm0,%xmm0
1105[ ]*[a-f0-9]+: 8f e9 c8 9b 1e[ ]+vpshaq \(%esi\),%xmm6,%xmm3
1106[ ]*[a-f0-9]+: 8f e9 70 9b ff[ ]+vpshaq %xmm1,%xmm7,%xmm7
1107[ ]*[a-f0-9]+: 8f e9 78 9b f8[ ]+vpshaq %xmm0,%xmm0,%xmm7
1108[ ]*[a-f0-9]+: 8f e9 c0 9b 1b[ ]+vpshaq \(%ebx\),%xmm7,%xmm3
1109[ ]*[a-f0-9]+: 8f e9 c0 9b 03[ ]+vpshaq \(%ebx\),%xmm7,%xmm0
1110[ ]*[a-f0-9]+: 8f e9 c0 9b 38[ ]+vpshaq \(%eax\),%xmm7,%xmm7
1111[ ]*[a-f0-9]+: 8f e9 c8 9b 00[ ]+vpshaq \(%eax\),%xmm6,%xmm0
1112[ ]*[a-f0-9]+: 8f e9 70 9b df[ ]+vpshaq %xmm1,%xmm7,%xmm3
1113[ ]*[a-f0-9]+: 8f e9 40 99 d8[ ]+vpshaw %xmm7,%xmm0,%xmm3
1114[ ]*[a-f0-9]+: 8f e9 40 99 fe[ ]+vpshaw %xmm7,%xmm6,%xmm7
1115[ ]*[a-f0-9]+: 8f e9 40 99 c0[ ]+vpshaw %xmm7,%xmm0,%xmm0
1116[ ]*[a-f0-9]+: 8f e9 70 99 1e[ ]+vpshaw %xmm1,\(%esi\),%xmm3
1117[ ]*[a-f0-9]+: 8f e9 78 99 c7[ ]+vpshaw %xmm0,%xmm7,%xmm0
1118[ ]*[a-f0-9]+: 8f e9 78 99 df[ ]+vpshaw %xmm0,%xmm7,%xmm3
1119[ ]*[a-f0-9]+: 8f e9 78 99 c6[ ]+vpshaw %xmm0,%xmm6,%xmm0
1120[ ]*[a-f0-9]+: 8f e9 70 99 c6[ ]+vpshaw %xmm1,%xmm6,%xmm0
1121[ ]*[a-f0-9]+: 8f e9 40 99 df[ ]+vpshaw %xmm7,%xmm7,%xmm3
1122[ ]*[a-f0-9]+: 8f e9 78 99 3e[ ]+vpshaw %xmm0,\(%esi\),%xmm7
1123[ ]*[a-f0-9]+: 8f e9 78 99 fe[ ]+vpshaw %xmm0,%xmm6,%xmm7
1124[ ]*[a-f0-9]+: 8f e9 40 99 1e[ ]+vpshaw %xmm7,\(%esi\),%xmm3
1125[ ]*[a-f0-9]+: 8f e9 40 99 02[ ]+vpshaw %xmm7,\(%edx\),%xmm0
1126[ ]*[a-f0-9]+: 8f e9 70 99 3e[ ]+vpshaw %xmm1,\(%esi\),%xmm7
1127[ ]*[a-f0-9]+: 8f e9 70 99 c7[ ]+vpshaw %xmm1,%xmm7,%xmm0
1128[ ]*[a-f0-9]+: 8f e9 78 99 1a[ ]+vpshaw %xmm0,\(%edx\),%xmm3
1129[ ]*[a-f0-9]+: 8f e9 f8 99 1b[ ]+vpshaw \(%ebx\),%xmm0,%xmm3
1130[ ]*[a-f0-9]+: 8f e9 f8 99 3b[ ]+vpshaw \(%ebx\),%xmm0,%xmm7
1131[ ]*[a-f0-9]+: 8f e9 f8 99 06[ ]+vpshaw \(%esi\),%xmm0,%xmm0
1132[ ]*[a-f0-9]+: 8f e9 c0 99 18[ ]+vpshaw \(%eax\),%xmm7,%xmm3
1133[ ]*[a-f0-9]+: 8f e9 70 99 c6[ ]+vpshaw %xmm1,%xmm6,%xmm0
1134[ ]*[a-f0-9]+: 8f e9 70 99 de[ ]+vpshaw %xmm1,%xmm6,%xmm3
1135[ ]*[a-f0-9]+: 8f e9 70 99 c0[ ]+vpshaw %xmm1,%xmm0,%xmm0
1136[ ]*[a-f0-9]+: 8f e9 40 99 c0[ ]+vpshaw %xmm7,%xmm0,%xmm0
1137[ ]*[a-f0-9]+: 8f e9 c8 99 1e[ ]+vpshaw \(%esi\),%xmm6,%xmm3
1138[ ]*[a-f0-9]+: 8f e9 70 99 ff[ ]+vpshaw %xmm1,%xmm7,%xmm7
1139[ ]*[a-f0-9]+: 8f e9 78 99 f8[ ]+vpshaw %xmm0,%xmm0,%xmm7
1140[ ]*[a-f0-9]+: 8f e9 c0 99 1b[ ]+vpshaw \(%ebx\),%xmm7,%xmm3
1141[ ]*[a-f0-9]+: 8f e9 c0 99 03[ ]+vpshaw \(%ebx\),%xmm7,%xmm0
1142[ ]*[a-f0-9]+: 8f e9 c0 99 38[ ]+vpshaw \(%eax\),%xmm7,%xmm7
1143[ ]*[a-f0-9]+: 8f e9 c8 99 00[ ]+vpshaw \(%eax\),%xmm6,%xmm0
1144[ ]*[a-f0-9]+: 8f e9 70 99 df[ ]+vpshaw %xmm1,%xmm7,%xmm3
1145[ ]*[a-f0-9]+: 8f e9 40 94 d8[ ]+vpshlb %xmm7,%xmm0,%xmm3
1146[ ]*[a-f0-9]+: 8f e9 40 94 fe[ ]+vpshlb %xmm7,%xmm6,%xmm7
1147[ ]*[a-f0-9]+: 8f e9 40 94 c0[ ]+vpshlb %xmm7,%xmm0,%xmm0
1148[ ]*[a-f0-9]+: 8f e9 70 94 1e[ ]+vpshlb %xmm1,\(%esi\),%xmm3
1149[ ]*[a-f0-9]+: 8f e9 78 94 c7[ ]+vpshlb %xmm0,%xmm7,%xmm0
1150[ ]*[a-f0-9]+: 8f e9 78 94 df[ ]+vpshlb %xmm0,%xmm7,%xmm3
1151[ ]*[a-f0-9]+: 8f e9 78 94 c6[ ]+vpshlb %xmm0,%xmm6,%xmm0
1152[ ]*[a-f0-9]+: 8f e9 70 94 c6[ ]+vpshlb %xmm1,%xmm6,%xmm0
1153[ ]*[a-f0-9]+: 8f e9 40 94 df[ ]+vpshlb %xmm7,%xmm7,%xmm3
1154[ ]*[a-f0-9]+: 8f e9 78 94 3e[ ]+vpshlb %xmm0,\(%esi\),%xmm7
1155[ ]*[a-f0-9]+: 8f e9 78 94 fe[ ]+vpshlb %xmm0,%xmm6,%xmm7
1156[ ]*[a-f0-9]+: 8f e9 40 94 1e[ ]+vpshlb %xmm7,\(%esi\),%xmm3
1157[ ]*[a-f0-9]+: 8f e9 40 94 02[ ]+vpshlb %xmm7,\(%edx\),%xmm0
1158[ ]*[a-f0-9]+: 8f e9 70 94 3e[ ]+vpshlb %xmm1,\(%esi\),%xmm7
1159[ ]*[a-f0-9]+: 8f e9 70 94 c7[ ]+vpshlb %xmm1,%xmm7,%xmm0
1160[ ]*[a-f0-9]+: 8f e9 78 94 1a[ ]+vpshlb %xmm0,\(%edx\),%xmm3
1161[ ]*[a-f0-9]+: 8f e9 f8 94 1b[ ]+vpshlb \(%ebx\),%xmm0,%xmm3
1162[ ]*[a-f0-9]+: 8f e9 f8 94 3b[ ]+vpshlb \(%ebx\),%xmm0,%xmm7
1163[ ]*[a-f0-9]+: 8f e9 f8 94 06[ ]+vpshlb \(%esi\),%xmm0,%xmm0
1164[ ]*[a-f0-9]+: 8f e9 c0 94 18[ ]+vpshlb \(%eax\),%xmm7,%xmm3
1165[ ]*[a-f0-9]+: 8f e9 70 94 c6[ ]+vpshlb %xmm1,%xmm6,%xmm0
1166[ ]*[a-f0-9]+: 8f e9 70 94 de[ ]+vpshlb %xmm1,%xmm6,%xmm3
1167[ ]*[a-f0-9]+: 8f e9 70 94 c0[ ]+vpshlb %xmm1,%xmm0,%xmm0
1168[ ]*[a-f0-9]+: 8f e9 40 94 c0[ ]+vpshlb %xmm7,%xmm0,%xmm0
1169[ ]*[a-f0-9]+: 8f e9 c8 94 1e[ ]+vpshlb \(%esi\),%xmm6,%xmm3
1170[ ]*[a-f0-9]+: 8f e9 70 94 ff[ ]+vpshlb %xmm1,%xmm7,%xmm7
1171[ ]*[a-f0-9]+: 8f e9 78 94 f8[ ]+vpshlb %xmm0,%xmm0,%xmm7
1172[ ]*[a-f0-9]+: 8f e9 c0 94 1b[ ]+vpshlb \(%ebx\),%xmm7,%xmm3
1173[ ]*[a-f0-9]+: 8f e9 c0 94 03[ ]+vpshlb \(%ebx\),%xmm7,%xmm0
1174[ ]*[a-f0-9]+: 8f e9 c0 94 38[ ]+vpshlb \(%eax\),%xmm7,%xmm7
1175[ ]*[a-f0-9]+: 8f e9 c8 94 00[ ]+vpshlb \(%eax\),%xmm6,%xmm0
1176[ ]*[a-f0-9]+: 8f e9 70 94 df[ ]+vpshlb %xmm1,%xmm7,%xmm3
1177[ ]*[a-f0-9]+: 8f e9 40 96 d8[ ]+vpshld %xmm7,%xmm0,%xmm3
1178[ ]*[a-f0-9]+: 8f e9 40 96 fe[ ]+vpshld %xmm7,%xmm6,%xmm7
1179[ ]*[a-f0-9]+: 8f e9 40 96 c0[ ]+vpshld %xmm7,%xmm0,%xmm0
1180[ ]*[a-f0-9]+: 8f e9 70 96 1e[ ]+vpshld %xmm1,\(%esi\),%xmm3
1181[ ]*[a-f0-9]+: 8f e9 78 96 c7[ ]+vpshld %xmm0,%xmm7,%xmm0
1182[ ]*[a-f0-9]+: 8f e9 78 96 df[ ]+vpshld %xmm0,%xmm7,%xmm3
1183[ ]*[a-f0-9]+: 8f e9 78 96 c6[ ]+vpshld %xmm0,%xmm6,%xmm0
1184[ ]*[a-f0-9]+: 8f e9 70 96 c6[ ]+vpshld %xmm1,%xmm6,%xmm0
1185[ ]*[a-f0-9]+: 8f e9 40 96 df[ ]+vpshld %xmm7,%xmm7,%xmm3
1186[ ]*[a-f0-9]+: 8f e9 78 96 3e[ ]+vpshld %xmm0,\(%esi\),%xmm7
1187[ ]*[a-f0-9]+: 8f e9 78 96 fe[ ]+vpshld %xmm0,%xmm6,%xmm7
1188[ ]*[a-f0-9]+: 8f e9 40 96 1e[ ]+vpshld %xmm7,\(%esi\),%xmm3
1189[ ]*[a-f0-9]+: 8f e9 40 96 02[ ]+vpshld %xmm7,\(%edx\),%xmm0
1190[ ]*[a-f0-9]+: 8f e9 70 96 3e[ ]+vpshld %xmm1,\(%esi\),%xmm7
1191[ ]*[a-f0-9]+: 8f e9 70 96 c7[ ]+vpshld %xmm1,%xmm7,%xmm0
1192[ ]*[a-f0-9]+: 8f e9 78 96 1a[ ]+vpshld %xmm0,\(%edx\),%xmm3
1193[ ]*[a-f0-9]+: 8f e9 f8 96 1b[ ]+vpshld \(%ebx\),%xmm0,%xmm3
1194[ ]*[a-f0-9]+: 8f e9 f8 96 3b[ ]+vpshld \(%ebx\),%xmm0,%xmm7
1195[ ]*[a-f0-9]+: 8f e9 f8 96 06[ ]+vpshld \(%esi\),%xmm0,%xmm0
1196[ ]*[a-f0-9]+: 8f e9 c0 96 18[ ]+vpshld \(%eax\),%xmm7,%xmm3
1197[ ]*[a-f0-9]+: 8f e9 70 96 c6[ ]+vpshld %xmm1,%xmm6,%xmm0
1198[ ]*[a-f0-9]+: 8f e9 70 96 de[ ]+vpshld %xmm1,%xmm6,%xmm3
1199[ ]*[a-f0-9]+: 8f e9 70 96 c0[ ]+vpshld %xmm1,%xmm0,%xmm0
1200[ ]*[a-f0-9]+: 8f e9 40 96 c0[ ]+vpshld %xmm7,%xmm0,%xmm0
1201[ ]*[a-f0-9]+: 8f e9 c8 96 1e[ ]+vpshld \(%esi\),%xmm6,%xmm3
1202[ ]*[a-f0-9]+: 8f e9 70 96 ff[ ]+vpshld %xmm1,%xmm7,%xmm7
1203[ ]*[a-f0-9]+: 8f e9 78 96 f8[ ]+vpshld %xmm0,%xmm0,%xmm7
1204[ ]*[a-f0-9]+: 8f e9 c0 96 1b[ ]+vpshld \(%ebx\),%xmm7,%xmm3
1205[ ]*[a-f0-9]+: 8f e9 c0 96 03[ ]+vpshld \(%ebx\),%xmm7,%xmm0
1206[ ]*[a-f0-9]+: 8f e9 c0 96 38[ ]+vpshld \(%eax\),%xmm7,%xmm7
1207[ ]*[a-f0-9]+: 8f e9 c8 96 00[ ]+vpshld \(%eax\),%xmm6,%xmm0
1208[ ]*[a-f0-9]+: 8f e9 70 96 df[ ]+vpshld %xmm1,%xmm7,%xmm3
1209[ ]*[a-f0-9]+: 8f e9 40 97 d8[ ]+vpshlq %xmm7,%xmm0,%xmm3
1210[ ]*[a-f0-9]+: 8f e9 40 97 fe[ ]+vpshlq %xmm7,%xmm6,%xmm7
1211[ ]*[a-f0-9]+: 8f e9 40 97 c0[ ]+vpshlq %xmm7,%xmm0,%xmm0
1212[ ]*[a-f0-9]+: 8f e9 70 97 1e[ ]+vpshlq %xmm1,\(%esi\),%xmm3
1213[ ]*[a-f0-9]+: 8f e9 78 97 c7[ ]+vpshlq %xmm0,%xmm7,%xmm0
1214[ ]*[a-f0-9]+: 8f e9 78 97 df[ ]+vpshlq %xmm0,%xmm7,%xmm3
1215[ ]*[a-f0-9]+: 8f e9 78 97 c6[ ]+vpshlq %xmm0,%xmm6,%xmm0
1216[ ]*[a-f0-9]+: 8f e9 70 97 c6[ ]+vpshlq %xmm1,%xmm6,%xmm0
1217[ ]*[a-f0-9]+: 8f e9 40 97 df[ ]+vpshlq %xmm7,%xmm7,%xmm3
1218[ ]*[a-f0-9]+: 8f e9 78 97 3e[ ]+vpshlq %xmm0,\(%esi\),%xmm7
1219[ ]*[a-f0-9]+: 8f e9 78 97 fe[ ]+vpshlq %xmm0,%xmm6,%xmm7
1220[ ]*[a-f0-9]+: 8f e9 40 97 1e[ ]+vpshlq %xmm7,\(%esi\),%xmm3
1221[ ]*[a-f0-9]+: 8f e9 40 97 02[ ]+vpshlq %xmm7,\(%edx\),%xmm0
1222[ ]*[a-f0-9]+: 8f e9 70 97 3e[ ]+vpshlq %xmm1,\(%esi\),%xmm7
1223[ ]*[a-f0-9]+: 8f e9 70 97 c7[ ]+vpshlq %xmm1,%xmm7,%xmm0
1224[ ]*[a-f0-9]+: 8f e9 78 97 1a[ ]+vpshlq %xmm0,\(%edx\),%xmm3
1225[ ]*[a-f0-9]+: 8f e9 f8 97 1b[ ]+vpshlq \(%ebx\),%xmm0,%xmm3
1226[ ]*[a-f0-9]+: 8f e9 f8 97 3b[ ]+vpshlq \(%ebx\),%xmm0,%xmm7
1227[ ]*[a-f0-9]+: 8f e9 f8 97 06[ ]+vpshlq \(%esi\),%xmm0,%xmm0
1228[ ]*[a-f0-9]+: 8f e9 c0 97 18[ ]+vpshlq \(%eax\),%xmm7,%xmm3
1229[ ]*[a-f0-9]+: 8f e9 70 97 c6[ ]+vpshlq %xmm1,%xmm6,%xmm0
1230[ ]*[a-f0-9]+: 8f e9 70 97 de[ ]+vpshlq %xmm1,%xmm6,%xmm3
1231[ ]*[a-f0-9]+: 8f e9 70 97 c0[ ]+vpshlq %xmm1,%xmm0,%xmm0
1232[ ]*[a-f0-9]+: 8f e9 40 97 c0[ ]+vpshlq %xmm7,%xmm0,%xmm0
1233[ ]*[a-f0-9]+: 8f e9 c8 97 1e[ ]+vpshlq \(%esi\),%xmm6,%xmm3
1234[ ]*[a-f0-9]+: 8f e9 70 97 ff[ ]+vpshlq %xmm1,%xmm7,%xmm7
1235[ ]*[a-f0-9]+: 8f e9 78 97 f8[ ]+vpshlq %xmm0,%xmm0,%xmm7
1236[ ]*[a-f0-9]+: 8f e9 c0 97 1b[ ]+vpshlq \(%ebx\),%xmm7,%xmm3
1237[ ]*[a-f0-9]+: 8f e9 c0 97 03[ ]+vpshlq \(%ebx\),%xmm7,%xmm0
1238[ ]*[a-f0-9]+: 8f e9 c0 97 38[ ]+vpshlq \(%eax\),%xmm7,%xmm7
1239[ ]*[a-f0-9]+: 8f e9 c8 97 00[ ]+vpshlq \(%eax\),%xmm6,%xmm0
1240[ ]*[a-f0-9]+: 8f e9 70 97 df[ ]+vpshlq %xmm1,%xmm7,%xmm3
1241[ ]*[a-f0-9]+: 8f e9 40 95 d8[ ]+vpshlw %xmm7,%xmm0,%xmm3
1242[ ]*[a-f0-9]+: 8f e9 40 95 fe[ ]+vpshlw %xmm7,%xmm6,%xmm7
1243[ ]*[a-f0-9]+: 8f e9 40 95 c0[ ]+vpshlw %xmm7,%xmm0,%xmm0
1244[ ]*[a-f0-9]+: 8f e9 70 95 1e[ ]+vpshlw %xmm1,\(%esi\),%xmm3
1245[ ]*[a-f0-9]+: 8f e9 78 95 c7[ ]+vpshlw %xmm0,%xmm7,%xmm0
1246[ ]*[a-f0-9]+: 8f e9 78 95 df[ ]+vpshlw %xmm0,%xmm7,%xmm3
1247[ ]*[a-f0-9]+: 8f e9 78 95 c6[ ]+vpshlw %xmm0,%xmm6,%xmm0
1248[ ]*[a-f0-9]+: 8f e9 70 95 c6[ ]+vpshlw %xmm1,%xmm6,%xmm0
1249[ ]*[a-f0-9]+: 8f e9 40 95 df[ ]+vpshlw %xmm7,%xmm7,%xmm3
1250[ ]*[a-f0-9]+: 8f e9 78 95 3e[ ]+vpshlw %xmm0,\(%esi\),%xmm7
1251[ ]*[a-f0-9]+: 8f e9 78 95 fe[ ]+vpshlw %xmm0,%xmm6,%xmm7
1252[ ]*[a-f0-9]+: 8f e9 40 95 1e[ ]+vpshlw %xmm7,\(%esi\),%xmm3
1253[ ]*[a-f0-9]+: 8f e9 40 95 02[ ]+vpshlw %xmm7,\(%edx\),%xmm0
1254[ ]*[a-f0-9]+: 8f e9 70 95 3e[ ]+vpshlw %xmm1,\(%esi\),%xmm7
1255[ ]*[a-f0-9]+: 8f e9 70 95 c7[ ]+vpshlw %xmm1,%xmm7,%xmm0
1256[ ]*[a-f0-9]+: 8f e9 78 95 1a[ ]+vpshlw %xmm0,\(%edx\),%xmm3
1257[ ]*[a-f0-9]+: 8f e9 f8 95 1b[ ]+vpshlw \(%ebx\),%xmm0,%xmm3
1258[ ]*[a-f0-9]+: 8f e9 f8 95 3b[ ]+vpshlw \(%ebx\),%xmm0,%xmm7
1259[ ]*[a-f0-9]+: 8f e9 f8 95 06[ ]+vpshlw \(%esi\),%xmm0,%xmm0
1260[ ]*[a-f0-9]+: 8f e9 c0 95 18[ ]+vpshlw \(%eax\),%xmm7,%xmm3
1261[ ]*[a-f0-9]+: 8f e9 70 95 c6[ ]+vpshlw %xmm1,%xmm6,%xmm0
1262[ ]*[a-f0-9]+: 8f e9 70 95 de[ ]+vpshlw %xmm1,%xmm6,%xmm3
1263[ ]*[a-f0-9]+: 8f e9 70 95 c0[ ]+vpshlw %xmm1,%xmm0,%xmm0
1264[ ]*[a-f0-9]+: 8f e9 40 95 c0[ ]+vpshlw %xmm7,%xmm0,%xmm0
1265[ ]*[a-f0-9]+: 8f e9 c8 95 1e[ ]+vpshlw \(%esi\),%xmm6,%xmm3
1266[ ]*[a-f0-9]+: 8f e9 70 95 ff[ ]+vpshlw %xmm1,%xmm7,%xmm7
1267[ ]*[a-f0-9]+: 8f e9 78 95 f8[ ]+vpshlw %xmm0,%xmm0,%xmm7
1268[ ]*[a-f0-9]+: 8f e9 c0 95 1b[ ]+vpshlw \(%ebx\),%xmm7,%xmm3
1269[ ]*[a-f0-9]+: 8f e9 c0 95 03[ ]+vpshlw \(%ebx\),%xmm7,%xmm0
1270[ ]*[a-f0-9]+: 8f e9 c0 95 38[ ]+vpshlw \(%eax\),%xmm7,%xmm7
1271[ ]*[a-f0-9]+: 8f e9 c8 95 00[ ]+vpshlw \(%eax\),%xmm6,%xmm0
1272[ ]*[a-f0-9]+: 8f e9 70 95 df[ ]+vpshlw %xmm1,%xmm7,%xmm3
be92cb14
JB
1273[ ]*[a-f0-9]+: 8f e8 78 cc c6 00[ ]+vpcomltb %xmm6,%xmm0,%xmm0
1274[ ]*[a-f0-9]+: 8f e8 78 cc fe 00[ ]+vpcomltb %xmm6,%xmm0,%xmm7
1275[ ]*[a-f0-9]+: 8f e8 78 cc 04 47 00[ ]+vpcomltb \(%edi,%eax,2\),%xmm0,%xmm0
1276[ ]*[a-f0-9]+: 8f e8 40 cc c6 00[ ]+vpcomltb %xmm6,%xmm7,%xmm0
1277[ ]*[a-f0-9]+: 8f e8 78 cc ff 00[ ]+vpcomltb %xmm7,%xmm0,%xmm7
1278[ ]*[a-f0-9]+: 8f e8 40 cc 38 00[ ]+vpcomltb \(%eax\),%xmm7,%xmm7
1279[ ]*[a-f0-9]+: 8f e8 58 cc c6 00[ ]+vpcomltb %xmm6,%xmm4,%xmm0
1280[ ]*[a-f0-9]+: 8f e8 78 cc 3c 0a 00[ ]+vpcomltb \(%edx,%ecx,1\),%xmm0,%xmm7
1281[ ]*[a-f0-9]+: 8f e8 40 ce 00 00[ ]+vpcomltd \(%eax\),%xmm7,%xmm0
1282[ ]*[a-f0-9]+: 8f e8 58 ce 3c 47 00[ ]+vpcomltd \(%edi,%eax,2\),%xmm4,%xmm7
1283[ ]*[a-f0-9]+: 8f e8 78 ce 00 00[ ]+vpcomltd \(%eax\),%xmm0,%xmm0
1284[ ]*[a-f0-9]+: 8f e8 58 ce 1c 47 00[ ]+vpcomltd \(%edi,%eax,2\),%xmm4,%xmm3
1285[ ]*[a-f0-9]+: 8f e8 58 ce 04 47 00[ ]+vpcomltd \(%edi,%eax,2\),%xmm4,%xmm0
1286[ ]*[a-f0-9]+: 8f e8 78 ce 7c 10 01 00[ ]+vpcomltd 0x1\(%eax,%edx,1\),%xmm0,%xmm7
1287[ ]*[a-f0-9]+: 8f e8 78 ce 44 10 01 00[ ]+vpcomltd 0x1\(%eax,%edx,1\),%xmm0,%xmm0
1288[ ]*[a-f0-9]+: 8f e8 78 ce 1c 47 00[ ]+vpcomltd \(%edi,%eax,2\),%xmm0,%xmm3
1289[ ]*[a-f0-9]+: 8f e8 58 cf 3c 47 00[ ]+vpcomltq \(%edi,%eax,2\),%xmm4,%xmm7
1290[ ]*[a-f0-9]+: 8f e8 58 cf 44 10 01 00[ ]+vpcomltq 0x1\(%eax,%edx,1\),%xmm4,%xmm0
1291[ ]*[a-f0-9]+: 8f e8 78 cf 3c 0a 00[ ]+vpcomltq \(%edx,%ecx,1\),%xmm0,%xmm7
1292[ ]*[a-f0-9]+: 8f e8 40 cf d8 00[ ]+vpcomltq %xmm0,%xmm7,%xmm3
1293[ ]*[a-f0-9]+: 8f e8 40 cf 5c 10 01 00[ ]+vpcomltq 0x1\(%eax,%edx,1\),%xmm7,%xmm3
1294[ ]*[a-f0-9]+: 8f e8 78 cf 1c 47 00[ ]+vpcomltq \(%edi,%eax,2\),%xmm0,%xmm3
1295[ ]*[a-f0-9]+: 8f e8 40 cf 38 00[ ]+vpcomltq \(%eax\),%xmm7,%xmm7
1296[ ]*[a-f0-9]+: 8f e8 78 cf fe 00[ ]+vpcomltq %xmm6,%xmm0,%xmm7
1297[ ]*[a-f0-9]+: 8f e8 58 ec 1c 0a 00[ ]+vpcomltub \(%edx,%ecx,1\),%xmm4,%xmm3
1298[ ]*[a-f0-9]+: 8f e8 58 ec 00 00[ ]+vpcomltub \(%eax\),%xmm4,%xmm0
1299[ ]*[a-f0-9]+: 8f e8 40 ec df 00[ ]+vpcomltub %xmm7,%xmm7,%xmm3
1300[ ]*[a-f0-9]+: 8f e8 40 ec d8 00[ ]+vpcomltub %xmm0,%xmm7,%xmm3
1301[ ]*[a-f0-9]+: 8f e8 40 ec c7 00[ ]+vpcomltub %xmm7,%xmm7,%xmm0
1302[ ]*[a-f0-9]+: 8f e8 78 ec fe 00[ ]+vpcomltub %xmm6,%xmm0,%xmm7
1303[ ]*[a-f0-9]+: 8f e8 78 ec df 00[ ]+vpcomltub %xmm7,%xmm0,%xmm3
1304[ ]*[a-f0-9]+: 8f e8 40 ec 04 0a 00[ ]+vpcomltub \(%edx,%ecx,1\),%xmm7,%xmm0
1305[ ]*[a-f0-9]+: 8f e8 40 ee 04 0a 00[ ]+vpcomltud \(%edx,%ecx,1\),%xmm7,%xmm0
1306[ ]*[a-f0-9]+: 8f e8 78 ee 3c 0a 00[ ]+vpcomltud \(%edx,%ecx,1\),%xmm0,%xmm7
1307[ ]*[a-f0-9]+: 8f e8 58 ee 3c 0a 00[ ]+vpcomltud \(%edx,%ecx,1\),%xmm4,%xmm7
1308[ ]*[a-f0-9]+: 8f e8 40 ee 1c 0a 00[ ]+vpcomltud \(%edx,%ecx,1\),%xmm7,%xmm3
1309[ ]*[a-f0-9]+: 8f e8 58 ee c7 00[ ]+vpcomltud %xmm7,%xmm4,%xmm0
1310[ ]*[a-f0-9]+: 8f e8 40 ee d8 00[ ]+vpcomltud %xmm0,%xmm7,%xmm3
1311[ ]*[a-f0-9]+: 8f e8 58 ee 5c 10 01 00[ ]+vpcomltud 0x1\(%eax,%edx,1\),%xmm4,%xmm3
1312[ ]*[a-f0-9]+: 8f e8 58 ee ff 00[ ]+vpcomltud %xmm7,%xmm4,%xmm7
1313[ ]*[a-f0-9]+: 8f e8 40 ef 04 47 00[ ]+vpcomltuq \(%edi,%eax,2\),%xmm7,%xmm0
1314[ ]*[a-f0-9]+: 8f e8 58 ef 38 00[ ]+vpcomltuq \(%eax\),%xmm4,%xmm7
1315[ ]*[a-f0-9]+: 8f e8 40 ef de 00[ ]+vpcomltuq %xmm6,%xmm7,%xmm3
1316[ ]*[a-f0-9]+: 8f e8 58 ef c7 00[ ]+vpcomltuq %xmm7,%xmm4,%xmm0
1317[ ]*[a-f0-9]+: 8f e8 78 ef 5c 10 01 00[ ]+vpcomltuq 0x1\(%eax,%edx,1\),%xmm0,%xmm3
1318[ ]*[a-f0-9]+: 8f e8 58 ef 1c 47 00[ ]+vpcomltuq \(%edi,%eax,2\),%xmm4,%xmm3
1319[ ]*[a-f0-9]+: 8f e8 58 ef 04 0a 00[ ]+vpcomltuq \(%edx,%ecx,1\),%xmm4,%xmm0
1320[ ]*[a-f0-9]+: 8f e8 40 ef f8 00[ ]+vpcomltuq %xmm0,%xmm7,%xmm7
1321[ ]*[a-f0-9]+: 8f e8 78 ed ff 00[ ]+vpcomltuw %xmm7,%xmm0,%xmm7
1322[ ]*[a-f0-9]+: 8f e8 78 ed 44 10 01 00[ ]+vpcomltuw 0x1\(%eax,%edx,1\),%xmm0,%xmm0
1323[ ]*[a-f0-9]+: 8f e8 58 ed 04 47 00[ ]+vpcomltuw \(%edi,%eax,2\),%xmm4,%xmm0
1324[ ]*[a-f0-9]+: 8f e8 40 ed 04 0a 00[ ]+vpcomltuw \(%edx,%ecx,1\),%xmm7,%xmm0
1325[ ]*[a-f0-9]+: 8f e8 78 ed 3c 47 00[ ]+vpcomltuw \(%edi,%eax,2\),%xmm0,%xmm7
1326[ ]*[a-f0-9]+: 8f e8 58 ed 3c 47 00[ ]+vpcomltuw \(%edi,%eax,2\),%xmm4,%xmm7
1327[ ]*[a-f0-9]+: 8f e8 58 ed 04 0a 00[ ]+vpcomltuw \(%edx,%ecx,1\),%xmm4,%xmm0
1328[ ]*[a-f0-9]+: 8f e8 40 ed df 00[ ]+vpcomltuw %xmm7,%xmm7,%xmm3
1329[ ]*[a-f0-9]+: 8f e8 78 cd fe 00[ ]+vpcomltw %xmm6,%xmm0,%xmm7
1330[ ]*[a-f0-9]+: 8f e8 58 cd de 00[ ]+vpcomltw %xmm6,%xmm4,%xmm3
1331[ ]*[a-f0-9]+: 8f e8 58 cd 18 00[ ]+vpcomltw \(%eax\),%xmm4,%xmm3
1332[ ]*[a-f0-9]+: 8f e8 78 cd 1c 47 00[ ]+vpcomltw \(%edi,%eax,2\),%xmm0,%xmm3
1333[ ]*[a-f0-9]+: 8f e8 58 cd ff 00[ ]+vpcomltw %xmm7,%xmm4,%xmm7
1334[ ]*[a-f0-9]+: 8f e8 40 cd 5c 10 01 00[ ]+vpcomltw 0x1\(%eax,%edx,1\),%xmm7,%xmm3
1335[ ]*[a-f0-9]+: 8f e8 58 cd 7c 10 01 00[ ]+vpcomltw 0x1\(%eax,%edx,1\),%xmm4,%xmm7
1336[ ]*[a-f0-9]+: 8f e8 78 cd 44 10 01 00[ ]+vpcomltw 0x1\(%eax,%edx,1\),%xmm0,%xmm0
1337[ ]*[a-f0-9]+: 8f e8 40 cc 04 0a 01[ ]+vpcomleb \(%edx,%ecx,1\),%xmm7,%xmm0
1338[ ]*[a-f0-9]+: 8f e8 58 cc c6 01[ ]+vpcomleb %xmm6,%xmm4,%xmm0
1339[ ]*[a-f0-9]+: 8f e8 58 cc 04 0a 01[ ]+vpcomleb \(%edx,%ecx,1\),%xmm4,%xmm0
1340[ ]*[a-f0-9]+: 8f e8 40 cc 7c 10 01 01[ ]+vpcomleb 0x1\(%eax,%edx,1\),%xmm7,%xmm7
1341[ ]*[a-f0-9]+: 8f e8 58 cc 44 10 01 01[ ]+vpcomleb 0x1\(%eax,%edx,1\),%xmm4,%xmm0
1342[ ]*[a-f0-9]+: 8f e8 40 cc 38 01[ ]+vpcomleb \(%eax\),%xmm7,%xmm7
1343[ ]*[a-f0-9]+: 8f e8 40 cc 04 47 01[ ]+vpcomleb \(%edi,%eax,2\),%xmm7,%xmm0
1344[ ]*[a-f0-9]+: 8f e8 58 cc d8 01[ ]+vpcomleb %xmm0,%xmm4,%xmm3
1345[ ]*[a-f0-9]+: 8f e8 40 ce 00 01[ ]+vpcomled \(%eax\),%xmm7,%xmm0
1346[ ]*[a-f0-9]+: 8f e8 58 ce 3c 0a 01[ ]+vpcomled \(%edx,%ecx,1\),%xmm4,%xmm7
1347[ ]*[a-f0-9]+: 8f e8 78 ce 1c 47 01[ ]+vpcomled \(%edi,%eax,2\),%xmm0,%xmm3
1348[ ]*[a-f0-9]+: 8f e8 40 ce 04 0a 01[ ]+vpcomled \(%edx,%ecx,1\),%xmm7,%xmm0
1349[ ]*[a-f0-9]+: 8f e8 40 ce df 01[ ]+vpcomled %xmm7,%xmm7,%xmm3
1350[ ]*[a-f0-9]+: 8f e8 78 ce d8 01[ ]+vpcomled %xmm0,%xmm0,%xmm3
1351[ ]*[a-f0-9]+: 8f e8 40 ce 7c 10 01 01[ ]+vpcomled 0x1\(%eax,%edx,1\),%xmm7,%xmm7
1352[ ]*[a-f0-9]+: 8f e8 58 ce 1c 47 01[ ]+vpcomled \(%edi,%eax,2\),%xmm4,%xmm3
1353[ ]*[a-f0-9]+: 8f e8 58 cf 7c 10 01 01[ ]+vpcomleq 0x1\(%eax,%edx,1\),%xmm4,%xmm7
1354[ ]*[a-f0-9]+: 8f e8 78 cf 5c 10 01 01[ ]+vpcomleq 0x1\(%eax,%edx,1\),%xmm0,%xmm3
1355[ ]*[a-f0-9]+: 8f e8 78 cf ff 01[ ]+vpcomleq %xmm7,%xmm0,%xmm7
1356[ ]*[a-f0-9]+: 8f e8 40 cf 3c 47 01[ ]+vpcomleq \(%edi,%eax,2\),%xmm7,%xmm7
1357[ ]*[a-f0-9]+: 8f e8 78 cf 1c 0a 01[ ]+vpcomleq \(%edx,%ecx,1\),%xmm0,%xmm3
1358[ ]*[a-f0-9]+: 8f e8 78 cf 44 10 01 01[ ]+vpcomleq 0x1\(%eax,%edx,1\),%xmm0,%xmm0
1359[ ]*[a-f0-9]+: 8f e8 78 cf d8 01[ ]+vpcomleq %xmm0,%xmm0,%xmm3
1360[ ]*[a-f0-9]+: 8f e8 40 cf de 01[ ]+vpcomleq %xmm6,%xmm7,%xmm3
1361[ ]*[a-f0-9]+: 8f e8 40 ec c0 01[ ]+vpcomleub %xmm0,%xmm7,%xmm0
1362[ ]*[a-f0-9]+: 8f e8 58 ec f8 01[ ]+vpcomleub %xmm0,%xmm4,%xmm7
1363[ ]*[a-f0-9]+: 8f e8 40 ec f8 01[ ]+vpcomleub %xmm0,%xmm7,%xmm7
1364[ ]*[a-f0-9]+: 8f e8 78 ec 38 01[ ]+vpcomleub \(%eax\),%xmm0,%xmm7
1365[ ]*[a-f0-9]+: 8f e8 40 ec d8 01[ ]+vpcomleub %xmm0,%xmm7,%xmm3
1366[ ]*[a-f0-9]+: 8f e8 78 ec ff 01[ ]+vpcomleub %xmm7,%xmm0,%xmm7
1367[ ]*[a-f0-9]+: 8f e8 78 ec c7 01[ ]+vpcomleub %xmm7,%xmm0,%xmm0
1368[ ]*[a-f0-9]+: 8f e8 40 ec df 01[ ]+vpcomleub %xmm7,%xmm7,%xmm3
1369[ ]*[a-f0-9]+: 8f e8 40 ee 38 01[ ]+vpcomleud \(%eax\),%xmm7,%xmm7
1370[ ]*[a-f0-9]+: 8f e8 58 ee 5c 10 01 01[ ]+vpcomleud 0x1\(%eax,%edx,1\),%xmm4,%xmm3
1371[ ]*[a-f0-9]+: 8f e8 58 ee 04 47 01[ ]+vpcomleud \(%edi,%eax,2\),%xmm4,%xmm0
1372[ ]*[a-f0-9]+: 8f e8 40 ee 1c 0a 01[ ]+vpcomleud \(%edx,%ecx,1\),%xmm7,%xmm3
1373[ ]*[a-f0-9]+: 8f e8 58 ee 1c 47 01[ ]+vpcomleud \(%edi,%eax,2\),%xmm4,%xmm3
1374[ ]*[a-f0-9]+: 8f e8 40 ee de 01[ ]+vpcomleud %xmm6,%xmm7,%xmm3
1375[ ]*[a-f0-9]+: 8f e8 78 ee df 01[ ]+vpcomleud %xmm7,%xmm0,%xmm3
1376[ ]*[a-f0-9]+: 8f e8 58 ee d8 01[ ]+vpcomleud %xmm0,%xmm4,%xmm3
1377[ ]*[a-f0-9]+: 8f e8 58 ef fe 01[ ]+vpcomleuq %xmm6,%xmm4,%xmm7
1378[ ]*[a-f0-9]+: 8f e8 58 ef de 01[ ]+vpcomleuq %xmm6,%xmm4,%xmm3
1379[ ]*[a-f0-9]+: 8f e8 78 ef 7c 10 01 01[ ]+vpcomleuq 0x1\(%eax,%edx,1\),%xmm0,%xmm7
1380[ ]*[a-f0-9]+: 8f e8 78 ef 04 47 01[ ]+vpcomleuq \(%edi,%eax,2\),%xmm0,%xmm0
1381[ ]*[a-f0-9]+: 8f e8 78 ef de 01[ ]+vpcomleuq %xmm6,%xmm0,%xmm3
1382[ ]*[a-f0-9]+: 8f e8 40 ef 04 0a 01[ ]+vpcomleuq \(%edx,%ecx,1\),%xmm7,%xmm0
1383[ ]*[a-f0-9]+: 8f e8 58 ef c0 01[ ]+vpcomleuq %xmm0,%xmm4,%xmm0
1384[ ]*[a-f0-9]+: 8f e8 78 ef 3c 0a 01[ ]+vpcomleuq \(%edx,%ecx,1\),%xmm0,%xmm7
1385[ ]*[a-f0-9]+: 8f e8 58 ed 3c 0a 01[ ]+vpcomleuw \(%edx,%ecx,1\),%xmm4,%xmm7
1386[ ]*[a-f0-9]+: 8f e8 40 ed 1c 47 01[ ]+vpcomleuw \(%edi,%eax,2\),%xmm7,%xmm3
1387[ ]*[a-f0-9]+: 8f e8 58 ed c6 01[ ]+vpcomleuw %xmm6,%xmm4,%xmm0
1388[ ]*[a-f0-9]+: 8f e8 78 ed c7 01[ ]+vpcomleuw %xmm7,%xmm0,%xmm0
1389[ ]*[a-f0-9]+: 8f e8 58 ed 44 10 01 01[ ]+vpcomleuw 0x1\(%eax,%edx,1\),%xmm4,%xmm0
1390[ ]*[a-f0-9]+: 8f e8 78 ed 5c 10 01 01[ ]+vpcomleuw 0x1\(%eax,%edx,1\),%xmm0,%xmm3
1391[ ]*[a-f0-9]+: 8f e8 40 ed fe 01[ ]+vpcomleuw %xmm6,%xmm7,%xmm7
1392[ ]*[a-f0-9]+: 8f e8 78 ed d8 01[ ]+vpcomleuw %xmm0,%xmm0,%xmm3
1393[ ]*[a-f0-9]+: 8f e8 40 cd 44 10 01 01[ ]+vpcomlew 0x1\(%eax,%edx,1\),%xmm7,%xmm0
1394[ ]*[a-f0-9]+: 8f e8 58 cd df 01[ ]+vpcomlew %xmm7,%xmm4,%xmm3
1395[ ]*[a-f0-9]+: 8f e8 78 cd ff 01[ ]+vpcomlew %xmm7,%xmm0,%xmm7
1396[ ]*[a-f0-9]+: 8f e8 78 cd 44 10 01 01[ ]+vpcomlew 0x1\(%eax,%edx,1\),%xmm0,%xmm0
1397[ ]*[a-f0-9]+: 8f e8 40 cd 00 01[ ]+vpcomlew \(%eax\),%xmm7,%xmm0
1398[ ]*[a-f0-9]+: 8f e8 40 cd 1c 47 01[ ]+vpcomlew \(%edi,%eax,2\),%xmm7,%xmm3
1399[ ]*[a-f0-9]+: 8f e8 78 cd 3c 0a 01[ ]+vpcomlew \(%edx,%ecx,1\),%xmm0,%xmm7
1400[ ]*[a-f0-9]+: 8f e8 58 cd 3c 0a 01[ ]+vpcomlew \(%edx,%ecx,1\),%xmm4,%xmm7
1401[ ]*[a-f0-9]+: 8f e8 78 cc 00 02[ ]+vpcomgtb \(%eax\),%xmm0,%xmm0
1402[ ]*[a-f0-9]+: 8f e8 40 cc 18 02[ ]+vpcomgtb \(%eax\),%xmm7,%xmm3
1403[ ]*[a-f0-9]+: 8f e8 78 cc 38 02[ ]+vpcomgtb \(%eax\),%xmm0,%xmm7
1404[ ]*[a-f0-9]+: 8f e8 58 cc 04 47 02[ ]+vpcomgtb \(%edi,%eax,2\),%xmm4,%xmm0
1405[ ]*[a-f0-9]+: 8f e8 78 cc df 02[ ]+vpcomgtb %xmm7,%xmm0,%xmm3
1406[ ]*[a-f0-9]+: 8f e8 40 cc f8 02[ ]+vpcomgtb %xmm0,%xmm7,%xmm7
1407[ ]*[a-f0-9]+: 8f e8 58 cc 3c 0a 02[ ]+vpcomgtb \(%edx,%ecx,1\),%xmm4,%xmm7
1408[ ]*[a-f0-9]+: 8f e8 40 cc 3c 0a 02[ ]+vpcomgtb \(%edx,%ecx,1\),%xmm7,%xmm7
1409[ ]*[a-f0-9]+: 8f e8 58 ce 04 47 02[ ]+vpcomgtd \(%edi,%eax,2\),%xmm4,%xmm0
1410[ ]*[a-f0-9]+: 8f e8 78 ce 1c 0a 02[ ]+vpcomgtd \(%edx,%ecx,1\),%xmm0,%xmm3
1411[ ]*[a-f0-9]+: 8f e8 58 ce 00 02[ ]+vpcomgtd \(%eax\),%xmm4,%xmm0
1412[ ]*[a-f0-9]+: 8f e8 78 ce 5c 10 01 02[ ]+vpcomgtd 0x1\(%eax,%edx,1\),%xmm0,%xmm3
1413[ ]*[a-f0-9]+: 8f e8 78 ce f8 02[ ]+vpcomgtd %xmm0,%xmm0,%xmm7
1414[ ]*[a-f0-9]+: 8f e8 40 ce 1c 47 02[ ]+vpcomgtd \(%edi,%eax,2\),%xmm7,%xmm3
1415[ ]*[a-f0-9]+: 8f e8 40 ce c0 02[ ]+vpcomgtd %xmm0,%xmm7,%xmm0
1416[ ]*[a-f0-9]+: 8f e8 78 ce fe 02[ ]+vpcomgtd %xmm6,%xmm0,%xmm7
1417[ ]*[a-f0-9]+: 8f e8 58 cf 3c 47 02[ ]+vpcomgtq \(%edi,%eax,2\),%xmm4,%xmm7
1418[ ]*[a-f0-9]+: 8f e8 58 cf 04 0a 02[ ]+vpcomgtq \(%edx,%ecx,1\),%xmm4,%xmm0
1419[ ]*[a-f0-9]+: 8f e8 78 cf d8 02[ ]+vpcomgtq %xmm0,%xmm0,%xmm3
1420[ ]*[a-f0-9]+: 8f e8 40 cf f8 02[ ]+vpcomgtq %xmm0,%xmm7,%xmm7
1421[ ]*[a-f0-9]+: 8f e8 58 cf df 02[ ]+vpcomgtq %xmm7,%xmm4,%xmm3
1422[ ]*[a-f0-9]+: 8f e8 40 cf 3c 0a 02[ ]+vpcomgtq \(%edx,%ecx,1\),%xmm7,%xmm7
1423[ ]*[a-f0-9]+: 8f e8 40 cf fe 02[ ]+vpcomgtq %xmm6,%xmm7,%xmm7
1424[ ]*[a-f0-9]+: 8f e8 40 cf de 02[ ]+vpcomgtq %xmm6,%xmm7,%xmm3
1425[ ]*[a-f0-9]+: 8f e8 78 ec 00 02[ ]+vpcomgtub \(%eax\),%xmm0,%xmm0
1426[ ]*[a-f0-9]+: 8f e8 78 ec 04 0a 02[ ]+vpcomgtub \(%edx,%ecx,1\),%xmm0,%xmm0
1427[ ]*[a-f0-9]+: 8f e8 40 ec 3c 0a 02[ ]+vpcomgtub \(%edx,%ecx,1\),%xmm7,%xmm7
1428[ ]*[a-f0-9]+: 8f e8 40 ec c7 02[ ]+vpcomgtub %xmm7,%xmm7,%xmm0
1429[ ]*[a-f0-9]+: 8f e8 58 ec fe 02[ ]+vpcomgtub %xmm6,%xmm4,%xmm7
1430[ ]*[a-f0-9]+: 8f e8 78 ec 3c 47 02[ ]+vpcomgtub \(%edi,%eax,2\),%xmm0,%xmm7
1431[ ]*[a-f0-9]+: 8f e8 58 ec 3c 0a 02[ ]+vpcomgtub \(%edx,%ecx,1\),%xmm4,%xmm7
1432[ ]*[a-f0-9]+: 8f e8 40 ec 04 0a 02[ ]+vpcomgtub \(%edx,%ecx,1\),%xmm7,%xmm0
1433[ ]*[a-f0-9]+: 8f e8 40 ee c7 02[ ]+vpcomgtud %xmm7,%xmm7,%xmm0
1434[ ]*[a-f0-9]+: 8f e8 78 ee 1c 47 02[ ]+vpcomgtud \(%edi,%eax,2\),%xmm0,%xmm3
1435[ ]*[a-f0-9]+: 8f e8 78 ee c6 02[ ]+vpcomgtud %xmm6,%xmm0,%xmm0
1436[ ]*[a-f0-9]+: 8f e8 78 ee 04 47 02[ ]+vpcomgtud \(%edi,%eax,2\),%xmm0,%xmm0
1437[ ]*[a-f0-9]+: 8f e8 78 ee fe 02[ ]+vpcomgtud %xmm6,%xmm0,%xmm7
1438[ ]*[a-f0-9]+: 8f e8 58 ee 44 10 01 02[ ]+vpcomgtud 0x1\(%eax,%edx,1\),%xmm4,%xmm0
1439[ ]*[a-f0-9]+: 8f e8 58 ee d8 02[ ]+vpcomgtud %xmm0,%xmm4,%xmm3
1440[ ]*[a-f0-9]+: 8f e8 58 ee 1c 0a 02[ ]+vpcomgtud \(%edx,%ecx,1\),%xmm4,%xmm3
1441[ ]*[a-f0-9]+: 8f e8 78 ef 00 02[ ]+vpcomgtuq \(%eax\),%xmm0,%xmm0
1442[ ]*[a-f0-9]+: 8f e8 78 ef 18 02[ ]+vpcomgtuq \(%eax\),%xmm0,%xmm3
1443[ ]*[a-f0-9]+: 8f e8 58 ef 1c 0a 02[ ]+vpcomgtuq \(%edx,%ecx,1\),%xmm4,%xmm3
1444[ ]*[a-f0-9]+: 8f e8 40 ef df 02[ ]+vpcomgtuq %xmm7,%xmm7,%xmm3
1445[ ]*[a-f0-9]+: 8f e8 58 ef 7c 10 01 02[ ]+vpcomgtuq 0x1\(%eax,%edx,1\),%xmm4,%xmm7
1446[ ]*[a-f0-9]+: 8f e8 78 ef 44 10 01 02[ ]+vpcomgtuq 0x1\(%eax,%edx,1\),%xmm0,%xmm0
1447[ ]*[a-f0-9]+: 8f e8 40 ef 5c 10 01 02[ ]+vpcomgtuq 0x1\(%eax,%edx,1\),%xmm7,%xmm3
1448[ ]*[a-f0-9]+: 8f e8 40 ef c7 02[ ]+vpcomgtuq %xmm7,%xmm7,%xmm0
1449[ ]*[a-f0-9]+: 8f e8 78 ed 04 0a 02[ ]+vpcomgtuw \(%edx,%ecx,1\),%xmm0,%xmm0
1450[ ]*[a-f0-9]+: 8f e8 78 ed de 02[ ]+vpcomgtuw %xmm6,%xmm0,%xmm3
1451[ ]*[a-f0-9]+: 8f e8 78 ed f8 02[ ]+vpcomgtuw %xmm0,%xmm0,%xmm7
1452[ ]*[a-f0-9]+: 8f e8 58 ed fe 02[ ]+vpcomgtuw %xmm6,%xmm4,%xmm7
1453[ ]*[a-f0-9]+: 8f e8 40 ed 38 02[ ]+vpcomgtuw \(%eax\),%xmm7,%xmm7
1454[ ]*[a-f0-9]+: 8f e8 58 ed d8 02[ ]+vpcomgtuw %xmm0,%xmm4,%xmm3
1455[ ]*[a-f0-9]+: 8f e8 40 ed 44 10 01 02[ ]+vpcomgtuw 0x1\(%eax,%edx,1\),%xmm7,%xmm0
1456[ ]*[a-f0-9]+: 8f e8 58 ed 1c 0a 02[ ]+vpcomgtuw \(%edx,%ecx,1\),%xmm4,%xmm3
1457[ ]*[a-f0-9]+: 8f e8 58 cd 5c 10 01 02[ ]+vpcomgtw 0x1\(%eax,%edx,1\),%xmm4,%xmm3
1458[ ]*[a-f0-9]+: 8f e8 78 cd 1c 0a 02[ ]+vpcomgtw \(%edx,%ecx,1\),%xmm0,%xmm3
1459[ ]*[a-f0-9]+: 8f e8 40 cd 3c 0a 02[ ]+vpcomgtw \(%edx,%ecx,1\),%xmm7,%xmm7
1460[ ]*[a-f0-9]+: 8f e8 58 cd 38 02[ ]+vpcomgtw \(%eax\),%xmm4,%xmm7
1461[ ]*[a-f0-9]+: 8f e8 78 cd c7 02[ ]+vpcomgtw %xmm7,%xmm0,%xmm0
1462[ ]*[a-f0-9]+: 8f e8 40 cd df 02[ ]+vpcomgtw %xmm7,%xmm7,%xmm3
1463[ ]*[a-f0-9]+: 8f e8 40 cd c6 02[ ]+vpcomgtw %xmm6,%xmm7,%xmm0
1464[ ]*[a-f0-9]+: 8f e8 78 cd fe 02[ ]+vpcomgtw %xmm6,%xmm0,%xmm7
1465[ ]*[a-f0-9]+: 8f e8 78 cc c6 03[ ]+vpcomgeb %xmm6,%xmm0,%xmm0
1466[ ]*[a-f0-9]+: 8f e8 40 cc 5c 10 01 03[ ]+vpcomgeb 0x1\(%eax,%edx,1\),%xmm7,%xmm3
1467[ ]*[a-f0-9]+: 8f e8 78 cc 18 03[ ]+vpcomgeb \(%eax\),%xmm0,%xmm3
1468[ ]*[a-f0-9]+: 8f e8 78 cc 04 0a 03[ ]+vpcomgeb \(%edx,%ecx,1\),%xmm0,%xmm0
1469[ ]*[a-f0-9]+: 8f e8 40 cc f8 03[ ]+vpcomgeb %xmm0,%xmm7,%xmm7
1470[ ]*[a-f0-9]+: 8f e8 58 cc 38 03[ ]+vpcomgeb \(%eax\),%xmm4,%xmm7
1471[ ]*[a-f0-9]+: 8f e8 78 cc 3c 47 03[ ]+vpcomgeb \(%edi,%eax,2\),%xmm0,%xmm7
1472[ ]*[a-f0-9]+: 8f e8 58 cc f8 03[ ]+vpcomgeb %xmm0,%xmm4,%xmm7
1473[ ]*[a-f0-9]+: 8f e8 78 ce 18 03[ ]+vpcomged \(%eax\),%xmm0,%xmm3
1474[ ]*[a-f0-9]+: 8f e8 78 ce 3c 0a 03[ ]+vpcomged \(%edx,%ecx,1\),%xmm0,%xmm7
1475[ ]*[a-f0-9]+: 8f e8 58 ce 3c 47 03[ ]+vpcomged \(%edi,%eax,2\),%xmm4,%xmm7
1476[ ]*[a-f0-9]+: 8f e8 58 ce de 03[ ]+vpcomged %xmm6,%xmm4,%xmm3
1477[ ]*[a-f0-9]+: 8f e8 78 ce d8 03[ ]+vpcomged %xmm0,%xmm0,%xmm3
1478[ ]*[a-f0-9]+: 8f e8 78 ce fe 03[ ]+vpcomged %xmm6,%xmm0,%xmm7
1479[ ]*[a-f0-9]+: 8f e8 78 ce f8 03[ ]+vpcomged %xmm0,%xmm0,%xmm7
1480[ ]*[a-f0-9]+: 8f e8 40 ce 00 03[ ]+vpcomged \(%eax\),%xmm7,%xmm0
1481[ ]*[a-f0-9]+: 8f e8 58 cf fe 03[ ]+vpcomgeq %xmm6,%xmm4,%xmm7
1482[ ]*[a-f0-9]+: 8f e8 78 cf c7 03[ ]+vpcomgeq %xmm7,%xmm0,%xmm0
1483[ ]*[a-f0-9]+: 8f e8 58 cf 5c 10 01 03[ ]+vpcomgeq 0x1\(%eax,%edx,1\),%xmm4,%xmm3
1484[ ]*[a-f0-9]+: 8f e8 58 cf 1c 0a 03[ ]+vpcomgeq \(%edx,%ecx,1\),%xmm4,%xmm3
1485[ ]*[a-f0-9]+: 8f e8 58 cf d8 03[ ]+vpcomgeq %xmm0,%xmm4,%xmm3
1486[ ]*[a-f0-9]+: 8f e8 78 cf 7c 10 01 03[ ]+vpcomgeq 0x1\(%eax,%edx,1\),%xmm0,%xmm7
1487[ ]*[a-f0-9]+: 8f e8 58 cf 04 47 03[ ]+vpcomgeq \(%edi,%eax,2\),%xmm4,%xmm0
1488[ ]*[a-f0-9]+: 8f e8 40 cf 38 03[ ]+vpcomgeq \(%eax\),%xmm7,%xmm7
1489[ ]*[a-f0-9]+: 8f e8 78 ec 04 47 03[ ]+vpcomgeub \(%edi,%eax,2\),%xmm0,%xmm0
1490[ ]*[a-f0-9]+: 8f e8 40 ec 18 03[ ]+vpcomgeub \(%eax\),%xmm7,%xmm3
1491[ ]*[a-f0-9]+: 8f e8 78 ec 44 10 01 03[ ]+vpcomgeub 0x1\(%eax,%edx,1\),%xmm0,%xmm0
1492[ ]*[a-f0-9]+: 8f e8 58 ec 5c 10 01 03[ ]+vpcomgeub 0x1\(%eax,%edx,1\),%xmm4,%xmm3
1493[ ]*[a-f0-9]+: 8f e8 58 ec 18 03[ ]+vpcomgeub \(%eax\),%xmm4,%xmm3
1494[ ]*[a-f0-9]+: 8f e8 40 ec de 03[ ]+vpcomgeub %xmm6,%xmm7,%xmm3
1495[ ]*[a-f0-9]+: 8f e8 40 ec c6 03[ ]+vpcomgeub %xmm6,%xmm7,%xmm0
1496[ ]*[a-f0-9]+: 8f e8 78 ec 5c 10 01 03[ ]+vpcomgeub 0x1\(%eax,%edx,1\),%xmm0,%xmm3
1497[ ]*[a-f0-9]+: 8f e8 78 ee 44 10 01 03[ ]+vpcomgeud 0x1\(%eax,%edx,1\),%xmm0,%xmm0
1498[ ]*[a-f0-9]+: 8f e8 40 ee 5c 10 01 03[ ]+vpcomgeud 0x1\(%eax,%edx,1\),%xmm7,%xmm3
1499[ ]*[a-f0-9]+: 8f e8 78 ee 04 0a 03[ ]+vpcomgeud \(%edx,%ecx,1\),%xmm0,%xmm0
1500[ ]*[a-f0-9]+: 8f e8 58 ee df 03[ ]+vpcomgeud %xmm7,%xmm4,%xmm3
1501[ ]*[a-f0-9]+: 8f e8 78 ee d8 03[ ]+vpcomgeud %xmm0,%xmm0,%xmm3
1502[ ]*[a-f0-9]+: 8f e8 40 ee 04 0a 03[ ]+vpcomgeud \(%edx,%ecx,1\),%xmm7,%xmm0
1503[ ]*[a-f0-9]+: 8f e8 58 ee ff 03[ ]+vpcomgeud %xmm7,%xmm4,%xmm7
1504[ ]*[a-f0-9]+: 8f e8 58 ee 1c 47 03[ ]+vpcomgeud \(%edi,%eax,2\),%xmm4,%xmm3
1505[ ]*[a-f0-9]+: 8f e8 58 ef 44 10 01 03[ ]+vpcomgeuq 0x1\(%eax,%edx,1\),%xmm4,%xmm0
1506[ ]*[a-f0-9]+: 8f e8 40 ef 3c 47 03[ ]+vpcomgeuq \(%edi,%eax,2\),%xmm7,%xmm7
1507[ ]*[a-f0-9]+: 8f e8 58 ef ff 03[ ]+vpcomgeuq %xmm7,%xmm4,%xmm7
1508[ ]*[a-f0-9]+: 8f e8 58 ef 1c 47 03[ ]+vpcomgeuq \(%edi,%eax,2\),%xmm4,%xmm3
1509[ ]*[a-f0-9]+: 8f e8 58 ef 1c 0a 03[ ]+vpcomgeuq \(%edx,%ecx,1\),%xmm4,%xmm3
1510[ ]*[a-f0-9]+: 8f e8 40 ef d8 03[ ]+vpcomgeuq %xmm0,%xmm7,%xmm3
1511[ ]*[a-f0-9]+: 8f e8 40 ef ff 03[ ]+vpcomgeuq %xmm7,%xmm7,%xmm7
1512[ ]*[a-f0-9]+: 8f e8 40 ef 1c 0a 03[ ]+vpcomgeuq \(%edx,%ecx,1\),%xmm7,%xmm3
1513[ ]*[a-f0-9]+: 8f e8 40 ed c7 03[ ]+vpcomgeuw %xmm7,%xmm7,%xmm0
1514[ ]*[a-f0-9]+: 8f e8 78 ed 1c 47 03[ ]+vpcomgeuw \(%edi,%eax,2\),%xmm0,%xmm3
1515[ ]*[a-f0-9]+: 8f e8 40 ed 1c 0a 03[ ]+vpcomgeuw \(%edx,%ecx,1\),%xmm7,%xmm3
1516[ ]*[a-f0-9]+: 8f e8 78 ed 44 10 01 03[ ]+vpcomgeuw 0x1\(%eax,%edx,1\),%xmm0,%xmm0
1517[ ]*[a-f0-9]+: 8f e8 58 ed 44 10 01 03[ ]+vpcomgeuw 0x1\(%eax,%edx,1\),%xmm4,%xmm0
1518[ ]*[a-f0-9]+: 8f e8 58 ed 00 03[ ]+vpcomgeuw \(%eax\),%xmm4,%xmm0
1519[ ]*[a-f0-9]+: 8f e8 78 ed c0 03[ ]+vpcomgeuw %xmm0,%xmm0,%xmm0
1520[ ]*[a-f0-9]+: 8f e8 40 ed ff 03[ ]+vpcomgeuw %xmm7,%xmm7,%xmm7
1521[ ]*[a-f0-9]+: 8f e8 78 cd c0 03[ ]+vpcomgew %xmm0,%xmm0,%xmm0
1522[ ]*[a-f0-9]+: 8f e8 40 cd 38 03[ ]+vpcomgew \(%eax\),%xmm7,%xmm7
1523[ ]*[a-f0-9]+: 8f e8 58 cd c6 03[ ]+vpcomgew %xmm6,%xmm4,%xmm0
1524[ ]*[a-f0-9]+: 8f e8 58 cd 00 03[ ]+vpcomgew \(%eax\),%xmm4,%xmm0
1525[ ]*[a-f0-9]+: 8f e8 58 cd d8 03[ ]+vpcomgew %xmm0,%xmm4,%xmm3
1526[ ]*[a-f0-9]+: 8f e8 58 cd 44 10 01 03[ ]+vpcomgew 0x1\(%eax,%edx,1\),%xmm4,%xmm0
1527[ ]*[a-f0-9]+: 8f e8 40 cd 3c 47 03[ ]+vpcomgew \(%edi,%eax,2\),%xmm7,%xmm7
1528[ ]*[a-f0-9]+: 8f e8 58 cd de 03[ ]+vpcomgew %xmm6,%xmm4,%xmm3
1529[ ]*[a-f0-9]+: 8f e8 78 cc 38 04[ ]+vpcomeqb \(%eax\),%xmm0,%xmm7
1530[ ]*[a-f0-9]+: 8f e8 58 cc 00 04[ ]+vpcomeqb \(%eax\),%xmm4,%xmm0
1531[ ]*[a-f0-9]+: 8f e8 78 cc 1c 0a 04[ ]+vpcomeqb \(%edx,%ecx,1\),%xmm0,%xmm3
1532[ ]*[a-f0-9]+: 8f e8 40 cc ff 04[ ]+vpcomeqb %xmm7,%xmm7,%xmm7
1533[ ]*[a-f0-9]+: 8f e8 40 cc 04 47 04[ ]+vpcomeqb \(%edi,%eax,2\),%xmm7,%xmm0
1534[ ]*[a-f0-9]+: 8f e8 78 cc 3c 0a 04[ ]+vpcomeqb \(%edx,%ecx,1\),%xmm0,%xmm7
1535[ ]*[a-f0-9]+: 8f e8 78 cc fe 04[ ]+vpcomeqb %xmm6,%xmm0,%xmm7
1536[ ]*[a-f0-9]+: 8f e8 78 cc f8 04[ ]+vpcomeqb %xmm0,%xmm0,%xmm7
1537[ ]*[a-f0-9]+: 8f e8 78 ce 3c 47 04[ ]+vpcomeqd \(%edi,%eax,2\),%xmm0,%xmm7
1538[ ]*[a-f0-9]+: 8f e8 58 ce 3c 0a 04[ ]+vpcomeqd \(%edx,%ecx,1\),%xmm4,%xmm7
1539[ ]*[a-f0-9]+: 8f e8 40 ce 44 10 01 04[ ]+vpcomeqd 0x1\(%eax,%edx,1\),%xmm7,%xmm0
1540[ ]*[a-f0-9]+: 8f e8 78 ce ff 04[ ]+vpcomeqd %xmm7,%xmm0,%xmm7
1541[ ]*[a-f0-9]+: 8f e8 58 ce 04 0a 04[ ]+vpcomeqd \(%edx,%ecx,1\),%xmm4,%xmm0
1542[ ]*[a-f0-9]+: 8f e8 40 ce f8 04[ ]+vpcomeqd %xmm0,%xmm7,%xmm7
1543[ ]*[a-f0-9]+: 8f e8 58 ce d8 04[ ]+vpcomeqd %xmm0,%xmm4,%xmm3
1544[ ]*[a-f0-9]+: 8f e8 40 ce 1c 0a 04[ ]+vpcomeqd \(%edx,%ecx,1\),%xmm7,%xmm3
1545[ ]*[a-f0-9]+: 8f e8 40 cf 00 04[ ]+vpcomeqq \(%eax\),%xmm7,%xmm0
1546[ ]*[a-f0-9]+: 8f e8 58 cf c6 04[ ]+vpcomeqq %xmm6,%xmm4,%xmm0
1547[ ]*[a-f0-9]+: 8f e8 58 cf 04 47 04[ ]+vpcomeqq \(%edi,%eax,2\),%xmm4,%xmm0
1548[ ]*[a-f0-9]+: 8f e8 78 cf c6 04[ ]+vpcomeqq %xmm6,%xmm0,%xmm0
1549[ ]*[a-f0-9]+: 8f e8 58 cf 04 0a 04[ ]+vpcomeqq \(%edx,%ecx,1\),%xmm4,%xmm0
1550[ ]*[a-f0-9]+: 8f e8 78 cf 3c 47 04[ ]+vpcomeqq \(%edi,%eax,2\),%xmm0,%xmm7
1551[ ]*[a-f0-9]+: 8f e8 78 cf c0 04[ ]+vpcomeqq %xmm0,%xmm0,%xmm0
1552[ ]*[a-f0-9]+: 8f e8 40 cf 44 10 01 04[ ]+vpcomeqq 0x1\(%eax,%edx,1\),%xmm7,%xmm0
1553[ ]*[a-f0-9]+: 8f e8 58 ec c7 04[ ]+vpcomequb %xmm7,%xmm4,%xmm0
1554[ ]*[a-f0-9]+: 8f e8 78 ec 18 04[ ]+vpcomequb \(%eax\),%xmm0,%xmm3
1555[ ]*[a-f0-9]+: 8f e8 58 ec 3c 0a 04[ ]+vpcomequb \(%edx,%ecx,1\),%xmm4,%xmm7
1556[ ]*[a-f0-9]+: 8f e8 40 ec 1c 0a 04[ ]+vpcomequb \(%edx,%ecx,1\),%xmm7,%xmm3
1557[ ]*[a-f0-9]+: 8f e8 58 ec 1c 0a 04[ ]+vpcomequb \(%edx,%ecx,1\),%xmm4,%xmm3
1558[ ]*[a-f0-9]+: 8f e8 58 ec de 04[ ]+vpcomequb %xmm6,%xmm4,%xmm3
1559[ ]*[a-f0-9]+: 8f e8 78 ec 5c 10 01 04[ ]+vpcomequb 0x1\(%eax,%edx,1\),%xmm0,%xmm3
1560[ ]*[a-f0-9]+: 8f e8 40 ec c6 04[ ]+vpcomequb %xmm6,%xmm7,%xmm0
1561[ ]*[a-f0-9]+: 8f e8 58 ee 00 04[ ]+vpcomequd \(%eax\),%xmm4,%xmm0
1562[ ]*[a-f0-9]+: 8f e8 58 ee 3c 47 04[ ]+vpcomequd \(%edi,%eax,2\),%xmm4,%xmm7
1563[ ]*[a-f0-9]+: 8f e8 58 ee 38 04[ ]+vpcomequd \(%eax\),%xmm4,%xmm7
1564[ ]*[a-f0-9]+: 8f e8 78 ee de 04[ ]+vpcomequd %xmm6,%xmm0,%xmm3
1565[ ]*[a-f0-9]+: 8f e8 58 ee 1c 47 04[ ]+vpcomequd \(%edi,%eax,2\),%xmm4,%xmm3
1566[ ]*[a-f0-9]+: 8f e8 40 ee 7c 10 01 04[ ]+vpcomequd 0x1\(%eax,%edx,1\),%xmm7,%xmm7
1567[ ]*[a-f0-9]+: 8f e8 40 ee ff 04[ ]+vpcomequd %xmm7,%xmm7,%xmm7
1568[ ]*[a-f0-9]+: 8f e8 58 ee fe 04[ ]+vpcomequd %xmm6,%xmm4,%xmm7
1569[ ]*[a-f0-9]+: 8f e8 78 ef 5c 10 01 04[ ]+vpcomequq 0x1\(%eax,%edx,1\),%xmm0,%xmm3
1570[ ]*[a-f0-9]+: 8f e8 40 ef fe 04[ ]+vpcomequq %xmm6,%xmm7,%xmm7
1571[ ]*[a-f0-9]+: 8f e8 78 ef 44 10 01 04[ ]+vpcomequq 0x1\(%eax,%edx,1\),%xmm0,%xmm0
1572[ ]*[a-f0-9]+: 8f e8 78 ef ff 04[ ]+vpcomequq %xmm7,%xmm0,%xmm7
1573[ ]*[a-f0-9]+: 8f e8 58 ef c7 04[ ]+vpcomequq %xmm7,%xmm4,%xmm0
1574[ ]*[a-f0-9]+: 8f e8 40 ef de 04[ ]+vpcomequq %xmm6,%xmm7,%xmm3
1575[ ]*[a-f0-9]+: 8f e8 78 ef de 04[ ]+vpcomequq %xmm6,%xmm0,%xmm3
1576[ ]*[a-f0-9]+: 8f e8 40 ef 44 10 01 04[ ]+vpcomequq 0x1\(%eax,%edx,1\),%xmm7,%xmm0
1577[ ]*[a-f0-9]+: 8f e8 78 ed 04 47 04[ ]+vpcomequw \(%edi,%eax,2\),%xmm0,%xmm0
1578[ ]*[a-f0-9]+: 8f e8 40 ed 00 04[ ]+vpcomequw \(%eax\),%xmm7,%xmm0
1579[ ]*[a-f0-9]+: 8f e8 58 ed 18 04[ ]+vpcomequw \(%eax\),%xmm4,%xmm3
1580[ ]*[a-f0-9]+: 8f e8 78 ed 44 10 01 04[ ]+vpcomequw 0x1\(%eax,%edx,1\),%xmm0,%xmm0
1581[ ]*[a-f0-9]+: 8f e8 58 ed ff 04[ ]+vpcomequw %xmm7,%xmm4,%xmm7
1582[ ]*[a-f0-9]+: 8f e8 78 ed 38 04[ ]+vpcomequw \(%eax\),%xmm0,%xmm7
1583[ ]*[a-f0-9]+: 8f e8 40 ed 18 04[ ]+vpcomequw \(%eax\),%xmm7,%xmm3
1584[ ]*[a-f0-9]+: 8f e8 58 ed d8 04[ ]+vpcomequw %xmm0,%xmm4,%xmm3
1585[ ]*[a-f0-9]+: 8f e8 78 cd 1c 0a 04[ ]+vpcomeqw \(%edx,%ecx,1\),%xmm0,%xmm3
1586[ ]*[a-f0-9]+: 8f e8 58 cd 04 0a 04[ ]+vpcomeqw \(%edx,%ecx,1\),%xmm4,%xmm0
1587[ ]*[a-f0-9]+: 8f e8 58 cd 38 04[ ]+vpcomeqw \(%eax\),%xmm4,%xmm7
1588[ ]*[a-f0-9]+: 8f e8 40 cd 38 04[ ]+vpcomeqw \(%eax\),%xmm7,%xmm7
1589[ ]*[a-f0-9]+: 8f e8 58 cd 1c 47 04[ ]+vpcomeqw \(%edi,%eax,2\),%xmm4,%xmm3
1590[ ]*[a-f0-9]+: 8f e8 58 cd d8 04[ ]+vpcomeqw %xmm0,%xmm4,%xmm3
1591[ ]*[a-f0-9]+: 8f e8 40 cd d8 04[ ]+vpcomeqw %xmm0,%xmm7,%xmm3
1592[ ]*[a-f0-9]+: 8f e8 40 cd c7 04[ ]+vpcomeqw %xmm7,%xmm7,%xmm0
1593[ ]*[a-f0-9]+: 8f e8 78 cc 44 10 01 05[ ]+vpcomneqb 0x1\(%eax,%edx,1\),%xmm0,%xmm0
1594[ ]*[a-f0-9]+: 8f e8 78 cc 18 05[ ]+vpcomneqb \(%eax\),%xmm0,%xmm3
1595[ ]*[a-f0-9]+: 8f e8 58 cc 00 05[ ]+vpcomneqb \(%eax\),%xmm4,%xmm0
1596[ ]*[a-f0-9]+: 8f e8 40 cc 7c 10 01 05[ ]+vpcomneqb 0x1\(%eax,%edx,1\),%xmm7,%xmm7
1597[ ]*[a-f0-9]+: 8f e8 40 cc fe 05[ ]+vpcomneqb %xmm6,%xmm7,%xmm7
1598[ ]*[a-f0-9]+: 8f e8 40 cc f8 05[ ]+vpcomneqb %xmm0,%xmm7,%xmm7
1599[ ]*[a-f0-9]+: 8f e8 78 cc 1c 47 05[ ]+vpcomneqb \(%edi,%eax,2\),%xmm0,%xmm3
1600[ ]*[a-f0-9]+: 8f e8 78 cc de 05[ ]+vpcomneqb %xmm6,%xmm0,%xmm3
1601[ ]*[a-f0-9]+: 8f e8 40 ce d8 05[ ]+vpcomneqd %xmm0,%xmm7,%xmm3
1602[ ]*[a-f0-9]+: 8f e8 58 ce 04 0a 05[ ]+vpcomneqd \(%edx,%ecx,1\),%xmm4,%xmm0
1603[ ]*[a-f0-9]+: 8f e8 58 ce 3c 47 05[ ]+vpcomneqd \(%edi,%eax,2\),%xmm4,%xmm7
1604[ ]*[a-f0-9]+: 8f e8 58 ce 38 05[ ]+vpcomneqd \(%eax\),%xmm4,%xmm7
1605[ ]*[a-f0-9]+: 8f e8 58 ce f8 05[ ]+vpcomneqd %xmm0,%xmm4,%xmm7
1606[ ]*[a-f0-9]+: 8f e8 40 ce 1c 0a 05[ ]+vpcomneqd \(%edx,%ecx,1\),%xmm7,%xmm3
1607[ ]*[a-f0-9]+: 8f e8 78 ce df 05[ ]+vpcomneqd %xmm7,%xmm0,%xmm3
1608[ ]*[a-f0-9]+: 8f e8 40 ce 18 05[ ]+vpcomneqd \(%eax\),%xmm7,%xmm3
1609[ ]*[a-f0-9]+: 8f e8 40 cf de 05[ ]+vpcomneqq %xmm6,%xmm7,%xmm3
1610[ ]*[a-f0-9]+: 8f e8 58 cf c0 05[ ]+vpcomneqq %xmm0,%xmm4,%xmm0
1611[ ]*[a-f0-9]+: 8f e8 78 cf 5c 10 01 05[ ]+vpcomneqq 0x1\(%eax,%edx,1\),%xmm0,%xmm3
1612[ ]*[a-f0-9]+: 8f e8 40 cf c7 05[ ]+vpcomneqq %xmm7,%xmm7,%xmm0
1613[ ]*[a-f0-9]+: 8f e8 40 cf 00 05[ ]+vpcomneqq \(%eax\),%xmm7,%xmm0
1614[ ]*[a-f0-9]+: 8f e8 58 cf ff 05[ ]+vpcomneqq %xmm7,%xmm4,%xmm7
1615[ ]*[a-f0-9]+: 8f e8 40 cf 3c 0a 05[ ]+vpcomneqq \(%edx,%ecx,1\),%xmm7,%xmm7
1616[ ]*[a-f0-9]+: 8f e8 78 cf 3c 47 05[ ]+vpcomneqq \(%edi,%eax,2\),%xmm0,%xmm7
1617[ ]*[a-f0-9]+: 8f e8 40 ec 5c 10 01 05[ ]+vpcomnequb 0x1\(%eax,%edx,1\),%xmm7,%xmm3
1618[ ]*[a-f0-9]+: 8f e8 78 ec 04 0a 05[ ]+vpcomnequb \(%edx,%ecx,1\),%xmm0,%xmm0
1619[ ]*[a-f0-9]+: 8f e8 78 ec 1c 0a 05[ ]+vpcomnequb \(%edx,%ecx,1\),%xmm0,%xmm3
1620[ ]*[a-f0-9]+: 8f e8 58 ec de 05[ ]+vpcomnequb %xmm6,%xmm4,%xmm3
1621[ ]*[a-f0-9]+: 8f e8 78 ec f8 05[ ]+vpcomnequb %xmm0,%xmm0,%xmm7
1622[ ]*[a-f0-9]+: 8f e8 78 ec df 05[ ]+vpcomnequb %xmm7,%xmm0,%xmm3
1623[ ]*[a-f0-9]+: 8f e8 40 ec c7 05[ ]+vpcomnequb %xmm7,%xmm7,%xmm0
1624[ ]*[a-f0-9]+: 8f e8 40 ec d8 05[ ]+vpcomnequb %xmm0,%xmm7,%xmm3
1625[ ]*[a-f0-9]+: 8f e8 78 ee c0 05[ ]+vpcomnequd %xmm0,%xmm0,%xmm0
1626[ ]*[a-f0-9]+: 8f e8 58 ee 1c 47 05[ ]+vpcomnequd \(%edi,%eax,2\),%xmm4,%xmm3
1627[ ]*[a-f0-9]+: 8f e8 58 ee 3c 0a 05[ ]+vpcomnequd \(%edx,%ecx,1\),%xmm4,%xmm7
1628[ ]*[a-f0-9]+: 8f e8 78 ee 1c 0a 05[ ]+vpcomnequd \(%edx,%ecx,1\),%xmm0,%xmm3
1629[ ]*[a-f0-9]+: 8f e8 78 ee 00 05[ ]+vpcomnequd \(%eax\),%xmm0,%xmm0
1630[ ]*[a-f0-9]+: 8f e8 58 ee 38 05[ ]+vpcomnequd \(%eax\),%xmm4,%xmm7
1631[ ]*[a-f0-9]+: 8f e8 78 ee de 05[ ]+vpcomnequd %xmm6,%xmm0,%xmm3
1632[ ]*[a-f0-9]+: 8f e8 78 ee 38 05[ ]+vpcomnequd \(%eax\),%xmm0,%xmm7
1633[ ]*[a-f0-9]+: 8f e8 78 ef df 05[ ]+vpcomnequq %xmm7,%xmm0,%xmm3
1634[ ]*[a-f0-9]+: 8f e8 78 ef 04 0a 05[ ]+vpcomnequq \(%edx,%ecx,1\),%xmm0,%xmm0
1635[ ]*[a-f0-9]+: 8f e8 58 ef c7 05[ ]+vpcomnequq %xmm7,%xmm4,%xmm0
1636[ ]*[a-f0-9]+: 8f e8 58 ef d8 05[ ]+vpcomnequq %xmm0,%xmm4,%xmm3
1637[ ]*[a-f0-9]+: 8f e8 78 ef 3c 0a 05[ ]+vpcomnequq \(%edx,%ecx,1\),%xmm0,%xmm7
1638[ ]*[a-f0-9]+: 8f e8 58 ef 04 47 05[ ]+vpcomnequq \(%edi,%eax,2\),%xmm4,%xmm0
1639[ ]*[a-f0-9]+: 8f e8 40 ef 18 05[ ]+vpcomnequq \(%eax\),%xmm7,%xmm3
1640[ ]*[a-f0-9]+: 8f e8 58 ef 5c 10 01 05[ ]+vpcomnequq 0x1\(%eax,%edx,1\),%xmm4,%xmm3
1641[ ]*[a-f0-9]+: 8f e8 58 ed 00 05[ ]+vpcomnequw \(%eax\),%xmm4,%xmm0
1642[ ]*[a-f0-9]+: 8f e8 58 ed 7c 10 01 05[ ]+vpcomnequw 0x1\(%eax,%edx,1\),%xmm4,%xmm7
1643[ ]*[a-f0-9]+: 8f e8 58 ed c0 05[ ]+vpcomnequw %xmm0,%xmm4,%xmm0
1644[ ]*[a-f0-9]+: 8f e8 40 ed fe 05[ ]+vpcomnequw %xmm6,%xmm7,%xmm7
1645[ ]*[a-f0-9]+: 8f e8 40 ed 04 0a 05[ ]+vpcomnequw \(%edx,%ecx,1\),%xmm7,%xmm0
1646[ ]*[a-f0-9]+: 8f e8 78 ed c7 05[ ]+vpcomnequw %xmm7,%xmm0,%xmm0
1647[ ]*[a-f0-9]+: 8f e8 58 ed 44 10 01 05[ ]+vpcomnequw 0x1\(%eax,%edx,1\),%xmm4,%xmm0
1648[ ]*[a-f0-9]+: 8f e8 78 ed de 05[ ]+vpcomnequw %xmm6,%xmm0,%xmm3
1649[ ]*[a-f0-9]+: 8f e8 58 cd fe 05[ ]+vpcomneqw %xmm6,%xmm4,%xmm7
1650[ ]*[a-f0-9]+: 8f e8 78 cd 38 05[ ]+vpcomneqw \(%eax\),%xmm0,%xmm7
1651[ ]*[a-f0-9]+: 8f e8 58 cd ff 05[ ]+vpcomneqw %xmm7,%xmm4,%xmm7
1652[ ]*[a-f0-9]+: 8f e8 78 cd d8 05[ ]+vpcomneqw %xmm0,%xmm0,%xmm3
1653[ ]*[a-f0-9]+: 8f e8 40 cd 00 05[ ]+vpcomneqw \(%eax\),%xmm7,%xmm0
1654[ ]*[a-f0-9]+: 8f e8 40 cd df 05[ ]+vpcomneqw %xmm7,%xmm7,%xmm3
1655[ ]*[a-f0-9]+: 8f e8 78 cd 18 05[ ]+vpcomneqw \(%eax\),%xmm0,%xmm3
1656[ ]*[a-f0-9]+: 8f e8 58 cd 3c 47 05[ ]+vpcomneqw \(%edi,%eax,2\),%xmm4,%xmm7
1657[ ]*[a-f0-9]+: 8f e8 40 cc 1c 0a 06[ ]+vpcomfalseb \(%edx,%ecx,1\),%xmm7,%xmm3
1658[ ]*[a-f0-9]+: 8f e8 40 cc 5c 10 01 06[ ]+vpcomfalseb 0x1\(%eax,%edx,1\),%xmm7,%xmm3
1659[ ]*[a-f0-9]+: 8f e8 78 cc c7 06[ ]+vpcomfalseb %xmm7,%xmm0,%xmm0
1660[ ]*[a-f0-9]+: 8f e8 40 cc 38 06[ ]+vpcomfalseb \(%eax\),%xmm7,%xmm7
1661[ ]*[a-f0-9]+: 8f e8 78 cc 38 06[ ]+vpcomfalseb \(%eax\),%xmm0,%xmm7
1662[ ]*[a-f0-9]+: 8f e8 78 cc 1c 47 06[ ]+vpcomfalseb \(%edi,%eax,2\),%xmm0,%xmm3
1663[ ]*[a-f0-9]+: 8f e8 78 cc 7c 10 01 06[ ]+vpcomfalseb 0x1\(%eax,%edx,1\),%xmm0,%xmm7
1664[ ]*[a-f0-9]+: 8f e8 78 cc 00 06[ ]+vpcomfalseb \(%eax\),%xmm0,%xmm0
1665[ ]*[a-f0-9]+: 8f e8 78 ce de 06[ ]+vpcomfalsed %xmm6,%xmm0,%xmm3
1666[ ]*[a-f0-9]+: 8f e8 78 ce 7c 10 01 06[ ]+vpcomfalsed 0x1\(%eax,%edx,1\),%xmm0,%xmm7
1667[ ]*[a-f0-9]+: 8f e8 40 ce 7c 10 01 06[ ]+vpcomfalsed 0x1\(%eax,%edx,1\),%xmm7,%xmm7
1668[ ]*[a-f0-9]+: 8f e8 58 ce df 06[ ]+vpcomfalsed %xmm7,%xmm4,%xmm3
1669[ ]*[a-f0-9]+: 8f e8 58 ce c0 06[ ]+vpcomfalsed %xmm0,%xmm4,%xmm0
1670[ ]*[a-f0-9]+: 8f e8 78 ce 18 06[ ]+vpcomfalsed \(%eax\),%xmm0,%xmm3
1671[ ]*[a-f0-9]+: 8f e8 40 ce 04 0a 06[ ]+vpcomfalsed \(%edx,%ecx,1\),%xmm7,%xmm0
1672[ ]*[a-f0-9]+: 8f e8 78 ce 04 0a 06[ ]+vpcomfalsed \(%edx,%ecx,1\),%xmm0,%xmm0
1673[ ]*[a-f0-9]+: 8f e8 40 cf c6 06[ ]+vpcomfalseq %xmm6,%xmm7,%xmm0
1674[ ]*[a-f0-9]+: 8f e8 78 cf 7c 10 01 06[ ]+vpcomfalseq 0x1\(%eax,%edx,1\),%xmm0,%xmm7
1675[ ]*[a-f0-9]+: 8f e8 58 cf c0 06[ ]+vpcomfalseq %xmm0,%xmm4,%xmm0
1676[ ]*[a-f0-9]+: 8f e8 40 cf 04 0a 06[ ]+vpcomfalseq \(%edx,%ecx,1\),%xmm7,%xmm0
1677[ ]*[a-f0-9]+: 8f e8 40 cf 00 06[ ]+vpcomfalseq \(%eax\),%xmm7,%xmm0
1678[ ]*[a-f0-9]+: 8f e8 40 cf 18 06[ ]+vpcomfalseq \(%eax\),%xmm7,%xmm3
1679[ ]*[a-f0-9]+: 8f e8 58 cf ff 06[ ]+vpcomfalseq %xmm7,%xmm4,%xmm7
1680[ ]*[a-f0-9]+: 8f e8 58 cf 1c 0a 06[ ]+vpcomfalseq \(%edx,%ecx,1\),%xmm4,%xmm3
1681[ ]*[a-f0-9]+: 8f e8 78 ec fe 06[ ]+vpcomfalseub %xmm6,%xmm0,%xmm7
1682[ ]*[a-f0-9]+: 8f e8 58 ec 38 06[ ]+vpcomfalseub \(%eax\),%xmm4,%xmm7
1683[ ]*[a-f0-9]+: 8f e8 78 ec 3c 47 06[ ]+vpcomfalseub \(%edi,%eax,2\),%xmm0,%xmm7
1684[ ]*[a-f0-9]+: 8f e8 58 ec c0 06[ ]+vpcomfalseub %xmm0,%xmm4,%xmm0
1685[ ]*[a-f0-9]+: 8f e8 40 ec c7 06[ ]+vpcomfalseub %xmm7,%xmm7,%xmm0
1686[ ]*[a-f0-9]+: 8f e8 58 ec 1c 0a 06[ ]+vpcomfalseub \(%edx,%ecx,1\),%xmm4,%xmm3
1687[ ]*[a-f0-9]+: 8f e8 40 ec d8 06[ ]+vpcomfalseub %xmm0,%xmm7,%xmm3
1688[ ]*[a-f0-9]+: 8f e8 58 ec 7c 10 01 06[ ]+vpcomfalseub 0x1\(%eax,%edx,1\),%xmm4,%xmm7
1689[ ]*[a-f0-9]+: 8f e8 58 ee 3c 0a 06[ ]+vpcomfalseud \(%edx,%ecx,1\),%xmm4,%xmm7
1690[ ]*[a-f0-9]+: 8f e8 58 ee 38 06[ ]+vpcomfalseud \(%eax\),%xmm4,%xmm7
1691[ ]*[a-f0-9]+: 8f e8 78 ee 00 06[ ]+vpcomfalseud \(%eax\),%xmm0,%xmm0
1692[ ]*[a-f0-9]+: 8f e8 78 ee c7 06[ ]+vpcomfalseud %xmm7,%xmm0,%xmm0
1693[ ]*[a-f0-9]+: 8f e8 58 ee 00 06[ ]+vpcomfalseud \(%eax\),%xmm4,%xmm0
1694[ ]*[a-f0-9]+: 8f e8 40 ee 5c 10 01 06[ ]+vpcomfalseud 0x1\(%eax,%edx,1\),%xmm7,%xmm3
1695[ ]*[a-f0-9]+: 8f e8 40 ee 04 47 06[ ]+vpcomfalseud \(%edi,%eax,2\),%xmm7,%xmm0
1696[ ]*[a-f0-9]+: 8f e8 78 ee 04 0a 06[ ]+vpcomfalseud \(%edx,%ecx,1\),%xmm0,%xmm0
1697[ ]*[a-f0-9]+: 8f e8 58 ef c0 06[ ]+vpcomfalseuq %xmm0,%xmm4,%xmm0
1698[ ]*[a-f0-9]+: 8f e8 78 ef 44 10 01 06[ ]+vpcomfalseuq 0x1\(%eax,%edx,1\),%xmm0,%xmm0
1699[ ]*[a-f0-9]+: 8f e8 78 ef 38 06[ ]+vpcomfalseuq \(%eax\),%xmm0,%xmm7
1700[ ]*[a-f0-9]+: 8f e8 40 ef c0 06[ ]+vpcomfalseuq %xmm0,%xmm7,%xmm0
1701[ ]*[a-f0-9]+: 8f e8 78 ef c7 06[ ]+vpcomfalseuq %xmm7,%xmm0,%xmm0
1702[ ]*[a-f0-9]+: 8f e8 58 ef 7c 10 01 06[ ]+vpcomfalseuq 0x1\(%eax,%edx,1\),%xmm4,%xmm7
1703[ ]*[a-f0-9]+: 8f e8 78 ef 18 06[ ]+vpcomfalseuq \(%eax\),%xmm0,%xmm3
1704[ ]*[a-f0-9]+: 8f e8 58 ef c7 06[ ]+vpcomfalseuq %xmm7,%xmm4,%xmm0
1705[ ]*[a-f0-9]+: 8f e8 40 ed 18 06[ ]+vpcomfalseuw \(%eax\),%xmm7,%xmm3
1706[ ]*[a-f0-9]+: 8f e8 58 ed 04 0a 06[ ]+vpcomfalseuw \(%edx,%ecx,1\),%xmm4,%xmm0
1707[ ]*[a-f0-9]+: 8f e8 58 ed fe 06[ ]+vpcomfalseuw %xmm6,%xmm4,%xmm7
1708[ ]*[a-f0-9]+: 8f e8 58 ed df 06[ ]+vpcomfalseuw %xmm7,%xmm4,%xmm3
1709[ ]*[a-f0-9]+: 8f e8 40 ed f8 06[ ]+vpcomfalseuw %xmm0,%xmm7,%xmm7
1710[ ]*[a-f0-9]+: 8f e8 40 ed c7 06[ ]+vpcomfalseuw %xmm7,%xmm7,%xmm0
1711[ ]*[a-f0-9]+: 8f e8 40 ed 44 10 01 06[ ]+vpcomfalseuw 0x1\(%eax,%edx,1\),%xmm7,%xmm0
1712[ ]*[a-f0-9]+: 8f e8 78 ed 38 06[ ]+vpcomfalseuw \(%eax\),%xmm0,%xmm7
1713[ ]*[a-f0-9]+: 8f e8 58 cd 7c 10 01 06[ ]+vpcomfalsew 0x1\(%eax,%edx,1\),%xmm4,%xmm7
1714[ ]*[a-f0-9]+: 8f e8 58 cd 18 06[ ]+vpcomfalsew \(%eax\),%xmm4,%xmm3
1715[ ]*[a-f0-9]+: 8f e8 40 cd 3c 47 06[ ]+vpcomfalsew \(%edi,%eax,2\),%xmm7,%xmm7
1716[ ]*[a-f0-9]+: 8f e8 78 cd 1c 47 06[ ]+vpcomfalsew \(%edi,%eax,2\),%xmm0,%xmm3
1717[ ]*[a-f0-9]+: 8f e8 78 cd 3c 0a 06[ ]+vpcomfalsew \(%edx,%ecx,1\),%xmm0,%xmm7
1718[ ]*[a-f0-9]+: 8f e8 78 cd 7c 10 01 06[ ]+vpcomfalsew 0x1\(%eax,%edx,1\),%xmm0,%xmm7
1719[ ]*[a-f0-9]+: 8f e8 78 cd fe 06[ ]+vpcomfalsew %xmm6,%xmm0,%xmm7
1720[ ]*[a-f0-9]+: 8f e8 78 cd ff 06[ ]+vpcomfalsew %xmm7,%xmm0,%xmm7
1721[ ]*[a-f0-9]+: 8f e8 78 cc 3c 47 07[ ]+vpcomtrueb \(%edi,%eax,2\),%xmm0,%xmm7
1722[ ]*[a-f0-9]+: 8f e8 58 cc 1c 47 07[ ]+vpcomtrueb \(%edi,%eax,2\),%xmm4,%xmm3
1723[ ]*[a-f0-9]+: 8f e8 58 cc 18 07[ ]+vpcomtrueb \(%eax\),%xmm4,%xmm3
1724[ ]*[a-f0-9]+: 8f e8 40 cc 44 10 01 07[ ]+vpcomtrueb 0x1\(%eax,%edx,1\),%xmm7,%xmm0
1725[ ]*[a-f0-9]+: 8f e8 78 cc 5c 10 01 07[ ]+vpcomtrueb 0x1\(%eax,%edx,1\),%xmm0,%xmm3
1726[ ]*[a-f0-9]+: 8f e8 58 cc c7 07[ ]+vpcomtrueb %xmm7,%xmm4,%xmm0
1727[ ]*[a-f0-9]+: 8f e8 40 cc df 07[ ]+vpcomtrueb %xmm7,%xmm7,%xmm3
1728[ ]*[a-f0-9]+: 8f e8 40 cc c0 07[ ]+vpcomtrueb %xmm0,%xmm7,%xmm0
1729[ ]*[a-f0-9]+: 8f e8 40 ce 38 07[ ]+vpcomtrued \(%eax\),%xmm7,%xmm7
1730[ ]*[a-f0-9]+: 8f e8 58 ce c6 07[ ]+vpcomtrued %xmm6,%xmm4,%xmm0
1731[ ]*[a-f0-9]+: 8f e8 40 ce f8 07[ ]+vpcomtrued %xmm0,%xmm7,%xmm7
1732[ ]*[a-f0-9]+: 8f e8 58 ce 04 0a 07[ ]+vpcomtrued \(%edx,%ecx,1\),%xmm4,%xmm0
1733[ ]*[a-f0-9]+: 8f e8 40 ce 44 10 01 07[ ]+vpcomtrued 0x1\(%eax,%edx,1\),%xmm7,%xmm0
1734[ ]*[a-f0-9]+: 8f e8 78 ce ff 07[ ]+vpcomtrued %xmm7,%xmm0,%xmm7
1735[ ]*[a-f0-9]+: 8f e8 78 ce 38 07[ ]+vpcomtrued \(%eax\),%xmm0,%xmm7
1736[ ]*[a-f0-9]+: 8f e8 78 ce 1c 0a 07[ ]+vpcomtrued \(%edx,%ecx,1\),%xmm0,%xmm3
1737[ ]*[a-f0-9]+: 8f e8 40 cf 1c 47 07[ ]+vpcomtrueq \(%edi,%eax,2\),%xmm7,%xmm3
1738[ ]*[a-f0-9]+: 8f e8 40 cf df 07[ ]+vpcomtrueq %xmm7,%xmm7,%xmm3
1739[ ]*[a-f0-9]+: 8f e8 58 cf fe 07[ ]+vpcomtrueq %xmm6,%xmm4,%xmm7
1740[ ]*[a-f0-9]+: 8f e8 40 cf 04 47 07[ ]+vpcomtrueq \(%edi,%eax,2\),%xmm7,%xmm0
1741[ ]*[a-f0-9]+: 8f e8 58 cf c0 07[ ]+vpcomtrueq %xmm0,%xmm4,%xmm0
1742[ ]*[a-f0-9]+: 8f e8 78 cf c7 07[ ]+vpcomtrueq %xmm7,%xmm0,%xmm0
1743[ ]*[a-f0-9]+: 8f e8 78 cf 04 47 07[ ]+vpcomtrueq \(%edi,%eax,2\),%xmm0,%xmm0
1744[ ]*[a-f0-9]+: 8f e8 78 cf 38 07[ ]+vpcomtrueq \(%eax\),%xmm0,%xmm7
1745[ ]*[a-f0-9]+: 8f e8 78 ec 3c 0a 07[ ]+vpcomtrueub \(%edx,%ecx,1\),%xmm0,%xmm7
1746[ ]*[a-f0-9]+: 8f e8 40 ec 1c 47 07[ ]+vpcomtrueub \(%edi,%eax,2\),%xmm7,%xmm3
1747[ ]*[a-f0-9]+: 8f e8 40 ec 44 10 01 07[ ]+vpcomtrueub 0x1\(%eax,%edx,1\),%xmm7,%xmm0
1748[ ]*[a-f0-9]+: 8f e8 40 ec 5c 10 01 07[ ]+vpcomtrueub 0x1\(%eax,%edx,1\),%xmm7,%xmm3
1749[ ]*[a-f0-9]+: 8f e8 58 ec 44 10 01 07[ ]+vpcomtrueub 0x1\(%eax,%edx,1\),%xmm4,%xmm0
1750[ ]*[a-f0-9]+: 8f e8 40 ec 38 07[ ]+vpcomtrueub \(%eax\),%xmm7,%xmm7
1751[ ]*[a-f0-9]+: 8f e8 78 ec 04 47 07[ ]+vpcomtrueub \(%edi,%eax,2\),%xmm0,%xmm0
1752[ ]*[a-f0-9]+: 8f e8 78 ec de 07[ ]+vpcomtrueub %xmm6,%xmm0,%xmm3
1753[ ]*[a-f0-9]+: 8f e8 78 ee 3c 47 07[ ]+vpcomtrueud \(%edi,%eax,2\),%xmm0,%xmm7
1754[ ]*[a-f0-9]+: 8f e8 58 ee df 07[ ]+vpcomtrueud %xmm7,%xmm4,%xmm3
1755[ ]*[a-f0-9]+: 8f e8 58 ee c7 07[ ]+vpcomtrueud %xmm7,%xmm4,%xmm0
1756[ ]*[a-f0-9]+: 8f e8 58 ee 1c 47 07[ ]+vpcomtrueud \(%edi,%eax,2\),%xmm4,%xmm3
1757[ ]*[a-f0-9]+: 8f e8 78 ee 38 07[ ]+vpcomtrueud \(%eax\),%xmm0,%xmm7
1758[ ]*[a-f0-9]+: 8f e8 40 ee c6 07[ ]+vpcomtrueud %xmm6,%xmm7,%xmm0
1759[ ]*[a-f0-9]+: 8f e8 40 ee 44 10 01 07[ ]+vpcomtrueud 0x1\(%eax,%edx,1\),%xmm7,%xmm0
1760[ ]*[a-f0-9]+: 8f e8 78 ee 04 47 07[ ]+vpcomtrueud \(%edi,%eax,2\),%xmm0,%xmm0
1761[ ]*[a-f0-9]+: 8f e8 40 ef 3c 0a 07[ ]+vpcomtrueuq \(%edx,%ecx,1\),%xmm7,%xmm7
1762[ ]*[a-f0-9]+: 8f e8 78 ef 38 07[ ]+vpcomtrueuq \(%eax\),%xmm0,%xmm7
1763[ ]*[a-f0-9]+: 8f e8 40 ef 7c 10 01 07[ ]+vpcomtrueuq 0x1\(%eax,%edx,1\),%xmm7,%xmm7
1764[ ]*[a-f0-9]+: 8f e8 78 ef ff 07[ ]+vpcomtrueuq %xmm7,%xmm0,%xmm7
1765[ ]*[a-f0-9]+: 8f e8 40 ef 00 07[ ]+vpcomtrueuq \(%eax\),%xmm7,%xmm0
1766[ ]*[a-f0-9]+: 8f e8 78 ef 04 47 07[ ]+vpcomtrueuq \(%edi,%eax,2\),%xmm0,%xmm0
1767[ ]*[a-f0-9]+: 8f e8 40 ef 04 0a 07[ ]+vpcomtrueuq \(%edx,%ecx,1\),%xmm7,%xmm0
1768[ ]*[a-f0-9]+: 8f e8 78 ef c0 07[ ]+vpcomtrueuq %xmm0,%xmm0,%xmm0
1769[ ]*[a-f0-9]+: 8f e8 78 ed 1c 0a 07[ ]+vpcomtrueuw \(%edx,%ecx,1\),%xmm0,%xmm3
1770[ ]*[a-f0-9]+: 8f e8 40 ed 3c 47 07[ ]+vpcomtrueuw \(%edi,%eax,2\),%xmm7,%xmm7
1771[ ]*[a-f0-9]+: 8f e8 78 ed 44 10 01 07[ ]+vpcomtrueuw 0x1\(%eax,%edx,1\),%xmm0,%xmm0
1772[ ]*[a-f0-9]+: 8f e8 78 ed c7 07[ ]+vpcomtrueuw %xmm7,%xmm0,%xmm0
1773[ ]*[a-f0-9]+: 8f e8 40 ed f8 07[ ]+vpcomtrueuw %xmm0,%xmm7,%xmm7
1774[ ]*[a-f0-9]+: 8f e8 58 ed d8 07[ ]+vpcomtrueuw %xmm0,%xmm4,%xmm3
1775[ ]*[a-f0-9]+: 8f e8 40 ed 38 07[ ]+vpcomtrueuw \(%eax\),%xmm7,%xmm7
1776[ ]*[a-f0-9]+: 8f e8 78 ed f8 07[ ]+vpcomtrueuw %xmm0,%xmm0,%xmm7
1777[ ]*[a-f0-9]+: 8f e8 78 cd c6 07[ ]+vpcomtruew %xmm6,%xmm0,%xmm0
1778[ ]*[a-f0-9]+: 8f e8 40 cd 04 0a 07[ ]+vpcomtruew \(%edx,%ecx,1\),%xmm7,%xmm0
1779[ ]*[a-f0-9]+: 8f e8 40 cd 1c 0a 07[ ]+vpcomtruew \(%edx,%ecx,1\),%xmm7,%xmm3
1780[ ]*[a-f0-9]+: 8f e8 58 cd 3c 0a 07[ ]+vpcomtruew \(%edx,%ecx,1\),%xmm4,%xmm7
1781[ ]*[a-f0-9]+: 8f e8 58 cd 38 07[ ]+vpcomtruew \(%eax\),%xmm4,%xmm7
1782[ ]*[a-f0-9]+: 8f e8 40 cd fe 07[ ]+vpcomtruew %xmm6,%xmm7,%xmm7
1783[ ]*[a-f0-9]+: 8f e8 58 cd d8 07[ ]+vpcomtruew %xmm0,%xmm4,%xmm3
1784[ ]*[a-f0-9]+: 8f e8 78 cd 1c 0a 07[ ]+vpcomtruew \(%edx,%ecx,1\),%xmm0,%xmm3
5dd85c99 1785#pass
This page took 0.447281 seconds and 4 git commands to generate.