mac80211: track AP's beacon rate and give it to the driver
[deliverable/linux.git] / net / mac80211 / ieee80211_i.h
CommitLineData
f0706e82
JB
1/*
2 * Copyright 2002-2005, Instant802 Networks, Inc.
3 * Copyright 2005, Devicescape Software, Inc.
4 * Copyright 2006-2007 Jiri Benc <jbenc@suse.cz>
026331c4 5 * Copyright 2007-2010 Johannes Berg <johannes@sipsolutions.net>
f0706e82
JB
6 *
7 * This program is free software; you can redistribute it and/or modify
8 * it under the terms of the GNU General Public License version 2 as
9 * published by the Free Software Foundation.
10 */
11
12#ifndef IEEE80211_I_H
13#define IEEE80211_I_H
14
15#include <linux/kernel.h>
16#include <linux/device.h>
17#include <linux/if_ether.h>
18#include <linux/interrupt.h>
19#include <linux/list.h>
20#include <linux/netdevice.h>
21#include <linux/skbuff.h>
22#include <linux/workqueue.h>
23#include <linux/types.h>
24#include <linux/spinlock.h>
571ecf67 25#include <linux/etherdevice.h>
e1e54068 26#include <linux/leds.h>
a729cff8 27#include <linux/idr.h>
fe7a5d5c 28#include <net/ieee80211_radiotap.h>
93da9cc1 29#include <net/cfg80211.h>
51cb6db0 30#include <net/mac80211.h>
2c8dccc7 31#include "key.h"
f0706e82 32#include "sta_info.h"
bdcbd8e0 33#include "debug.h"
f0706e82 34
9cfb0009 35struct ieee80211_local;
f0706e82
JB
36
37/* Maximum number of broadcast/multicast frames to buffer when some of the
38 * associated stations are using power saving. */
39#define AP_MAX_BC_BUFFER 128
40
41/* Maximum number of frames buffered to all STAs, including multicast frames.
42 * Note: increasing this limit increases the potential memory requirement. Each
43 * frame can be up to about 2 kB long. */
44#define TOTAL_MAX_TX_BUFFER 512
45
46/* Required encryption head and tailroom */
47#define IEEE80211_ENCRYPT_HEADROOM 8
765cb46a 48#define IEEE80211_ENCRYPT_TAILROOM 18
f0706e82
JB
49
50/* IEEE 802.11 (Ch. 9.5 Defragmentation) requires support for concurrent
51 * reception of at least three fragmented frames. This limit can be increased
52 * by changing this define, at the cost of slower frame reassembly and
53 * increased memory use (about 2 kB of RAM per entry). */
54#define IEEE80211_FRAGMENT_MAX 4
55
12d3952f
FF
56#define TU_TO_JIFFIES(x) (usecs_to_jiffies((x) * 1024))
57#define TU_TO_EXP_TIME(x) (jiffies + TU_TO_JIFFIES(x))
20ad19d0 58
1ea6f9c0
JB
59/* power level hasn't been configured (or set to automatic) */
60#define IEEE80211_UNSET_POWER_LEVEL INT_MIN
61
d6a4ed6f
AN
62/*
63 * Some APs experience problems when working with U-APSD. Decrease the
64 * probability of that happening by using legacy mode for all ACs but VO.
65 * The AP that caused us trouble was a Cisco 4410N. It ignores our
66 * setting, and always treats non-VO ACs as legacy.
67 */
ab13315a 68#define IEEE80211_DEFAULT_UAPSD_QUEUES \
d6a4ed6f 69 IEEE80211_WMM_IE_STA_QOSINFO_AC_VO
ab13315a
KV
70
71#define IEEE80211_DEFAULT_MAX_SP_LEN \
72 IEEE80211_WMM_IE_STA_QOSINFO_SP_ALL
73
6ae16775
AQ
74#define IEEE80211_DEAUTH_FRAME_LEN (24 /* hdr */ + 2 /* reason */)
75
f0706e82
JB
76struct ieee80211_fragment_entry {
77 unsigned long first_frag_time;
78 unsigned int seq;
79 unsigned int rx_queue;
80 unsigned int last_frag;
81 unsigned int extra_len;
82 struct sk_buff_head skb_list;
83 int ccmp; /* Whether fragments were encrypted with CCMP */
84 u8 last_pn[6]; /* PN of the last fragment if CCMP was used */
85};
86
87
c2b13452 88struct ieee80211_bss {
ef429dad 89 u32 device_ts_beacon, device_ts_presp;
8c358bcd 90
43ac2ca3 91 bool wmm_used;
ab13315a 92 bool uapsd_supported;
00d3f14c 93
f0706e82
JB
94#define IEEE80211_MAX_SUPP_RATES 32
95 u8 supp_rates[IEEE80211_MAX_SUPP_RATES];
96 size_t supp_rates_len;
817cee76 97 struct ieee80211_rate *beacon_rate;
f0706e82 98
00d3f14c 99 /*
25985edc 100 * During association, we save an ERP value from a probe response so
5628221c
DD
101 * that we can feed ERP info to the driver when handling the
102 * association completes. these fields probably won't be up-to-date
00d3f14c
JB
103 * otherwise, you probably don't want to use them.
104 */
105 bool has_erp_value;
5628221c 106 u8 erp_value;
fcff4f10
PS
107
108 /* Keep track of the corruption of the last beacon/probe response. */
109 u8 corrupt_data;
110
111 /* Keep track of what bits of information we have valid info for. */
112 u8 valid_data;
113};
114
115/**
116 * enum ieee80211_corrupt_data_flags - BSS data corruption flags
117 * @IEEE80211_BSS_CORRUPT_BEACON: last beacon frame received was corrupted
118 * @IEEE80211_BSS_CORRUPT_PROBE_RESP: last probe response received was corrupted
119 *
120 * These are bss flags that are attached to a bss in the
121 * @corrupt_data field of &struct ieee80211_bss.
122 */
123enum ieee80211_bss_corrupt_data_flags {
124 IEEE80211_BSS_CORRUPT_BEACON = BIT(0),
125 IEEE80211_BSS_CORRUPT_PROBE_RESP = BIT(1)
126};
127
128/**
129 * enum ieee80211_valid_data_flags - BSS valid data flags
fcff4f10
PS
130 * @IEEE80211_BSS_VALID_WMM: WMM/UAPSD data was gathered from non-corrupt IE
131 * @IEEE80211_BSS_VALID_RATES: Supported rates were gathered from non-corrupt IE
132 * @IEEE80211_BSS_VALID_ERP: ERP flag was gathered from non-corrupt IE
133 *
134 * These are bss flags that are attached to a bss in the
135 * @valid_data field of &struct ieee80211_bss. They show which parts
136 * of the data structure were recieved as a result of an un-corrupted
137 * beacon/probe response.
138 */
139enum ieee80211_bss_valid_data_flags {
fcff4f10
PS
140 IEEE80211_BSS_VALID_WMM = BIT(1),
141 IEEE80211_BSS_VALID_RATES = BIT(2),
142 IEEE80211_BSS_VALID_ERP = BIT(3)
f0706e82
JB
143};
144
9ae54c84
JB
145typedef unsigned __bitwise__ ieee80211_tx_result;
146#define TX_CONTINUE ((__force ieee80211_tx_result) 0u)
147#define TX_DROP ((__force ieee80211_tx_result) 1u)
148#define TX_QUEUED ((__force ieee80211_tx_result) 2u)
149
5cf121c3
JB
150#define IEEE80211_TX_UNICAST BIT(1)
151#define IEEE80211_TX_PS_BUFFERED BIT(2)
5cf121c3
JB
152
153struct ieee80211_tx_data {
154 struct sk_buff *skb;
252b86c4 155 struct sk_buff_head skbs;
5cf121c3
JB
156 struct ieee80211_local *local;
157 struct ieee80211_sub_if_data *sdata;
158 struct sta_info *sta;
5cf121c3 159 struct ieee80211_key *key;
0d528d85 160 struct ieee80211_tx_rate rate;
5cf121c3 161
056cdd59 162 unsigned int flags;
5cf121c3
JB
163};
164
165
9ae54c84 166typedef unsigned __bitwise__ ieee80211_rx_result;
e4c26add
JB
167#define RX_CONTINUE ((__force ieee80211_rx_result) 0u)
168#define RX_DROP_UNUSABLE ((__force ieee80211_rx_result) 1u)
169#define RX_DROP_MONITOR ((__force ieee80211_rx_result) 2u)
170#define RX_QUEUED ((__force ieee80211_rx_result) 3u)
9ae54c84 171
554891e6
JB
172/**
173 * enum ieee80211_packet_rx_flags - packet RX flags
174 * @IEEE80211_RX_RA_MATCH: frame is destined to interface currently processed
175 * (incl. multicast frames)
554891e6
JB
176 * @IEEE80211_RX_FRAGMENTED: fragmented frame
177 * @IEEE80211_RX_AMSDU: a-MSDU packet
178 * @IEEE80211_RX_MALFORMED_ACTION_FRM: action frame is malformed
4cfda47b 179 * @IEEE80211_RX_DEFERRED_RELEASE: frame was subjected to receive reordering
554891e6
JB
180 *
181 * These are per-frame flags that are attached to a frame in the
182 * @rx_flags field of &struct ieee80211_rx_status.
183 */
184enum ieee80211_packet_rx_flags {
554891e6
JB
185 IEEE80211_RX_RA_MATCH = BIT(1),
186 IEEE80211_RX_FRAGMENTED = BIT(2),
187 IEEE80211_RX_AMSDU = BIT(3),
188 IEEE80211_RX_MALFORMED_ACTION_FRM = BIT(4),
4cfda47b 189 IEEE80211_RX_DEFERRED_RELEASE = BIT(5),
554891e6
JB
190};
191
192/**
193 * enum ieee80211_rx_flags - RX data flags
194 *
195 * @IEEE80211_RX_CMNTR: received on cooked monitor already
ee971924
JB
196 * @IEEE80211_RX_BEACON_REPORTED: This frame was already reported
197 * to cfg80211_report_obss_beacon().
554891e6
JB
198 *
199 * These flags are used across handling multiple interfaces
200 * for a single frame.
201 */
202enum ieee80211_rx_flags {
203 IEEE80211_RX_CMNTR = BIT(0),
ee971924 204 IEEE80211_RX_BEACON_REPORTED = BIT(1),
554891e6 205};
5cf121c3
JB
206
207struct ieee80211_rx_data {
f0706e82 208 struct sk_buff *skb;
f0706e82
JB
209 struct ieee80211_local *local;
210 struct ieee80211_sub_if_data *sdata;
211 struct sta_info *sta;
f0706e82 212 struct ieee80211_key *key;
056cdd59 213
056cdd59 214 unsigned int flags;
9e26297a
JB
215
216 /*
217 * Index into sequence numbers array, 0..16
218 * since the last (16) is used for non-QoS,
219 * will be 16 on non-QoS frames.
220 */
221 int seqno_idx;
222
223 /*
224 * Index into the security IV/PN arrays, 0..16
225 * since the last (16) is used for CCMP-encrypted
226 * management frames, will be set to 16 on mgmt
227 * frames and 0 on non-QoS frames.
228 */
229 int security_idx;
230
5cf121c3
JB
231 u32 tkip_iv32;
232 u16 tkip_iv16;
f0706e82
JB
233};
234
5dfdaf58
JB
235struct beacon_data {
236 u8 *head, *tail;
237 int head_len, tail_len;
8860020e 238 struct rcu_head rcu_head;
5dfdaf58
JB
239};
240
aa7a0080
ES
241struct probe_resp {
242 struct rcu_head rcu_head;
243 int len;
244 u8 data[0];
245};
246
d012a605 247struct ps_data {
f0706e82
JB
248 /* yes, this looks ugly, but guarantees that we can later use
249 * bitmap_empty :)
004c872e 250 * NB: don't touch this bitmap, use sta_info_{set,clear}_tim_bit */
f0706e82 251 u8 tim[sizeof(unsigned long) * BITS_TO_LONGS(IEEE80211_MAX_AID + 1)];
d012a605 252 struct sk_buff_head bc_buf;
056cdd59 253 atomic_t num_sta_ps; /* number of stations in PS mode */
5dfdaf58 254 int dtim_count;
512119b3 255 bool dtim_bc_mc;
f0706e82
JB
256};
257
d012a605
MP
258struct ieee80211_if_ap {
259 struct beacon_data __rcu *beacon;
260 struct probe_resp __rcu *probe_resp;
261
262 struct list_head vlans;
263
264 struct ps_data ps;
265 atomic_t num_mcast_sta; /* number of stations receiving multicast */
266};
267
f0706e82 268struct ieee80211_if_wds {
f0706e82 269 struct sta_info *sta;
056cdd59 270 u8 remote_addr[ETH_ALEN];
f0706e82
JB
271};
272
273struct ieee80211_if_vlan {
0ec3ca44 274 struct list_head list;
f14543ee
FF
275
276 /* used for all tx if the VLAN is configured to 4-addr mode */
40b275b6 277 struct sta_info __rcu *sta;
f0706e82
JB
278};
279
ee385855 280struct mesh_stats {
c8a61a7d
DW
281 __u32 fwded_mcast; /* Mesh forwarded multicast frames */
282 __u32 fwded_unicast; /* Mesh forwarded unicast frames */
283 __u32 fwded_frames; /* Mesh total forwarded frames */
ee385855
LCC
284 __u32 dropped_frames_ttl; /* Not transmitted since mesh_ttl == 0*/
285 __u32 dropped_frames_no_route; /* Not transmitted, no route found */
cfee66b0 286 __u32 dropped_frames_congestion;/* Not forwarded due to congestion */
ee385855
LCC
287};
288
289#define PREQ_Q_F_START 0x1
290#define PREQ_Q_F_REFRESH 0x2
291struct mesh_preq_queue {
292 struct list_head list;
293 u8 dst[ETH_ALEN];
294 u8 flags;
295};
296
2eb278e0
JB
297#if HZ/100 == 0
298#define IEEE80211_ROC_MIN_LEFT 1
299#else
300#define IEEE80211_ROC_MIN_LEFT (HZ/100)
301#endif
af6b6374 302
2eb278e0 303struct ieee80211_roc_work {
77fdaa12 304 struct list_head list;
2eb278e0 305 struct list_head dependents;
77fdaa12 306
2eb278e0 307 struct delayed_work work;
af6b6374
JB
308
309 struct ieee80211_sub_if_data *sdata;
310
f679f65d 311 struct ieee80211_channel *chan;
af6b6374 312
2eb278e0 313 bool started, abort, hw_begun, notified;
3fbd45ca 314 bool to_be_freed;
f679f65d 315
2eb278e0 316 unsigned long hw_start_time;
e4da8c37 317
2eb278e0
JB
318 u32 duration, req_duration;
319 struct sk_buff *frame;
50febf6a 320 u64 cookie, mgmt_tx_cookie;
d339d5ca 321 enum ieee80211_roc_type type;
77fdaa12
JB
322};
323
46900298 324/* flags used in struct ieee80211_if_managed.flags */
ab1faead 325enum ieee80211_sta_flags {
b291ba11
JB
326 IEEE80211_STA_BEACON_POLL = BIT(0),
327 IEEE80211_STA_CONNECTION_POLL = BIT(1),
328 IEEE80211_STA_CONTROL_PORT = BIT(2),
a8243b72 329 IEEE80211_STA_DISABLE_HT = BIT(4),
b291ba11
JB
330 IEEE80211_STA_CSA_RECEIVED = BIT(5),
331 IEEE80211_STA_MFP_ENABLED = BIT(6),
ab13315a 332 IEEE80211_STA_UAPSD_ENABLED = BIT(7),
375177bf 333 IEEE80211_STA_NULLFUNC_ACKED = BIT(8),
17e4ec14 334 IEEE80211_STA_RESET_SIGNAL_AVE = BIT(9),
24398e39 335 IEEE80211_STA_DISABLE_40MHZ = BIT(10),
d545daba 336 IEEE80211_STA_DISABLE_VHT = BIT(11),
f2d9d270
JB
337 IEEE80211_STA_DISABLE_80P80MHZ = BIT(12),
338 IEEE80211_STA_DISABLE_160MHZ = BIT(13),
ab1faead
JB
339};
340
66e67e41
JB
341struct ieee80211_mgd_auth_data {
342 struct cfg80211_bss *bss;
343 unsigned long timeout;
344 int tries;
345 u16 algorithm, expected_transaction;
346
347 u8 key[WLAN_KEY_LEN_WEP104];
348 u8 key_len, key_idx;
66e67e41 349 bool done;
89afe614 350 bool timeout_started;
66e67e41 351
6b8ece3a
JM
352 u16 sae_trans, sae_status;
353 size_t data_len;
354 u8 data[];
66e67e41
JB
355};
356
357struct ieee80211_mgd_assoc_data {
358 struct cfg80211_bss *bss;
359 const u8 *supp_rates;
66e67e41
JB
360
361 unsigned long timeout;
362 int tries;
363
364 u16 capability;
365 u8 prev_bssid[ETH_ALEN];
366 u8 ssid[IEEE80211_MAX_SSID_LEN];
367 u8 ssid_len;
368 u8 supp_rates_len;
76f0303d 369 bool wmm, uapsd;
989c6505 370 bool need_beacon;
66e67e41 371 bool synced;
89afe614 372 bool timeout_started;
66e67e41 373
9dde6423
JB
374 u8 ap_ht_param;
375
b08fbbd8
JB
376 struct ieee80211_vht_cap ap_vht_cap;
377
66e67e41
JB
378 size_t ie_len;
379 u8 ie[];
380};
381
46900298 382struct ieee80211_if_managed {
056cdd59 383 struct timer_list timer;
b291ba11
JB
384 struct timer_list conn_mon_timer;
385 struct timer_list bcn_mon_timer;
c481ec97 386 struct timer_list chswitch_timer;
b291ba11 387 struct work_struct monitor_work;
c481ec97 388 struct work_struct chswitch_work;
1e4dcd01 389 struct work_struct beacon_connection_loss_work;
882a7c69 390 struct work_struct csa_connection_drop_work;
46900298 391
7ccc8bd7 392 unsigned long beacon_timeout;
b291ba11 393 unsigned long probe_timeout;
a43abf29 394 int probe_send_count;
04ac3c0e 395 bool nullfunc_failed;
682bd38b 396 bool connection_loss;
b291ba11 397
0c1ad2ca 398 struct cfg80211_bss *associated;
66e67e41
JB
399 struct ieee80211_mgd_auth_data *auth_data;
400 struct ieee80211_mgd_assoc_data *assoc_data;
46900298 401
77fdaa12 402 u8 bssid[ETH_ALEN];
46900298 403
f0706e82 404 u16 aid;
f0706e82 405
965bedad 406 bool powersave; /* powersave requested for this iface */
05cb9108 407 bool broken_ap; /* AP is broken -- turn off powersave */
989c6505 408 bool have_beacon;
826262c3 409 u8 dtim_period;
0f78231b 410 enum ieee80211_smps_mode req_smps, /* requested smps mode */
d1f5b7a3
JB
411 driver_smps_mode; /* smps mode request */
412
413 struct work_struct request_smps_work;
965bedad 414
d6f2da5b 415 unsigned int flags;
f0706e82 416
d8ec4433 417 bool beacon_crc_valid;
d91f36db
JB
418 u32 beacon_crc;
419
1672c0e3
JB
420 bool status_acked;
421 bool status_received;
422 __le16 status_fc;
423
fdfacf0a
JM
424 enum {
425 IEEE80211_MFP_DISABLED,
426 IEEE80211_MFP_OPTIONAL,
427 IEEE80211_MFP_REQUIRED
428 } mfp; /* management frame protection */
429
dc41e4d4
EP
430 /*
431 * Bitmask of enabled u-apsd queues,
432 * IEEE80211_WMM_IE_STA_QOSINFO_AC_BE & co. Needs a new association
433 * to take effect.
434 */
435 unsigned int uapsd_queues;
436
437 /*
438 * Maximum number of buffered frames AP can deliver during a
439 * service period, IEEE80211_WMM_IE_STA_QOSINFO_SP_ALL or similar.
440 * Needs a new association to take effect.
441 */
442 unsigned int uapsd_max_sp_len;
443
f0706e82 444 int wmm_last_param_set;
9bc383de
JB
445
446 u8 use_4addr;
17e4ec14 447
67baf663 448 s16 p2p_noa_index;
488dd7b5 449
17e4ec14
JM
450 /* Signal strength from the last Beacon frame in the current BSS. */
451 int last_beacon_signal;
452
453 /*
454 * Weighted average of the signal strength from Beacon frames in the
455 * current BSS. This is in units of 1/16 of the signal unit to maintain
456 * accuracy and to speed up calculations, i.e., the value need to be
457 * divided by 16 to get the actual value.
458 */
459 int ave_beacon_signal;
460
391a200a
JM
461 /*
462 * Number of Beacon frames used in ave_beacon_signal. This can be used
463 * to avoid generating less reliable cqm events that would be based
464 * only on couple of received frames.
465 */
466 unsigned int count_beacon_signal;
467
17e4ec14
JM
468 /*
469 * Last Beacon frame signal strength average (ave_beacon_signal / 16)
470 * that triggered a cqm event. 0 indicates that no event has been
471 * generated for the current association.
472 */
473 int last_cqm_event_signal;
615f7b9b
MV
474
475 /*
476 * State variables for keeping track of RSSI of the AP currently
477 * connected to and informing driver when RSSI has gone
478 * below/above a certain threshold.
479 */
480 int rssi_min_thold, rssi_max_thold;
481 int last_ave_beacon_signal;
ef96a842
BG
482
483 struct ieee80211_ht_cap ht_capa; /* configured ht-cap over-rides */
484 struct ieee80211_ht_cap ht_capa_mask; /* Valid parts of ht_capa */
dd5ecfea
JB
485 struct ieee80211_vht_cap vht_capa; /* configured VHT overrides */
486 struct ieee80211_vht_cap vht_capa_mask; /* Valid parts of vht_capa */
f0706e82
JB
487};
488
46900298
JB
489struct ieee80211_if_ibss {
490 struct timer_list timer;
46900298 491
af8cdcd8 492 unsigned long last_scan_completed;
5bb644a0 493
fbd2c8dc
TP
494 u32 basic_rates;
495
af8cdcd8
JB
496 bool fixed_bssid;
497 bool fixed_channel;
fffd0934 498 bool privacy;
46900298 499
267335d6 500 bool control_port;
6abe0563 501 unsigned int auth_frame_registrations;
267335d6 502
4d196e4b 503 u8 bssid[ETH_ALEN] __aligned(2);
af8cdcd8
JB
504 u8 ssid[IEEE80211_MAX_SSID_LEN];
505 u8 ssid_len, ie_len;
506 u8 *ie;
507 struct ieee80211_channel *channel;
13c40c54 508 enum nl80211_channel_type channel_type;
46900298
JB
509
510 unsigned long ibss_join_req;
af8cdcd8 511 /* probe response/beacon for IBSS */
c3ffeab4 512 struct beacon_data __rcu *presp;
46900298 513
8bf11d8d
JB
514 spinlock_t incomplete_lock;
515 struct list_head incomplete_stations;
516
46900298
JB
517 enum {
518 IEEE80211_IBSS_MLME_SEARCH,
519 IEEE80211_IBSS_MLME_JOINED,
520 } state;
521};
522
dbf498fb
JC
523/**
524 * struct ieee80211_mesh_sync_ops - Extensible synchronization framework interface
525 *
526 * these declarations define the interface, which enables
527 * vendor-specific mesh synchronization
528 *
529 */
530struct ieee802_11_elems;
531struct ieee80211_mesh_sync_ops {
532 void (*rx_bcn_presp)(struct ieee80211_sub_if_data *sdata,
533 u16 stype,
534 struct ieee80211_mgmt *mgmt,
535 struct ieee802_11_elems *elems,
536 struct ieee80211_rx_status *rx_status);
537 void (*adjust_tbtt)(struct ieee80211_sub_if_data *sdata);
538 /* add other framework functions here */
539};
540
472dbc45 541struct ieee80211_if_mesh {
472dbc45
JB
542 struct timer_list housekeeping_timer;
543 struct timer_list mesh_path_timer;
e304bfd3 544 struct timer_list mesh_path_root_timer;
472dbc45 545
18889231 546 unsigned long wrkq_flags;
472dbc45
JB
547
548 u8 mesh_id[IEEE80211_MAX_MESH_ID_LEN];
549 size_t mesh_id_len;
550 /* Active Path Selection Protocol Identifier */
3491707a 551 u8 mesh_pp_id;
472dbc45 552 /* Active Path Selection Metric Identifier */
3491707a 553 u8 mesh_pm_id;
472dbc45 554 /* Congestion Control Mode Identifier */
3491707a 555 u8 mesh_cc_id;
9e03fdfd 556 /* Synchronization Protocol Identifier */
3491707a 557 u8 mesh_sp_id;
9e03fdfd 558 /* Authentication Protocol Identifier */
3491707a 559 u8 mesh_auth_id;
d19b3bf6
RP
560 /* Local mesh Sequence Number */
561 u32 sn;
472dbc45
JB
562 /* Last used PREQ ID */
563 u32 preq_id;
564 atomic_t mpaths;
d19b3bf6
RP
565 /* Timestamp of last SN update */
566 unsigned long last_sn_update;
dca7e943
TP
567 /* Time when it's ok to send next PERR */
568 unsigned long next_perr;
569 /* Timestamp of last PREQ sent */
472dbc45
JB
570 unsigned long last_preq;
571 struct mesh_rmc *rmc;
572 spinlock_t mesh_preq_queue_lock;
573 struct mesh_preq_queue preq_queue;
574 int preq_queue_len;
575 struct mesh_stats mshstats;
576 struct mesh_config mshcfg;
1258d976 577 atomic_t estab_plinks;
472dbc45
JB
578 u32 mesh_seqnum;
579 bool accepting_plinks;
5ee68e5b 580 int num_gates;
2b5e1967 581 struct beacon_data __rcu *beacon;
581a8b0f
JC
582 const u8 *ie;
583 u8 ie_len;
b130e5ce
JC
584 enum {
585 IEEE80211_MESH_SEC_NONE = 0x0,
586 IEEE80211_MESH_SEC_AUTHED = 0x1,
587 IEEE80211_MESH_SEC_SECURED = 0x2,
588 } security;
a6dad6a2 589 bool user_mpm;
dbf498fb 590 /* Extensible Synchronization Framework */
8ba7acf3 591 const struct ieee80211_mesh_sync_ops *sync_ops;
dbf498fb
JC
592 s64 sync_offset_clockdrift_max;
593 spinlock_t sync_offset_lock;
594 bool adjusting_tbtt;
3f52b7e3
MP
595 /* mesh power save */
596 enum nl80211_mesh_power_mode nonpeer_pm;
597 int ps_peers_light_sleep;
598 int ps_peers_deep_sleep;
599 struct ps_data ps;
472dbc45 600};
902acc78
JB
601
602#ifdef CONFIG_MAC80211_MESH
472dbc45
JB
603#define IEEE80211_IFSTA_MESH_CTR_INC(msh, name) \
604 do { (msh)->mshstats.name++; } while (0)
902acc78 605#else
472dbc45 606#define IEEE80211_IFSTA_MESH_CTR_INC(msh, name) \
902acc78
JB
607 do { } while (0)
608#endif
f0706e82 609
213cd118
JB
610/**
611 * enum ieee80211_sub_if_data_flags - virtual interface flags
612 *
613 * @IEEE80211_SDATA_ALLMULTI: interface wants all multicast packets
614 * @IEEE80211_SDATA_PROMISC: interface is promisc
213cd118
JB
615 * @IEEE80211_SDATA_OPERATING_GMODE: operating in G-only mode
616 * @IEEE80211_SDATA_DONT_BRIDGE_PACKETS: bridge packets between
617 * associated stations and deliver multicast frames both
618 * back to wireless media and to the local net stack.
95acac61 619 * @IEEE80211_SDATA_DISCONNECT_RESUME: Disconnect after resume.
7b7eab6f 620 * @IEEE80211_SDATA_IN_DRIVER: indicates interface was added to driver
213cd118
JB
621 */
622enum ieee80211_sub_if_data_flags {
623 IEEE80211_SDATA_ALLMULTI = BIT(0),
624 IEEE80211_SDATA_PROMISC = BIT(1),
7986cf95
JB
625 IEEE80211_SDATA_OPERATING_GMODE = BIT(2),
626 IEEE80211_SDATA_DONT_BRIDGE_PACKETS = BIT(3),
95acac61 627 IEEE80211_SDATA_DISCONNECT_RESUME = BIT(4),
7b7eab6f 628 IEEE80211_SDATA_IN_DRIVER = BIT(5),
213cd118
JB
629};
630
34d4bc4d
JB
631/**
632 * enum ieee80211_sdata_state_bits - virtual interface state bits
633 * @SDATA_STATE_RUNNING: virtual interface is up & running; this
634 * mirrors netif_running() but is separate for interface type
635 * change handling while the interface is up
5b714c6a
JB
636 * @SDATA_STATE_OFFCHANNEL: This interface is currently in offchannel
637 * mode, so queues are stopped
d6a83228
JB
638 * @SDATA_STATE_OFFCHANNEL_BEACON_STOPPED: Beaconing was stopped due
639 * to offchannel, reset when offchannel returns
34d4bc4d
JB
640 */
641enum ieee80211_sdata_state_bits {
642 SDATA_STATE_RUNNING,
5b714c6a 643 SDATA_STATE_OFFCHANNEL,
d6a83228 644 SDATA_STATE_OFFCHANNEL_BEACON_STOPPED,
34d4bc4d
JB
645};
646
d01a1e65
MK
647/**
648 * enum ieee80211_chanctx_mode - channel context configuration mode
649 *
650 * @IEEE80211_CHANCTX_SHARED: channel context may be used by
651 * multiple interfaces
652 * @IEEE80211_CHANCTX_EXCLUSIVE: channel context can be used
653 * only by a single interface. This can be used for example for
654 * non-fixed channel IBSS.
655 */
656enum ieee80211_chanctx_mode {
657 IEEE80211_CHANCTX_SHARED,
658 IEEE80211_CHANCTX_EXCLUSIVE
659};
660
661struct ieee80211_chanctx {
662 struct list_head list;
663 struct rcu_head rcu_head;
664
665 enum ieee80211_chanctx_mode mode;
666 int refcount;
8a61af65 667 bool driver_present;
d01a1e65
MK
668
669 struct ieee80211_chanctx_conf conf;
670};
671
f0706e82
JB
672struct ieee80211_sub_if_data {
673 struct list_head list;
f0706e82
JB
674
675 struct wireless_dev wdev;
676
11a843b7
JB
677 /* keys */
678 struct list_head key_list;
679
3bff1865
YAP
680 /* count for keys needing tailroom space allocation */
681 int crypto_tx_tailroom_needed_cnt;
8d1f7ecd
JB
682 int crypto_tx_tailroom_pending_dec;
683 struct delayed_work dec_tailroom_needed_wk;
3bff1865 684
f0706e82
JB
685 struct net_device *dev;
686 struct ieee80211_local *local;
687
13262ffd 688 unsigned int flags;
7e9ed188 689
34d4bc4d
JB
690 unsigned long state;
691
f0706e82 692 int drop_unencrypted;
f0706e82 693
47846c9b
JB
694 char name[IFNAMSIZ];
695
f0706e82
JB
696 /* Fragment table for host-based reassembly */
697 struct ieee80211_fragment_entry fragments[IEEE80211_FRAGMENT_MAX];
698 unsigned int fragment_next;
699
b53be792
SW
700 /* TID bitmap for NoAck policy */
701 u16 noack_map;
702
00e96dec
YD
703 /* bit field of ACM bits (BIT(802.1D tag)) */
704 u8 wmm_acm;
705
40b275b6
JB
706 struct ieee80211_key __rcu *keys[NUM_DEFAULT_KEYS + NUM_DEFAULT_MGMT_KEYS];
707 struct ieee80211_key __rcu *default_unicast_key;
708 struct ieee80211_key __rcu *default_multicast_key;
709 struct ieee80211_key __rcu *default_mgmt_key;
f0706e82 710
94778280 711 u16 sequence_number;
a621fa4d
JB
712 __be16 control_port_protocol;
713 bool control_port_no_encrypt;
94778280 714
54bcbc69 715 struct ieee80211_tx_queue_params tx_conf[IEEE80211_NUM_ACS];
f6f3def3 716
04ecd257
JB
717 /* used to reconfigure hardware SM PS */
718 struct work_struct recalc_smps;
719
64592c8f 720 struct work_struct work;
35f20c14
JB
721 struct sk_buff_head skb_queue;
722
04ecd257
JB
723 u8 needed_rx_chains;
724 enum ieee80211_smps_mode smps_mode;
725
1ea6f9c0
JB
726 int user_power_level; /* in dBm */
727 int ap_power_level; /* in dBm */
728
164eb02d
SW
729 bool radar_required;
730 struct delayed_work dfs_cac_timer_work;
731
471b3efd 732 /*
3e122be0
JB
733 * AP this belongs to: self in AP mode and
734 * corresponding AP in VLAN mode, NULL for
735 * all others (might be needed later in IBSS)
471b3efd 736 */
3e122be0
JB
737 struct ieee80211_if_ap *bss;
738
37eb0b16
JM
739 /* bitmap of allowed (non-MCS) rate indexes for rate control */
740 u32 rc_rateidx_mask[IEEE80211_NUM_BANDS];
2ffbe6d3
FF
741
742 bool rc_has_mcs_mask[IEEE80211_NUM_BANDS];
19468413 743 u8 rc_rateidx_mcs_mask[IEEE80211_NUM_BANDS][IEEE80211_HT_MCS_MASK_LEN];
f0706e82
JB
744
745 union {
746 struct ieee80211_if_ap ap;
747 struct ieee80211_if_wds wds;
748 struct ieee80211_if_vlan vlan;
46900298
JB
749 struct ieee80211_if_managed mgd;
750 struct ieee80211_if_ibss ibss;
472dbc45 751 struct ieee80211_if_mesh mesh;
8cc9a739 752 u32 mntr_flags;
f0706e82 753 } u;
e9f207f0 754
97f97b1f
JB
755 spinlock_t cleanup_stations_lock;
756 struct list_head cleanup_stations;
757 struct work_struct cleanup_stations_wk;
758
e9f207f0 759#ifdef CONFIG_MAC80211_DEBUGFS
2b58b209 760 struct {
295bafb4 761 struct dentry *subdir_stations;
f7e0104c
JB
762 struct dentry *default_unicast_key;
763 struct dentry *default_multicast_key;
3cfcf6ac 764 struct dentry *default_mgmt_key;
7bcfaf2f 765 } debugfs;
e9f207f0 766#endif
529ba6e9 767
32bfd35d
JB
768 /* must be last, dynamically sized area in this! */
769 struct ieee80211_vif vif;
f0706e82
JB
770};
771
32bfd35d
JB
772static inline
773struct ieee80211_sub_if_data *vif_to_sdata(struct ieee80211_vif *p)
774{
775 return container_of(p, struct ieee80211_sub_if_data, vif);
776}
777
8d61ffa5
JB
778static inline void sdata_lock(struct ieee80211_sub_if_data *sdata)
779 __acquires(&sdata->wdev.mtx)
780{
781 mutex_lock(&sdata->wdev.mtx);
782 __acquire(&sdata->wdev.mtx);
783}
784
785static inline void sdata_unlock(struct ieee80211_sub_if_data *sdata)
786 __releases(&sdata->wdev.mtx)
787{
788 mutex_unlock(&sdata->wdev.mtx);
789 __release(&sdata->wdev.mtx);
790}
791
792static inline void
793sdata_assert_lock(struct ieee80211_sub_if_data *sdata)
794{
795 lockdep_assert_held(&sdata->wdev.mtx);
796}
797
55de908a
JB
798static inline enum ieee80211_band
799ieee80211_get_sdata_band(struct ieee80211_sub_if_data *sdata)
800{
801 enum ieee80211_band band = IEEE80211_BAND_2GHZ;
802 struct ieee80211_chanctx_conf *chanctx_conf;
803
804 rcu_read_lock();
805 chanctx_conf = rcu_dereference(sdata->vif.chanctx_conf);
806 if (!WARN_ON(!chanctx_conf))
4bf88530 807 band = chanctx_conf->def.chan->band;
55de908a
JB
808 rcu_read_unlock();
809
810 return band;
811}
812
c1475ca9
JB
813enum sdata_queue_type {
814 IEEE80211_SDATA_QUEUE_TYPE_FRAME = 0,
815 IEEE80211_SDATA_QUEUE_AGG_START = 1,
816 IEEE80211_SDATA_QUEUE_AGG_STOP = 2,
817};
818
f0706e82
JB
819enum {
820 IEEE80211_RX_MSG = 1,
821 IEEE80211_TX_STATUS_MSG = 2,
822};
823
ce7c9111
KV
824enum queue_stop_reason {
825 IEEE80211_QUEUE_STOP_REASON_DRIVER,
520eb820 826 IEEE80211_QUEUE_STOP_REASON_PS,
96f5e66e
JB
827 IEEE80211_QUEUE_STOP_REASON_CSA,
828 IEEE80211_QUEUE_STOP_REASON_AGGREGATION,
25420604 829 IEEE80211_QUEUE_STOP_REASON_SUSPEND,
8f77f384 830 IEEE80211_QUEUE_STOP_REASON_SKB_ADD,
6c17b77b 831 IEEE80211_QUEUE_STOP_REASON_OFFCHANNEL,
445ea4e8 832 IEEE80211_QUEUE_STOP_REASON_FLUSH,
ce7c9111
KV
833};
834
65a6538a 835#ifdef CONFIG_MAC80211_LEDS
e1e54068
JB
836struct tpt_led_trigger {
837 struct led_trigger trig;
838 char name[32];
839 const struct ieee80211_tpt_blink *blink_table;
840 unsigned int blink_table_len;
841 struct timer_list timer;
e1e54068
JB
842 unsigned long prev_traffic;
843 unsigned long tx_bytes, rx_bytes;
67408c8c
JB
844 unsigned int active, want;
845 bool running;
e1e54068 846};
65a6538a 847#endif
e1e54068 848
142b9f50
HS
849/**
850 * mac80211 scan flags - currently active scan mode
851 *
852 * @SCAN_SW_SCANNING: We're currently in the process of scanning but may as
853 * well be on the operating channel
854 * @SCAN_HW_SCANNING: The hardware is scanning for us, we have no way to
855 * determine if we are on the operating channel or not
8a690674
BG
856 * @SCAN_ONCHANNEL_SCANNING: Do a software scan on only the current operating
857 * channel. This should not interrupt normal traffic.
8789d459
JB
858 * @SCAN_COMPLETED: Set for our scan work function when the driver reported
859 * that the scan completed.
860 * @SCAN_ABORTED: Set for our scan work function when the driver reported
861 * a scan complete for an aborted scan.
142b9f50 862 */
fbe9c429
HS
863enum {
864 SCAN_SW_SCANNING,
142b9f50 865 SCAN_HW_SCANNING,
8a690674 866 SCAN_ONCHANNEL_SCANNING,
8789d459
JB
867 SCAN_COMPLETED,
868 SCAN_ABORTED,
142b9f50
HS
869};
870
871/**
872 * enum mac80211_scan_state - scan state machine states
873 *
874 * @SCAN_DECISION: Main entry point to the scan state machine, this state
875 * determines if we should keep on scanning or switch back to the
876 * operating channel
877 * @SCAN_SET_CHANNEL: Set the next channel to be scanned
878 * @SCAN_SEND_PROBE: Send probe requests and wait for probe responses
07ef03ee
JB
879 * @SCAN_SUSPEND: Suspend the scan and go back to operating channel to
880 * send out data
881 * @SCAN_RESUME: Resume the scan and scan the next channel
cd2bb512 882 * @SCAN_ABORT: Abort the scan and go back to operating channel
142b9f50
HS
883 */
884enum mac80211_scan_state {
885 SCAN_DECISION,
886 SCAN_SET_CHANNEL,
887 SCAN_SEND_PROBE,
07ef03ee
JB
888 SCAN_SUSPEND,
889 SCAN_RESUME,
cd2bb512 890 SCAN_ABORT,
fbe9c429
HS
891};
892
f0706e82
JB
893struct ieee80211_local {
894 /* embed the driver visible part.
895 * don't cast (use the static inlines below), but we keep
896 * it first anyway so they become a no-op */
897 struct ieee80211_hw hw;
898
899 const struct ieee80211_ops *ops;
900
42935eca
LR
901 /*
902 * private workqueue to mac80211. mac80211 makes this accessible
903 * via ieee80211_queue_work()
904 */
905 struct workqueue_struct *workqueue;
906
e4e72fb4 907 unsigned long queue_stop_reasons[IEEE80211_MAX_QUEUES];
96f5e66e 908 /* also used to protect ampdu_ac_queue and amdpu_ac_stop_refcnt */
ce7c9111 909 spinlock_t queue_stop_reason_lock;
96f5e66e 910
f0706e82 911 int open_count;
3d30d949 912 int monitors, cooked_mntrs;
8cc9a739 913 /* number of interfaces with corresponding FIF_ flags */
7be5086d
JB
914 int fif_fcsfail, fif_plcpfail, fif_control, fif_other_bss, fif_pspoll,
915 fif_probe_req;
916 int probe_req_reg;
4150c572 917 unsigned int filter_flags; /* FIF_* */
3b8d81e0 918
3ffc2a90
JB
919 bool wiphy_ciphers_allocated;
920
fe57d9f5
JB
921 bool use_chanctx;
922
3b8d81e0
JB
923 /* protects the aggregated multicast list and filter calls */
924 spinlock_t filter_lock;
925
3ac64bee
JB
926 /* used for uploading changed mc list */
927 struct work_struct reconfig_filter;
928
3b8d81e0 929 /* aggregated multicast list */
22bedad3 930 struct netdev_hw_addr_list mc_list;
3b8d81e0 931
d0709a65 932 bool tim_in_locked_section; /* see ieee80211_beacon_get() */
5bb644a0
JB
933
934 /*
935 * suspended is true if we finished all the suspend _and_ we have
936 * not yet come up from resume. This is to be used by mac80211
937 * to ensure driver sanity during suspend and mac80211's own
938 * sanity. It can eventually be used for WoW as well.
939 */
940 bool suspended;
941
ceb99fe0
JB
942 /*
943 * Resuming is true while suspended, but when we're reprogramming the
944 * hardware -- at that time it's allowed to use ieee80211_queue_work()
945 * again even though some other parts of the stack are still suspended
946 * and we still drop received frames to avoid waking the stack.
947 */
948 bool resuming;
949
5bb644a0
JB
950 /*
951 * quiescing is true during the suspend process _only_ to
952 * ease timer cancelling etc.
953 */
954 bool quiescing;
955
ea77f12f
JB
956 /* device is started */
957 bool started;
958
04800ada
AN
959 /* device is during a HW reconfig */
960 bool in_reconfig;
961
eecc4800
JB
962 /* wowlan is enabled -- don't reconfig on resume */
963 bool wowlan;
964
164eb02d
SW
965 /* DFS/radar detection is enabled */
966 bool radar_detect_enabled;
967 struct work_struct radar_detected_work;
968
04ecd257
JB
969 /* number of RX chains the hardware has */
970 u8 rx_chains;
971
b306f453 972 int tx_headroom; /* required headroom for hardware/radiotap */
f0706e82 973
f0706e82
JB
974 /* Tasklet and skb queue to process calls from IRQ mode. All frames
975 * added to skb_queue will be processed, but frames in
976 * skb_queue_unreliable may be dropped if the total length of these
977 * queues increases over the limit. */
978#define IEEE80211_IRQSAFE_QUEUE_LIMIT 128
979 struct tasklet_struct tasklet;
980 struct sk_buff_head skb_queue;
981 struct sk_buff_head skb_queue_unreliable;
982
f9e124fb 983 spinlock_t rx_path_lock;
24a8fdad 984
d0709a65
JB
985 /* Station data */
986 /*
4d33960b
JB
987 * The mutex only protects the list, hash table and
988 * counter, reads are done with RCU.
d0709a65 989 */
34e89507 990 struct mutex sta_mtx;
4d33960b 991 spinlock_t tim_lock;
d0709a65 992 unsigned long num_sta;
4d33960b 993 struct list_head sta_list;
40b275b6 994 struct sta_info __rcu *sta_hash[STA_HASH_SIZE];
f0706e82 995 struct timer_list sta_cleanup;
f5ea9120 996 int sta_generation;
f0706e82 997
2a577d98 998 struct sk_buff_head pending[IEEE80211_MAX_QUEUES];
f0706e82
JB
999 struct tasklet_struct tx_pending_tasklet;
1000
a6a67db2 1001 atomic_t agg_queue_stop[IEEE80211_MAX_QUEUES];
cd8ffc80 1002
53918994
JB
1003 /* number of interfaces with corresponding IFF_ flags */
1004 atomic_t iff_allmultis, iff_promiscs;
f0706e82
JB
1005
1006 struct rate_control_ref *rate_ctrl;
1007
5f9f1812
FF
1008 struct crypto_cipher *wep_tx_tfm;
1009 struct crypto_cipher *wep_rx_tfm;
f0706e82 1010 u32 wep_iv;
f0706e82 1011
c771c9d8 1012 /* see iface.c */
79010420 1013 struct list_head interfaces;
c771c9d8 1014 struct mutex iflist_mtx;
79010420 1015
b16bd15c 1016 /*
ad0e2b5a 1017 * Key mutex, protects sdata's key_list and sta_info's
b16bd15c
JB
1018 * key pointers (write access, they're RCU.)
1019 */
ad0e2b5a 1020 struct mutex key_mtx;
b16bd15c 1021
a1699b75
JB
1022 /* mutex for scan and work locking */
1023 struct mutex mtx;
b16bd15c 1024
c2b13452 1025 /* Scanning and BSS list */
fbe9c429 1026 unsigned long scanning;
2a519311 1027 struct cfg80211_ssid scan_ssid;
5ba63533 1028 struct cfg80211_scan_request *int_scan_req;
4d36ec58 1029 struct cfg80211_scan_request *scan_req, *hw_scan_req;
2a519311 1030 struct ieee80211_channel *scan_channel;
4d36ec58 1031 enum ieee80211_band hw_scan_band;
f0706e82 1032 int scan_channel_idx;
de95a54b 1033 int scan_ies_len;
c604b9f2 1034 int hw_scan_ies_bufsize;
8318d78a 1035
85a9994a 1036 struct work_struct sched_scan_stopped_work;
5260a5b2 1037 struct ieee80211_sub_if_data __rcu *sched_scan_sdata;
79f460ca 1038
df13cce5 1039 unsigned long leave_oper_channel_time;
977923b0 1040 enum mac80211_scan_state next_scan_state;
f0706e82 1041 struct delayed_work scan_work;
e2fd5dbc 1042 struct ieee80211_sub_if_data __rcu *scan_sdata;
85220d71 1043 struct cfg80211_chan_def csa_chandef;
55de908a 1044 /* For backward compatibility only -- do not use */
675a0b04 1045 struct cfg80211_chan_def _oper_chandef;
f0706e82 1046
b8bc4b0a
JB
1047 /* Temporary remain-on-channel for off-channel operations */
1048 struct ieee80211_channel *tmp_channel;
b8bc4b0a 1049
d01a1e65
MK
1050 /* channel contexts */
1051 struct list_head chanctx_list;
1052 struct mutex chanctx_mtx;
1053
f0706e82
JB
1054 /* SNMP counters */
1055 /* dot11CountersTable */
1056 u32 dot11TransmittedFragmentCount;
1057 u32 dot11MulticastTransmittedFrameCount;
1058 u32 dot11FailedCount;
1059 u32 dot11RetryCount;
1060 u32 dot11MultipleRetryCount;
1061 u32 dot11FrameDuplicateCount;
1062 u32 dot11ReceivedFragmentCount;
1063 u32 dot11MulticastReceivedFrameCount;
1064 u32 dot11TransmittedFrameCount;
f0706e82
JB
1065
1066#ifdef CONFIG_MAC80211_LEDS
1067 int tx_led_counter, rx_led_counter;
cdcb006f 1068 struct led_trigger *tx_led, *rx_led, *assoc_led, *radio_led;
e1e54068 1069 struct tpt_led_trigger *tpt_led_trigger;
cdcb006f
ID
1070 char tx_led_name[32], rx_led_name[32],
1071 assoc_led_name[32], radio_led_name[32];
f0706e82
JB
1072#endif
1073
f0706e82
JB
1074#ifdef CONFIG_MAC80211_DEBUG_COUNTERS
1075 /* TX/RX handler statistics */
1076 unsigned int tx_handlers_drop;
1077 unsigned int tx_handlers_queued;
1078 unsigned int tx_handlers_drop_unencrypted;
1079 unsigned int tx_handlers_drop_fragment;
1080 unsigned int tx_handlers_drop_wep;
1081 unsigned int tx_handlers_drop_not_assoc;
1082 unsigned int tx_handlers_drop_unauth_port;
1083 unsigned int rx_handlers_drop;
1084 unsigned int rx_handlers_queued;
1085 unsigned int rx_handlers_drop_nullfunc;
1086 unsigned int rx_handlers_drop_defrag;
1087 unsigned int rx_handlers_drop_short;
f0706e82
JB
1088 unsigned int tx_expand_skb_head;
1089 unsigned int tx_expand_skb_head_cloned;
1090 unsigned int rx_expand_skb_head;
1091 unsigned int rx_expand_skb_head2;
1092 unsigned int rx_handlers_fragments;
1093 unsigned int tx_status_drop;
f0706e82
JB
1094#define I802_DEBUG_INC(c) (c)++
1095#else /* CONFIG_MAC80211_DEBUG_COUNTERS */
1096#define I802_DEBUG_INC(c) do { } while (0)
1097#endif /* CONFIG_MAC80211_DEBUG_COUNTERS */
1098
1099
f0706e82
JB
1100 int total_ps_buffered; /* total number of all buffered unicast and
1101 * multicast packets for power saving stations
1102 */
520eb820 1103
572e0012 1104 bool pspolling;
b203ffc3 1105 bool offchannel_ps_enabled;
965bedad
JB
1106 /*
1107 * PS can only be enabled when we have exactly one managed
1108 * interface (and monitors) in PS, this then points there.
1109 */
1110 struct ieee80211_sub_if_data *ps_sdata;
520eb820
KV
1111 struct work_struct dynamic_ps_enable_work;
1112 struct work_struct dynamic_ps_disable_work;
1113 struct timer_list dynamic_ps_timer;
10f644a4 1114 struct notifier_block network_latency_notifier;
2b2c009e 1115 struct notifier_block ifa_notifier;
a65240c1 1116 struct notifier_block ifa6_notifier;
f0706e82 1117
ff616381
JO
1118 /*
1119 * The dynamic ps timeout configured from user space via WEXT -
1120 * this will override whatever chosen by mac80211 internally.
1121 */
1122 int dynamic_ps_forced_timeout;
1123
1ea6f9c0 1124 int user_power_level; /* in dBm, for all interfaces */
2bf30fab 1125
0f78231b
JB
1126 enum ieee80211_smps_mode smps_mode;
1127
f2753ddb
JB
1128 struct work_struct restart_work;
1129
e9f207f0
JB
1130#ifdef CONFIG_MAC80211_DEBUGFS
1131 struct local_debugfsdentries {
4b7679a5 1132 struct dentry *rcdir;
e9f207f0
JB
1133 struct dentry *keys;
1134 } debugfs;
1135#endif
4e6cbfd0 1136
2eb278e0
JB
1137 /*
1138 * Remain-on-channel support
1139 */
1140 struct list_head roc_list;
21f83589 1141 struct work_struct hw_roc_start, hw_roc_done;
2eb278e0 1142 unsigned long hw_roc_start_time;
50febf6a 1143 u64 roc_cookie_counter;
21f83589 1144
a729cff8
JB
1145 struct idr ack_status_frames;
1146 spinlock_t ack_status_lock;
1147
f142c6b9
JB
1148 struct ieee80211_sub_if_data __rcu *p2p_sdata;
1149
4b6f1dd6
JB
1150 /* virtual monitor interface */
1151 struct ieee80211_sub_if_data __rcu *monitor_sdata;
4bf88530 1152 struct cfg80211_chan_def monitor_chandef;
f0706e82
JB
1153};
1154
3e122be0
JB
1155static inline struct ieee80211_sub_if_data *
1156IEEE80211_DEV_TO_SUB_IF(struct net_device *dev)
1157{
3e122be0
JB
1158 return netdev_priv(dev);
1159}
1160
71bbc994
JB
1161static inline struct ieee80211_sub_if_data *
1162IEEE80211_WDEV_TO_SUB_IF(struct wireless_dev *wdev)
1163{
1164 return container_of(wdev, struct ieee80211_sub_if_data, wdev);
1165}
1166
c1475ca9 1167/* this struct represents 802.11n's RA/TID combination */
eadc8d9e
RR
1168struct ieee80211_ra_tid {
1169 u8 ra[ETH_ALEN];
1170 u16 tid;
1171};
1172
dd76986b
JB
1173/* Parsed Information Elements */
1174struct ieee802_11_elems {
4a3cb702 1175 const u8 *ie_start;
dd76986b
JB
1176 size_t total_len;
1177
1178 /* pointers to IEs */
4a3cb702
JB
1179 const u8 *ssid;
1180 const u8 *supp_rates;
4a3cb702 1181 const u8 *ds_params;
4a3cb702 1182 const struct ieee80211_tim_ie *tim;
4a3cb702
JB
1183 const u8 *challenge;
1184 const u8 *rsn;
1185 const u8 *erp_info;
1186 const u8 *ext_supp_rates;
1187 const u8 *wmm_info;
1188 const u8 *wmm_param;
1189 const struct ieee80211_ht_cap *ht_cap_elem;
1190 const struct ieee80211_ht_operation *ht_operation;
1191 const struct ieee80211_vht_cap *vht_cap_elem;
1192 const struct ieee80211_vht_operation *vht_operation;
1193 const struct ieee80211_meshconf_ie *mesh_config;
1194 const u8 *mesh_id;
1195 const u8 *peering;
1196 const __le16 *awake_window;
1197 const u8 *preq;
1198 const u8 *prep;
1199 const u8 *perr;
1200 const struct ieee80211_rann_ie *rann;
1201 const struct ieee80211_channel_sw_ie *ch_switch_ie;
b4f286a1 1202 const struct ieee80211_ext_chansw_ie *ext_chansw_ie;
b2e506bf 1203 const struct ieee80211_wide_bw_chansw_ie *wide_bw_chansw_ie;
4a3cb702
JB
1204 const u8 *country_elem;
1205 const u8 *pwr_constr_elem;
79ba1d89 1206 const struct ieee80211_timeout_interval_ie *timeout_int;
4a3cb702 1207 const u8 *opmode_notif;
85220d71 1208 const struct ieee80211_sec_chan_offs_ie *sec_chan_offs;
dd76986b
JB
1209
1210 /* length of them, respectively */
1211 u8 ssid_len;
1212 u8 supp_rates_len;
dd76986b 1213 u8 tim_len;
dd76986b 1214 u8 challenge_len;
dd76986b 1215 u8 rsn_len;
dd76986b
JB
1216 u8 ext_supp_rates_len;
1217 u8 wmm_info_len;
1218 u8 wmm_param_len;
1219 u8 mesh_id_len;
1220 u8 peering_len;
1221 u8 preq_len;
1222 u8 prep_len;
1223 u8 perr_len;
dd76986b 1224 u8 country_elem_len;
fcff4f10
PS
1225
1226 /* whether a parse error occurred while retrieving these elements */
1227 bool parse_error;
dd76986b
JB
1228};
1229
f0706e82
JB
1230static inline struct ieee80211_local *hw_to_local(
1231 struct ieee80211_hw *hw)
1232{
1233 return container_of(hw, struct ieee80211_local, hw);
1234}
1235
f0706e82 1236
571ecf67
JB
1237static inline int ieee80211_bssid_match(const u8 *raddr, const u8 *addr)
1238{
b203ca39 1239 return ether_addr_equal(raddr, addr) ||
571ecf67
JB
1240 is_broadcast_ether_addr(raddr);
1241}
1242
f4bda337
TP
1243static inline bool
1244ieee80211_have_rx_timestamp(struct ieee80211_rx_status *status)
1245{
1246 WARN_ON_ONCE(status->flag & RX_FLAG_MACTIME_START &&
1247 status->flag & RX_FLAG_MACTIME_END);
1248 return status->flag & (RX_FLAG_MACTIME_START | RX_FLAG_MACTIME_END);
1249}
571ecf67 1250
f4bda337
TP
1251u64 ieee80211_calculate_rx_timestamp(struct ieee80211_local *local,
1252 struct ieee80211_rx_status *status,
1253 unsigned int mpdu_len,
1254 unsigned int mpdu_offset);
e8975581 1255int ieee80211_hw_config(struct ieee80211_local *local, u32 changed);
5cf121c3 1256void ieee80211_tx_set_protected(struct ieee80211_tx_data *tx);
9c6bd790
JB
1257void ieee80211_bss_info_change_notify(struct ieee80211_sub_if_data *sdata,
1258 u32 changed);
0d143fe1 1259void ieee80211_configure_filter(struct ieee80211_local *local);
46900298 1260u32 ieee80211_reset_erp_info(struct ieee80211_sub_if_data *sdata);
f0706e82 1261
46900298 1262/* STA code */
9c6bd790 1263void ieee80211_sta_setup_sdata(struct ieee80211_sub_if_data *sdata);
77fdaa12
JB
1264int ieee80211_mgd_auth(struct ieee80211_sub_if_data *sdata,
1265 struct cfg80211_auth_request *req);
1266int ieee80211_mgd_assoc(struct ieee80211_sub_if_data *sdata,
1267 struct cfg80211_assoc_request *req);
1268int ieee80211_mgd_deauth(struct ieee80211_sub_if_data *sdata,
63c9c5e7 1269 struct cfg80211_deauth_request *req);
77fdaa12 1270int ieee80211_mgd_disassoc(struct ieee80211_sub_if_data *sdata,
63c9c5e7 1271 struct cfg80211_disassoc_request *req);
572e0012
KV
1272void ieee80211_send_pspoll(struct ieee80211_local *local,
1273 struct ieee80211_sub_if_data *sdata);
10f644a4 1274void ieee80211_recalc_ps(struct ieee80211_local *local, s32 latency);
ab095877 1275void ieee80211_recalc_ps_vif(struct ieee80211_sub_if_data *sdata);
10f644a4
JB
1276int ieee80211_max_network_latency(struct notifier_block *nb,
1277 unsigned long data, void *dummy);
2b2c009e 1278int ieee80211_set_arp_filter(struct ieee80211_sub_if_data *sdata);
1fa57d01
JB
1279void ieee80211_sta_work(struct ieee80211_sub_if_data *sdata);
1280void ieee80211_sta_rx_queued_mgmt(struct ieee80211_sub_if_data *sdata,
1281 struct sk_buff *skb);
d3a910a8 1282void ieee80211_sta_reset_beacon_monitor(struct ieee80211_sub_if_data *sdata);
be099e82 1283void ieee80211_sta_reset_conn_monitor(struct ieee80211_sub_if_data *sdata);
afa762f6 1284void ieee80211_mgd_stop(struct ieee80211_sub_if_data *sdata);
1672c0e3
JB
1285void ieee80211_mgd_conn_tx_status(struct ieee80211_sub_if_data *sdata,
1286 __le16 fc, bool acked);
b8360ab8 1287void ieee80211_sta_restart(struct ieee80211_sub_if_data *sdata);
9c6bd790 1288
46900298 1289/* IBSS code */
46900298
JB
1290void ieee80211_ibss_notify_scan_completed(struct ieee80211_local *local);
1291void ieee80211_ibss_setup_sdata(struct ieee80211_sub_if_data *sdata);
8bf11d8d
JB
1292void ieee80211_ibss_rx_no_sta(struct ieee80211_sub_if_data *sdata,
1293 const u8 *bssid, const u8 *addr, u32 supp_rates);
af8cdcd8
JB
1294int ieee80211_ibss_join(struct ieee80211_sub_if_data *sdata,
1295 struct cfg80211_ibss_params *params);
1296int ieee80211_ibss_leave(struct ieee80211_sub_if_data *sdata);
1fa57d01
JB
1297void ieee80211_ibss_work(struct ieee80211_sub_if_data *sdata);
1298void ieee80211_ibss_rx_queued_mgmt(struct ieee80211_sub_if_data *sdata,
1299 struct sk_buff *skb);
1300
1301/* mesh code */
1302void ieee80211_mesh_work(struct ieee80211_sub_if_data *sdata);
1303void ieee80211_mesh_rx_queued_mgmt(struct ieee80211_sub_if_data *sdata,
1304 struct sk_buff *skb);
46900298 1305
9c6bd790 1306/* scan/BSS handling */
46900298 1307void ieee80211_scan_work(struct work_struct *work);
34bcf715
SG
1308int ieee80211_request_ibss_scan(struct ieee80211_sub_if_data *sdata,
1309 const u8 *ssid, u8 ssid_len,
1310 struct ieee80211_channel *chan);
c2b13452 1311int ieee80211_request_scan(struct ieee80211_sub_if_data *sdata,
2a519311 1312 struct cfg80211_scan_request *req);
5bb644a0 1313void ieee80211_scan_cancel(struct ieee80211_local *local);
133d40f9 1314void ieee80211_run_deferred_scan(struct ieee80211_local *local);
d48b2968 1315void ieee80211_scan_rx(struct ieee80211_local *local, struct sk_buff *skb);
9c6bd790 1316
0a51b27e 1317void ieee80211_mlme_notify_scan_completed(struct ieee80211_local *local);
c2b13452 1318struct ieee80211_bss *
98c8fccf
JB
1319ieee80211_bss_info_update(struct ieee80211_local *local,
1320 struct ieee80211_rx_status *rx_status,
1321 struct ieee80211_mgmt *mgmt,
1322 size_t len,
1323 struct ieee802_11_elems *elems,
d45c4172 1324 struct ieee80211_channel *channel);
98c8fccf 1325void ieee80211_rx_bss_put(struct ieee80211_local *local,
c2b13452 1326 struct ieee80211_bss *bss);
ee385855 1327
79f460ca
LC
1328/* scheduled scan handling */
1329int ieee80211_request_sched_scan_start(struct ieee80211_sub_if_data *sdata,
1330 struct cfg80211_sched_scan_request *req);
85a9994a
LC
1331int ieee80211_request_sched_scan_stop(struct ieee80211_sub_if_data *sdata);
1332void ieee80211_sched_scan_stopped_work(struct work_struct *work);
79f460ca 1333
b203ffc3 1334/* off-channel helpers */
aacde9ee
SG
1335void ieee80211_offchannel_stop_vifs(struct ieee80211_local *local);
1336void ieee80211_offchannel_return(struct ieee80211_local *local);
2eb278e0
JB
1337void ieee80211_roc_setup(struct ieee80211_local *local);
1338void ieee80211_start_next_roc(struct ieee80211_local *local);
c8f994ee
JB
1339void ieee80211_roc_purge(struct ieee80211_local *local,
1340 struct ieee80211_sub_if_data *sdata);
3fbd45ca 1341void ieee80211_roc_notify_destroy(struct ieee80211_roc_work *roc, bool free);
2eb278e0
JB
1342void ieee80211_sw_roc_work(struct work_struct *work);
1343void ieee80211_handle_roc_started(struct ieee80211_roc_work *roc);
b203ffc3 1344
3e122be0 1345/* interface handling */
47846c9b
JB
1346int ieee80211_iface_init(void);
1347void ieee80211_iface_exit(void);
3e122be0 1348int ieee80211_if_add(struct ieee80211_local *local, const char *name,
84efbb84 1349 struct wireless_dev **new_wdev, enum nl80211_iftype type,
ee385855 1350 struct vif_params *params);
f3947e2d 1351int ieee80211_if_change_type(struct ieee80211_sub_if_data *sdata,
05c914fe 1352 enum nl80211_iftype type);
f698d856 1353void ieee80211_if_remove(struct ieee80211_sub_if_data *sdata);
75636525 1354void ieee80211_remove_interfaces(struct ieee80211_local *local);
382a103b 1355u32 ieee80211_idle_off(struct ieee80211_local *local);
5cff20e6 1356void ieee80211_recalc_idle(struct ieee80211_local *local);
85416a4f
CL
1357void ieee80211_adjust_monitor_flags(struct ieee80211_sub_if_data *sdata,
1358 const int offset);
f142c6b9
JB
1359int ieee80211_do_open(struct wireless_dev *wdev, bool coming_up);
1360void ieee80211_sdata_stop(struct ieee80211_sub_if_data *sdata);
3c3e21e7
JB
1361int ieee80211_add_virtual_monitor(struct ieee80211_local *local);
1362void ieee80211_del_virtual_monitor(struct ieee80211_local *local);
f0706e82 1363
1ea6f9c0
JB
1364bool __ieee80211_recalc_txpower(struct ieee80211_sub_if_data *sdata);
1365void ieee80211_recalc_txpower(struct ieee80211_sub_if_data *sdata);
1366
9607e6b6
JB
1367static inline bool ieee80211_sdata_running(struct ieee80211_sub_if_data *sdata)
1368{
34d4bc4d 1369 return test_bit(SDATA_STATE_RUNNING, &sdata->state);
9607e6b6
JB
1370}
1371
e2ebc74d 1372/* tx handling */
e2ebc74d
JB
1373void ieee80211_clear_tx_pending(struct ieee80211_local *local);
1374void ieee80211_tx_pending(unsigned long data);
d0cf9c0d
SH
1375netdev_tx_t ieee80211_monitor_start_xmit(struct sk_buff *skb,
1376 struct net_device *dev);
1377netdev_tx_t ieee80211_subif_start_xmit(struct sk_buff *skb,
1378 struct net_device *dev);
1f98ab7f
FF
1379void ieee80211_purge_tx_queue(struct ieee80211_hw *hw,
1380 struct sk_buff_head *skbs);
e2ebc74d 1381
de1ede7a 1382/* HT */
ef96a842
BG
1383void ieee80211_apply_htcap_overrides(struct ieee80211_sub_if_data *sdata,
1384 struct ieee80211_sta_ht_cap *ht_cap);
e1a0c6b3 1385bool ieee80211_ht_cap_ie_to_sta_ht_cap(struct ieee80211_sub_if_data *sdata,
ef96a842 1386 struct ieee80211_supported_band *sband,
4a3cb702 1387 const struct ieee80211_ht_cap *ht_cap_ie,
e1a0c6b3 1388 struct sta_info *sta);
b8695a8f
JB
1389void ieee80211_send_delba(struct ieee80211_sub_if_data *sdata,
1390 const u8 *da, u16 tid,
1391 u16 initiator, u16 reason_code);
0f78231b
JB
1392int ieee80211_send_smps_action(struct ieee80211_sub_if_data *sdata,
1393 enum ieee80211_smps_mode smps, const u8 *da,
1394 const u8 *bssid);
d1f5b7a3 1395void ieee80211_request_smps_work(struct work_struct *work);
de1ede7a 1396
7c3b1dd8 1397void ___ieee80211_stop_rx_ba_session(struct sta_info *sta, u16 tid,
53f73c09 1398 u16 initiator, u16 reason, bool stop);
849b7967 1399void __ieee80211_stop_rx_ba_session(struct sta_info *sta, u16 tid,
53f73c09 1400 u16 initiator, u16 reason, bool stop);
c82c4a80
JB
1401void ieee80211_sta_tear_down_BA_sessions(struct sta_info *sta,
1402 enum ieee80211_agg_stop_reason reason);
de1ede7a
JB
1403void ieee80211_process_delba(struct ieee80211_sub_if_data *sdata,
1404 struct sta_info *sta,
1405 struct ieee80211_mgmt *mgmt, size_t len);
1406void ieee80211_process_addba_resp(struct ieee80211_local *local,
1407 struct sta_info *sta,
1408 struct ieee80211_mgmt *mgmt,
1409 size_t len);
1410void ieee80211_process_addba_request(struct ieee80211_local *local,
1411 struct sta_info *sta,
1412 struct ieee80211_mgmt *mgmt,
1413 size_t len);
1414
849b7967 1415int __ieee80211_stop_tx_ba_session(struct sta_info *sta, u16 tid,
c82c4a80 1416 enum ieee80211_agg_stop_reason reason);
67c282c0 1417int ___ieee80211_stop_tx_ba_session(struct sta_info *sta, u16 tid,
c82c4a80 1418 enum ieee80211_agg_stop_reason reason);
5d22c89b
JB
1419void ieee80211_start_tx_ba_cb(struct ieee80211_vif *vif, u8 *ra, u16 tid);
1420void ieee80211_stop_tx_ba_cb(struct ieee80211_vif *vif, u8 *ra, u8 tid);
67c282c0
JB
1421void ieee80211_ba_session_work(struct work_struct *work);
1422void ieee80211_tx_ba_session_handle_start(struct sta_info *sta, int tid);
2bff8ebf 1423void ieee80211_release_reorder_timeout(struct sta_info *sta, int tid);
849b7967 1424
04ecd257
JB
1425u8 ieee80211_mcs_to_chains(const struct ieee80211_mcs_info *mcs);
1426
818255ea 1427/* VHT */
4a3cb702
JB
1428void
1429ieee80211_vht_cap_ie_to_sta_vht_cap(struct ieee80211_sub_if_data *sdata,
1430 struct ieee80211_supported_band *sband,
1431 const struct ieee80211_vht_cap *vht_cap_ie,
1432 struct sta_info *sta);
e1a0c6b3 1433enum ieee80211_sta_rx_bandwidth ieee80211_sta_cur_vht_bw(struct sta_info *sta);
8921d04e 1434void ieee80211_sta_set_rx_nss(struct sta_info *sta);
0af83d3d
JB
1435void ieee80211_vht_handle_opmode(struct ieee80211_sub_if_data *sdata,
1436 struct sta_info *sta, u8 opmode,
bee7f586 1437 enum ieee80211_band band, bool nss_only);
dd5ecfea
JB
1438void ieee80211_apply_vhtcap_overrides(struct ieee80211_sub_if_data *sdata,
1439 struct ieee80211_sta_vht_cap *vht_cap);
4a34215e 1440
39192c0b
JB
1441/* Spectrum management */
1442void ieee80211_process_measurement_req(struct ieee80211_sub_if_data *sdata,
1443 struct ieee80211_mgmt *mgmt,
1444 size_t len);
1445
f2753ddb
JB
1446/* Suspend/resume and hw reconfiguration */
1447int ieee80211_reconfig(struct ieee80211_local *local);
84f6a01c 1448void ieee80211_stop_device(struct ieee80211_local *local);
f2753ddb 1449
eecc4800
JB
1450int __ieee80211_suspend(struct ieee80211_hw *hw,
1451 struct cfg80211_wowlan *wowlan);
f2753ddb
JB
1452
1453static inline int __ieee80211_resume(struct ieee80211_hw *hw)
1454{
85f72bc8
JL
1455 struct ieee80211_local *local = hw_to_local(hw);
1456
1457 WARN(test_bit(SCAN_HW_SCANNING, &local->scanning),
1458 "%s: resume with hardware scan still in progress\n",
1459 wiphy_name(hw->wiphy));
1460
f2753ddb
JB
1461 return ieee80211_reconfig(hw_to_local(hw));
1462}
665af4fc 1463
c2d1560a
JB
1464/* utility functions/constants */
1465extern void *mac80211_wiphy_privid; /* for wiphy privid */
71364716 1466u8 *ieee80211_get_bssid(struct ieee80211_hdr *hdr, size_t len,
05c914fe 1467 enum nl80211_iftype type);
4ee73f33 1468int ieee80211_frame_duration(enum ieee80211_band band, size_t len,
c2d1560a 1469 int rate, int erp, int short_preamble);
f698d856 1470void mac80211_ev_michael_mic_failure(struct ieee80211_sub_if_data *sdata, int keyidx,
e6d6e342
JB
1471 struct ieee80211_hdr *hdr, const u8 *tsc,
1472 gfp_t gfp);
3abead59
JB
1473void ieee80211_set_wmm_default(struct ieee80211_sub_if_data *sdata,
1474 bool bss_notify);
55de908a
JB
1475void ieee80211_xmit(struct ieee80211_sub_if_data *sdata, struct sk_buff *skb,
1476 enum ieee80211_band band);
1477
1478void __ieee80211_tx_skb_tid_band(struct ieee80211_sub_if_data *sdata,
1479 struct sk_buff *skb, int tid,
1480 enum ieee80211_band band);
1481
1482static inline void
1483ieee80211_tx_skb_tid_band(struct ieee80211_sub_if_data *sdata,
1484 struct sk_buff *skb, int tid,
1485 enum ieee80211_band band)
1486{
1487 rcu_read_lock();
1488 __ieee80211_tx_skb_tid_band(sdata, skb, tid, band);
1489 rcu_read_unlock();
1490}
cf6bb79a 1491
55de908a
JB
1492static inline void ieee80211_tx_skb_tid(struct ieee80211_sub_if_data *sdata,
1493 struct sk_buff *skb, int tid)
1494{
1495 struct ieee80211_chanctx_conf *chanctx_conf;
1496
1497 rcu_read_lock();
1498 chanctx_conf = rcu_dereference(sdata->vif.chanctx_conf);
1499 if (WARN_ON(!chanctx_conf)) {
1500 rcu_read_unlock();
1501 kfree_skb(skb);
1502 return;
1503 }
1504
1505 __ieee80211_tx_skb_tid_band(sdata, skb, tid,
4bf88530 1506 chanctx_conf->def.chan->band);
55de908a
JB
1507 rcu_read_unlock();
1508}
1509
1510static inline void ieee80211_tx_skb(struct ieee80211_sub_if_data *sdata,
cf6bb79a
HS
1511 struct sk_buff *skb)
1512{
1513 /* Send all internal mgmt frames on VO. Accordingly set TID to 7. */
1514 ieee80211_tx_skb_tid(sdata, skb, 7);
1515}
1516
b2e506bf 1517u32 ieee802_11_parse_elems_crc(u8 *start, size_t len, bool action,
d91f36db
JB
1518 struct ieee802_11_elems *elems,
1519 u64 filter, u32 crc);
b2e506bf 1520static inline void ieee802_11_parse_elems(u8 *start, size_t len, bool action,
ddc4db2e
JB
1521 struct ieee802_11_elems *elems)
1522{
b2e506bf 1523 ieee802_11_parse_elems_crc(start, len, action, elems, 0, 0);
ddc4db2e
JB
1524}
1525
520eb820
KV
1526void ieee80211_dynamic_ps_enable_work(struct work_struct *work);
1527void ieee80211_dynamic_ps_disable_work(struct work_struct *work);
1528void ieee80211_dynamic_ps_timer(unsigned long data);
a97b77b9
VN
1529void ieee80211_send_nullfunc(struct ieee80211_local *local,
1530 struct ieee80211_sub_if_data *sdata,
1531 int powersave);
3cf335d5
KV
1532void ieee80211_sta_rx_notify(struct ieee80211_sub_if_data *sdata,
1533 struct ieee80211_hdr *hdr);
4e5ff376 1534void ieee80211_sta_tx_notify(struct ieee80211_sub_if_data *sdata,
04ac3c0e 1535 struct ieee80211_hdr *hdr, bool ack);
520eb820 1536
ce7c9111 1537void ieee80211_wake_queues_by_reason(struct ieee80211_hw *hw,
445ea4e8 1538 unsigned long queues,
ce7c9111
KV
1539 enum queue_stop_reason reason);
1540void ieee80211_stop_queues_by_reason(struct ieee80211_hw *hw,
445ea4e8 1541 unsigned long queues,
ce7c9111 1542 enum queue_stop_reason reason);
96f5e66e
JB
1543void ieee80211_wake_queue_by_reason(struct ieee80211_hw *hw, int queue,
1544 enum queue_stop_reason reason);
1545void ieee80211_stop_queue_by_reason(struct ieee80211_hw *hw, int queue,
1546 enum queue_stop_reason reason);
3a25a8c8 1547void ieee80211_propagate_queue_wake(struct ieee80211_local *local, int queue);
8f77f384
JB
1548void ieee80211_add_pending_skb(struct ieee80211_local *local,
1549 struct sk_buff *skb);
b0b97a8a
JB
1550void ieee80211_add_pending_skbs_fn(struct ieee80211_local *local,
1551 struct sk_buff_head *skbs,
1552 void (*fn)(void *data), void *data);
78307daa
JB
1553static inline void ieee80211_add_pending_skbs(struct ieee80211_local *local,
1554 struct sk_buff_head *skbs)
1555{
1556 ieee80211_add_pending_skbs_fn(local, skbs, NULL, NULL);
1557}
39ecc01d
JB
1558void ieee80211_flush_queues(struct ieee80211_local *local,
1559 struct ieee80211_sub_if_data *sdata);
ce7c9111 1560
46900298 1561void ieee80211_send_auth(struct ieee80211_sub_if_data *sdata,
700e8ea6 1562 u16 transaction, u16 auth_alg, u16 status,
4a3cb702 1563 const u8 *extra, size_t extra_len, const u8 *bssid,
1672c0e3
JB
1564 const u8 *da, const u8 *key, u8 key_len, u8 key_idx,
1565 u32 tx_flags);
6ae16775
AQ
1566void ieee80211_send_deauth_disassoc(struct ieee80211_sub_if_data *sdata,
1567 const u8 *bssid, u16 stype, u16 reason,
1568 bool send_frame, u8 *frame_buf);
de95a54b 1569int ieee80211_build_preq_ies(struct ieee80211_local *local, u8 *buffer,
c604b9f2 1570 size_t buffer_len, const u8 *ie, size_t ie_len,
651b5225
JM
1571 enum ieee80211_band band, u32 rate_mask,
1572 u8 channel);
a619a4c0 1573struct sk_buff *ieee80211_build_probe_req(struct ieee80211_sub_if_data *sdata,
85a237fe 1574 u8 *dst, u32 ratemask,
6b77863b 1575 struct ieee80211_channel *chan,
a619a4c0 1576 const u8 *ssid, size_t ssid_len,
a806c558
PS
1577 const u8 *ie, size_t ie_len,
1578 bool directed);
46900298 1579void ieee80211_send_probe_req(struct ieee80211_sub_if_data *sdata, u8 *dst,
de95a54b 1580 const u8 *ssid, size_t ssid_len,
a806c558 1581 const u8 *ie, size_t ie_len,
1672c0e3 1582 u32 ratemask, bool directed, u32 tx_flags,
55de908a 1583 struct ieee80211_channel *channel, bool scan);
46900298
JB
1584
1585void ieee80211_sta_def_wmm_params(struct ieee80211_sub_if_data *sdata,
1586 const size_t supp_rates_len,
1587 const u8 *supp_rates);
1588u32 ieee80211_sta_get_rates(struct ieee80211_local *local,
1589 struct ieee802_11_elems *elems,
9ebb61a2 1590 enum ieee80211_band band, u32 *basic_rates);
0f78231b
JB
1591int __ieee80211_request_smps(struct ieee80211_sub_if_data *sdata,
1592 enum ieee80211_smps_mode smps_mode);
04ecd257 1593void ieee80211_recalc_smps(struct ieee80211_sub_if_data *sdata);
46900298 1594
8e664fb3
JB
1595size_t ieee80211_ie_split(const u8 *ies, size_t ielen,
1596 const u8 *ids, int n_ids, size_t offset);
1597size_t ieee80211_ie_split_vendor(const u8 *ies, size_t ielen, size_t offset);
ef96a842 1598u8 *ieee80211_ie_build_ht_cap(u8 *pos, struct ieee80211_sta_ht_cap *ht_cap,
42e7aa77 1599 u16 cap);
074d46d1 1600u8 *ieee80211_ie_build_ht_oper(u8 *pos, struct ieee80211_sta_ht_cap *ht_cap,
4bf88530 1601 const struct cfg80211_chan_def *chandef,
431e3154 1602 u16 prot_mode);
ba0afa2f
MP
1603u8 *ieee80211_ie_build_vht_cap(u8 *pos, struct ieee80211_sta_vht_cap *vht_cap,
1604 u32 cap);
fc8a7321 1605int ieee80211_add_srates_ie(struct ieee80211_sub_if_data *sdata,
6b77863b
JB
1606 struct sk_buff *skb, bool need_basic,
1607 enum ieee80211_band band);
fc8a7321 1608int ieee80211_add_ext_srates_ie(struct ieee80211_sub_if_data *sdata,
6b77863b
JB
1609 struct sk_buff *skb, bool need_basic,
1610 enum ieee80211_band band);
8e664fb3 1611
f444de05 1612/* channel management */
4bf88530 1613void ieee80211_ht_oper_to_chandef(struct ieee80211_channel *control_chan,
4a3cb702 1614 const struct ieee80211_ht_operation *ht_oper,
4bf88530 1615 struct cfg80211_chan_def *chandef);
f444de05 1616
d01a1e65
MK
1617int __must_check
1618ieee80211_vif_use_channel(struct ieee80211_sub_if_data *sdata,
4bf88530 1619 const struct cfg80211_chan_def *chandef,
d01a1e65 1620 enum ieee80211_chanctx_mode mode);
2c9b7359
JB
1621int __must_check
1622ieee80211_vif_change_bandwidth(struct ieee80211_sub_if_data *sdata,
1623 const struct cfg80211_chan_def *chandef,
1624 u32 *changed);
d01a1e65 1625void ieee80211_vif_release_channel(struct ieee80211_sub_if_data *sdata);
4d76d21b 1626void ieee80211_vif_vlan_copy_chanctx(struct ieee80211_sub_if_data *sdata);
1f4ac5a6
JB
1627void ieee80211_vif_copy_chanctx_to_vlans(struct ieee80211_sub_if_data *sdata,
1628 bool clear);
d01a1e65 1629
04ecd257
JB
1630void ieee80211_recalc_smps_chanctx(struct ieee80211_local *local,
1631 struct ieee80211_chanctx *chanctx);
164eb02d
SW
1632void ieee80211_recalc_radar_chanctx(struct ieee80211_local *local,
1633 struct ieee80211_chanctx *chanctx);
1634
1635void ieee80211_dfs_cac_timer(unsigned long data);
1636void ieee80211_dfs_cac_timer_work(struct work_struct *work);
1637void ieee80211_dfs_cac_cancel(struct ieee80211_local *local);
1638void ieee80211_dfs_radar_detected_work(struct work_struct *work);
04ecd257 1639
f4ea83dd 1640#ifdef CONFIG_MAC80211_NOINLINE
d9e8a70f
JB
1641#define debug_noinline noinline
1642#else
1643#define debug_noinline
1644#endif
1645
f0706e82 1646#endif /* IEEE80211_I_H */
This page took 0.650765 seconds and 5 git commands to generate.