[BINUTILS, AARCH64, 4/8] Add Tag setting instructions in Memory Tagging Extension
[deliverable/binutils-gdb.git] / opcodes / aarch64-dis-2.c
CommitLineData
a06ea964 1/* This file is automatically generated by aarch64-gen. Do not edit! */
219d1afa 2/* Copyright (C) 2012-2018 Free Software Foundation, Inc.
a06ea964
NC
3 Contributed by ARM Ltd.
4
5 This file is part of the GNU opcodes library.
6
7 This library is free software; you can redistribute it and/or modify
8 it under the terms of the GNU General Public License as published by
9 the Free Software Foundation; either version 3, or (at your option)
10 any later version.
11
12 It is distributed in the hope that it will be useful, but WITHOUT
13 ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
14 or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public
15 License for more details.
16
17 You should have received a copy of the GNU General Public License
18 along with this program; see the file COPYING3. If not,
19 see <http://www.gnu.org/licenses/>. */
20
21#include "sysdep.h"
22#include "aarch64-dis.h"
23
24/* Called by aarch64_opcode_lookup. */
25
26static int
27aarch64_opcode_lookup_1 (uint32_t word)
28{
29 if (((word >> 26) & 0x1) == 0)
30 {
31 if (((word >> 25) & 0x1) == 0)
32 {
33 if (((word >> 27) & 0x1) == 0)
34 {
35 if (((word >> 24) & 0x1) == 0)
36 {
37 if (((word >> 31) & 0x1) == 0)
38 {
39 /* 33222222222211111111110000000000
40 10987654321098765432109876543210
7684e580 41 0xxx0000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 42 adr. */
fb3265b3 43 return 1182;
a06ea964
NC
44 }
45 else
46 {
47 /* 33222222222211111111110000000000
48 10987654321098765432109876543210
7684e580 49 1xxx0000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 50 adrp. */
fb3265b3 51 return 1183;
a06ea964
NC
52 }
53 }
54 else
55 {
56 if (((word >> 29) & 0x1) == 0)
57 {
58 if (((word >> 30) & 0x1) == 0)
59 {
60 /* 33222222222211111111110000000000
61 10987654321098765432109876543210
7684e580 62 x00x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
63 add. */
64 return 12;
65 }
66 else
67 {
68 /* 33222222222211111111110000000000
69 10987654321098765432109876543210
7684e580 70 x10x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
71 sub. */
72 return 16;
73 }
74 }
75 else
76 {
77 if (((word >> 30) & 0x1) == 0)
78 {
79 /* 33222222222211111111110000000000
80 10987654321098765432109876543210
7684e580 81 x01x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
82 adds. */
83 return 14;
84 }
85 else
86 {
87 /* 33222222222211111111110000000000
88 10987654321098765432109876543210
7684e580 89 x11x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
90 subs. */
91 return 17;
92 }
93 }
94 }
95 }
96 else
97 {
98 if (((word >> 28) & 0x1) == 0)
99 {
100 if (((word >> 22) & 0x1) == 0)
101 {
102 if (((word >> 23) & 0x1) == 0)
103 {
104 if (((word >> 29) & 0x1) == 0)
105 {
106 if (((word >> 15) & 0x1) == 0)
107 {
108 if (((word >> 21) & 0x1) == 0)
109 {
110 if (((word >> 31) & 0x1) == 0)
111 {
112 if (((word >> 30) & 0x1) == 0)
113 {
114 /* 33222222222211111111110000000000
115 10987654321098765432109876543210
7684e580 116 0000100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 117 stxrb. */
fb3265b3 118 return 935;
a06ea964
NC
119 }
120 else
121 {
122 /* 33222222222211111111110000000000
123 10987654321098765432109876543210
7684e580 124 0100100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 125 stxrh. */
fb3265b3 126 return 941;
a06ea964
NC
127 }
128 }
129 else
130 {
131 /* 33222222222211111111110000000000
132 10987654321098765432109876543210
7684e580 133 1x00100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 134 stxr. */
fb3265b3 135 return 947;
a06ea964
NC
136 }
137 }
138 else
139 {
ee804238
JW
140 if (((word >> 31) & 0x1) == 0)
141 {
142 /* 33222222222211111111110000000000
143 10987654321098765432109876543210
7684e580 144 0x00100x001xxxxx0xxxxxxxxxxxxxxx
ee804238 145 casp. */
fb3265b3 146 return 1017;
ee804238
JW
147 }
148 else
149 {
150 /* 33222222222211111111110000000000
151 10987654321098765432109876543210
7684e580 152 1x00100x001xxxxx0xxxxxxxxxxxxxxx
ee804238 153 stxp. */
fb3265b3 154 return 949;
ee804238 155 }
a06ea964
NC
156 }
157 }
158 else
159 {
160 if (((word >> 21) & 0x1) == 0)
161 {
162 if (((word >> 31) & 0x1) == 0)
163 {
164 if (((word >> 30) & 0x1) == 0)
165 {
166 /* 33222222222211111111110000000000
167 10987654321098765432109876543210
7684e580 168 0000100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 169 stlxrb. */
fb3265b3 170 return 936;
a06ea964
NC
171 }
172 else
173 {
174 /* 33222222222211111111110000000000
175 10987654321098765432109876543210
7684e580 176 0100100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 177 stlxrh. */
fb3265b3 178 return 942;
a06ea964
NC
179 }
180 }
181 else
182 {
183 /* 33222222222211111111110000000000
184 10987654321098765432109876543210
7684e580 185 1x00100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 186 stlxr. */
fb3265b3 187 return 948;
a06ea964
NC
188 }
189 }
190 else
191 {
ee804238
JW
192 if (((word >> 31) & 0x1) == 0)
193 {
194 /* 33222222222211111111110000000000
195 10987654321098765432109876543210
7684e580 196 0x00100x001xxxxx1xxxxxxxxxxxxxxx
ee804238 197 caspl. */
fb3265b3 198 return 1019;
ee804238
JW
199 }
200 else
201 {
202 /* 33222222222211111111110000000000
203 10987654321098765432109876543210
7684e580 204 1x00100x001xxxxx1xxxxxxxxxxxxxxx
ee804238 205 stlxp. */
fb3265b3 206 return 950;
ee804238 207 }
a06ea964
NC
208 }
209 }
210 }
211 else
212 {
fb3265b3
SD
213 if (((word >> 30) & 0x1) == 0)
214 {
215 /* 33222222222211111111110000000000
216 10987654321098765432109876543210
217 x010100x00xxxxxxxxxxxxxxxxxxxxxx
218 stnp. */
219 return 966;
220 }
221 else
222 {
223 /* 33222222222211111111110000000000
224 10987654321098765432109876543210
225 x110100x00xxxxxxxxxxxxxxxxxxxxxx
226 stgp. */
227 return 975;
228 }
a06ea964
NC
229 }
230 }
231 else
232 {
233 if (((word >> 29) & 0x1) == 0)
234 {
ee804238 235 if (((word >> 15) & 0x1) == 0)
a06ea964 236 {
290806fd 237 if (((word >> 21) & 0x1) == 0)
a06ea964 238 {
290806fd 239 if (((word >> 31) & 0x1) == 0)
ee804238
JW
240 {
241 /* 33222222222211111111110000000000
242 10987654321098765432109876543210
7684e580 243 0x00100x100xxxxx0xxxxxxxxxxxxxxx
290806fd 244 stllrb. */
fb3265b3 245 return 964;
ee804238
JW
246 }
247 else
248 {
249 /* 33222222222211111111110000000000
250 10987654321098765432109876543210
7684e580 251 1x00100x100xxxxx0xxxxxxxxxxxxxxx
290806fd 252 stllr. */
fb3265b3 253 return 963;
ee804238 254 }
a06ea964
NC
255 }
256 else
257 {
290806fd
MW
258 if (((word >> 31) & 0x1) == 0)
259 {
260 if (((word >> 30) & 0x1) == 0)
261 {
262 /* 33222222222211111111110000000000
263 10987654321098765432109876543210
7684e580 264 0000100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 265 casb. */
fb3265b3 266 return 1005;
290806fd
MW
267 }
268 else
269 {
270 /* 33222222222211111111110000000000
271 10987654321098765432109876543210
7684e580 272 0100100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 273 cash. */
fb3265b3 274 return 1006;
290806fd
MW
275 }
276 }
277 else
278 {
279 /* 33222222222211111111110000000000
280 10987654321098765432109876543210
7684e580 281 1x00100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 282 cas. */
fb3265b3 283 return 1007;
290806fd 284 }
a06ea964
NC
285 }
286 }
287 else
288 {
ee804238
JW
289 if (((word >> 21) & 0x1) == 0)
290 {
291 if (((word >> 31) & 0x1) == 0)
292 {
293 if (((word >> 30) & 0x1) == 0)
294 {
295 /* 33222222222211111111110000000000
296 10987654321098765432109876543210
7684e580 297 0000100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 298 stlrb. */
fb3265b3 299 return 939;
ee804238
JW
300 }
301 else
302 {
303 /* 33222222222211111111110000000000
304 10987654321098765432109876543210
7684e580 305 0100100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 306 stlrh. */
fb3265b3 307 return 945;
ee804238
JW
308 }
309 }
310 else
311 {
312 /* 33222222222211111111110000000000
313 10987654321098765432109876543210
7684e580 314 1x00100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 315 stlr. */
fb3265b3 316 return 955;
ee804238
JW
317 }
318 }
319 else
320 {
321 if (((word >> 31) & 0x1) == 0)
322 {
323 if (((word >> 30) & 0x1) == 0)
324 {
325 /* 33222222222211111111110000000000
326 10987654321098765432109876543210
7684e580 327 0000100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 328 caslb. */
fb3265b3 329 return 1009;
ee804238
JW
330 }
331 else
332 {
333 /* 33222222222211111111110000000000
334 10987654321098765432109876543210
7684e580 335 0100100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 336 caslh. */
fb3265b3 337 return 1012;
ee804238
JW
338 }
339 }
340 else
341 {
342 /* 33222222222211111111110000000000
343 10987654321098765432109876543210
7684e580 344 1x00100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 345 casl. */
fb3265b3 346 return 1015;
ee804238
JW
347 }
348 }
a06ea964
NC
349 }
350 }
351 else
352 {
fb3265b3
SD
353 if (((word >> 30) & 0x1) == 0)
354 {
355 /* 33222222222211111111110000000000
356 10987654321098765432109876543210
357 x010100x10xxxxxxxxxxxxxxxxxxxxxx
358 stp. */
359 return 976;
360 }
361 else
362 {
363 /* 33222222222211111111110000000000
364 10987654321098765432109876543210
365 x110100x10xxxxxxxxxxxxxxxxxxxxxx
366 stgp. */
367 return 981;
368 }
a06ea964
NC
369 }
370 }
371 }
372 else
373 {
374 if (((word >> 23) & 0x1) == 0)
375 {
376 if (((word >> 29) & 0x1) == 0)
377 {
378 if (((word >> 15) & 0x1) == 0)
379 {
380 if (((word >> 21) & 0x1) == 0)
381 {
382 if (((word >> 31) & 0x1) == 0)
383 {
384 if (((word >> 30) & 0x1) == 0)
385 {
386 /* 33222222222211111111110000000000
387 10987654321098765432109876543210
7684e580 388 0000100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 389 ldxrb. */
fb3265b3 390 return 937;
a06ea964
NC
391 }
392 else
393 {
394 /* 33222222222211111111110000000000
395 10987654321098765432109876543210
7684e580 396 0100100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 397 ldxrh. */
fb3265b3 398 return 943;
a06ea964
NC
399 }
400 }
401 else
402 {
403 /* 33222222222211111111110000000000
404 10987654321098765432109876543210
7684e580 405 1x00100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 406 ldxr. */
fb3265b3 407 return 951;
a06ea964
NC
408 }
409 }
410 else
411 {
ee804238
JW
412 if (((word >> 31) & 0x1) == 0)
413 {
414 /* 33222222222211111111110000000000
415 10987654321098765432109876543210
7684e580 416 0x00100x011xxxxx0xxxxxxxxxxxxxxx
ee804238 417 caspa. */
fb3265b3 418 return 1018;
ee804238
JW
419 }
420 else
421 {
422 /* 33222222222211111111110000000000
423 10987654321098765432109876543210
7684e580 424 1x00100x011xxxxx0xxxxxxxxxxxxxxx
ee804238 425 ldxp. */
fb3265b3 426 return 953;
ee804238 427 }
a06ea964
NC
428 }
429 }
430 else
431 {
432 if (((word >> 21) & 0x1) == 0)
433 {
434 if (((word >> 31) & 0x1) == 0)
435 {
436 if (((word >> 30) & 0x1) == 0)
437 {
438 /* 33222222222211111111110000000000
439 10987654321098765432109876543210
7684e580 440 0000100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 441 ldaxrb. */
fb3265b3 442 return 938;
a06ea964
NC
443 }
444 else
445 {
446 /* 33222222222211111111110000000000
447 10987654321098765432109876543210
7684e580 448 0100100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 449 ldaxrh. */
fb3265b3 450 return 944;
a06ea964
NC
451 }
452 }
453 else
454 {
455 /* 33222222222211111111110000000000
456 10987654321098765432109876543210
7684e580 457 1x00100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 458 ldaxr. */
fb3265b3 459 return 952;
a06ea964
NC
460 }
461 }
462 else
463 {
ee804238
JW
464 if (((word >> 31) & 0x1) == 0)
465 {
466 /* 33222222222211111111110000000000
467 10987654321098765432109876543210
7684e580 468 0x00100x011xxxxx1xxxxxxxxxxxxxxx
ee804238 469 caspal. */
fb3265b3 470 return 1020;
ee804238
JW
471 }
472 else
473 {
474 /* 33222222222211111111110000000000
475 10987654321098765432109876543210
7684e580 476 1x00100x011xxxxx1xxxxxxxxxxxxxxx
ee804238 477 ldaxp. */
fb3265b3 478 return 954;
ee804238 479 }
a06ea964
NC
480 }
481 }
482 }
483 else
484 {
485 if (((word >> 30) & 0x1) == 0)
486 {
487 /* 33222222222211111111110000000000
488 10987654321098765432109876543210
7684e580 489 x010100x01xxxxxxxxxxxxxxxxxxxxxx
a06ea964 490 ldnp. */
fb3265b3 491 return 967;
a06ea964
NC
492 }
493 else
494 {
495 /* 33222222222211111111110000000000
496 10987654321098765432109876543210
7684e580 497 x110100x01xxxxxxxxxxxxxxxxxxxxxx
a06ea964 498 ldpsw. */
fb3265b3 499 return 974;
a06ea964
NC
500 }
501 }
502 }
503 else
504 {
505 if (((word >> 29) & 0x1) == 0)
506 {
ee804238 507 if (((word >> 15) & 0x1) == 0)
a06ea964 508 {
290806fd 509 if (((word >> 21) & 0x1) == 0)
a06ea964 510 {
290806fd 511 if (((word >> 31) & 0x1) == 0)
ee804238 512 {
290806fd
MW
513 if (((word >> 30) & 0x1) == 0)
514 {
515 /* 33222222222211111111110000000000
516 10987654321098765432109876543210
7684e580 517 0000100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 518 ldlarb. */
fb3265b3 519 return 961;
290806fd
MW
520 }
521 else
522 {
523 /* 33222222222211111111110000000000
524 10987654321098765432109876543210
7684e580 525 0100100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 526 ldlarh. */
fb3265b3 527 return 962;
290806fd 528 }
ee804238
JW
529 }
530 else
531 {
532 /* 33222222222211111111110000000000
533 10987654321098765432109876543210
7684e580 534 1x00100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 535 ldlar. */
fb3265b3 536 return 960;
ee804238 537 }
a06ea964
NC
538 }
539 else
540 {
290806fd
MW
541 if (((word >> 31) & 0x1) == 0)
542 {
543 if (((word >> 30) & 0x1) == 0)
544 {
545 /* 33222222222211111111110000000000
546 10987654321098765432109876543210
7684e580 547 0000100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 548 casab. */
fb3265b3 549 return 1008;
290806fd
MW
550 }
551 else
552 {
553 /* 33222222222211111111110000000000
554 10987654321098765432109876543210
7684e580 555 0100100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 556 casah. */
fb3265b3 557 return 1011;
290806fd
MW
558 }
559 }
560 else
561 {
562 /* 33222222222211111111110000000000
563 10987654321098765432109876543210
7684e580 564 1x00100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 565 casa. */
fb3265b3 566 return 1014;
290806fd 567 }
a06ea964
NC
568 }
569 }
570 else
571 {
ee804238
JW
572 if (((word >> 21) & 0x1) == 0)
573 {
574 if (((word >> 31) & 0x1) == 0)
575 {
576 if (((word >> 30) & 0x1) == 0)
577 {
578 /* 33222222222211111111110000000000
579 10987654321098765432109876543210
7684e580 580 0000100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 581 ldarb. */
fb3265b3 582 return 940;
ee804238
JW
583 }
584 else
585 {
586 /* 33222222222211111111110000000000
587 10987654321098765432109876543210
7684e580 588 0100100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 589 ldarh. */
fb3265b3 590 return 946;
ee804238
JW
591 }
592 }
593 else
594 {
595 /* 33222222222211111111110000000000
596 10987654321098765432109876543210
7684e580 597 1x00100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 598 ldar. */
fb3265b3 599 return 956;
ee804238
JW
600 }
601 }
602 else
603 {
604 if (((word >> 31) & 0x1) == 0)
605 {
606 if (((word >> 30) & 0x1) == 0)
607 {
608 /* 33222222222211111111110000000000
609 10987654321098765432109876543210
7684e580 610 0000100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 611 casalb. */
fb3265b3 612 return 1010;
ee804238
JW
613 }
614 else
615 {
616 /* 33222222222211111111110000000000
617 10987654321098765432109876543210
7684e580 618 0100100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 619 casalh. */
fb3265b3 620 return 1013;
ee804238
JW
621 }
622 }
623 else
624 {
625 /* 33222222222211111111110000000000
626 10987654321098765432109876543210
7684e580 627 1x00100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 628 casal. */
fb3265b3 629 return 1016;
ee804238
JW
630 }
631 }
a06ea964
NC
632 }
633 }
634 else
635 {
636 if (((word >> 30) & 0x1) == 0)
637 {
638 /* 33222222222211111111110000000000
639 10987654321098765432109876543210
7684e580 640 x010100x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 641 ldp. */
fb3265b3 642 return 977;
a06ea964
NC
643 }
644 else
645 {
646 /* 33222222222211111111110000000000
647 10987654321098765432109876543210
7684e580 648 x110100x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 649 ldpsw. */
fb3265b3 650 return 980;
a06ea964
NC
651 }
652 }
653 }
654 }
655 }
656 else
657 {
658 if (((word >> 24) & 0x1) == 0)
659 {
660 if (((word >> 29) & 0x1) == 0)
661 {
662 if (((word >> 31) & 0x1) == 0)
663 {
664 /* 33222222222211111111110000000000
665 10987654321098765432109876543210
7684e580 666 0x011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 667 ldr. */
fb3265b3 668 return 982;
a06ea964
NC
669 }
670 else
671 {
672 if (((word >> 30) & 0x1) == 0)
673 {
674 /* 33222222222211111111110000000000
675 10987654321098765432109876543210
7684e580 676 10011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 677 ldrsw. */
fb3265b3 678 return 984;
a06ea964
NC
679 }
680 else
681 {
682 /* 33222222222211111111110000000000
683 10987654321098765432109876543210
7684e580 684 11011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 685 prfm. */
fb3265b3 686 return 985;
a06ea964
NC
687 }
688 }
689 }
690 else
691 {
692 if (((word >> 10) & 0x1) == 0)
693 {
694 if (((word >> 11) & 0x1) == 0)
695 {
ee804238 696 if (((word >> 21) & 0x1) == 0)
a06ea964 697 {
ee804238 698 if (((word >> 23) & 0x1) == 0)
a06ea964 699 {
ee804238 700 if (((word >> 22) & 0x1) == 0)
a06ea964 701 {
ee804238 702 if (((word >> 31) & 0x1) == 0)
a06ea964 703 {
ee804238
JW
704 if (((word >> 30) & 0x1) == 0)
705 {
706 /* 33222222222211111111110000000000
707 10987654321098765432109876543210
7684e580 708 00111000000xxxxxxxxx00xxxxxxxxxx
ee804238 709 sturb. */
fb3265b3 710 return 921;
ee804238
JW
711 }
712 else
713 {
714 /* 33222222222211111111110000000000
715 10987654321098765432109876543210
7684e580 716 01111000000xxxxxxxxx00xxxxxxxxxx
ee804238 717 sturh. */
fb3265b3 718 return 926;
ee804238 719 }
a06ea964
NC
720 }
721 else
722 {
723 /* 33222222222211111111110000000000
724 10987654321098765432109876543210
7684e580 725 1x111000000xxxxxxxxx00xxxxxxxxxx
ee804238 726 stur. */
fb3265b3 727 return 929;
a06ea964
NC
728 }
729 }
730 else
731 {
ee804238
JW
732 if (((word >> 31) & 0x1) == 0)
733 {
734 if (((word >> 30) & 0x1) == 0)
735 {
736 /* 33222222222211111111110000000000
737 10987654321098765432109876543210
7684e580 738 00111000010xxxxxxxxx00xxxxxxxxxx
ee804238 739 ldurb. */
fb3265b3 740 return 922;
ee804238
JW
741 }
742 else
743 {
744 /* 33222222222211111111110000000000
745 10987654321098765432109876543210
7684e580 746 01111000010xxxxxxxxx00xxxxxxxxxx
ee804238 747 ldurh. */
fb3265b3 748 return 927;
ee804238
JW
749 }
750 }
751 else
752 {
753 /* 33222222222211111111110000000000
754 10987654321098765432109876543210
7684e580 755 1x111000010xxxxxxxxx00xxxxxxxxxx
ee804238 756 ldur. */
fb3265b3 757 return 930;
ee804238 758 }
a06ea964
NC
759 }
760 }
761 else
762 {
ee804238 763 if (((word >> 30) & 0x1) == 0)
a06ea964 764 {
ee804238
JW
765 if (((word >> 31) & 0x1) == 0)
766 {
767 /* 33222222222211111111110000000000
768 10987654321098765432109876543210
7684e580 769 001110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 770 ldursb. */
fb3265b3 771 return 923;
ee804238
JW
772 }
773 else
774 {
775 /* 33222222222211111111110000000000
776 10987654321098765432109876543210
7684e580 777 101110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 778 ldursw. */
fb3265b3 779 return 931;
ee804238
JW
780 }
781 }
782 else
783 {
784 if (((word >> 31) & 0x1) == 0)
785 {
786 /* 33222222222211111111110000000000
787 10987654321098765432109876543210
7684e580 788 011110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 789 ldursh. */
fb3265b3 790 return 928;
ee804238
JW
791 }
792 else
a06ea964
NC
793 {
794 /* 33222222222211111111110000000000
795 10987654321098765432109876543210
7684e580 796 111110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 797 prfum. */
fb3265b3 798 return 932;
ee804238
JW
799 }
800 }
801 }
802 }
803 else
804 {
805 if (((word >> 12) & 0x1) == 0)
806 {
807 if (((word >> 13) & 0x1) == 0)
808 {
809 if (((word >> 14) & 0x1) == 0)
810 {
811 if (((word >> 15) & 0x1) == 0)
812 {
813 if (((word >> 22) & 0x1) == 0)
814 {
815 if (((word >> 23) & 0x1) == 0)
816 {
817 if (((word >> 31) & 0x1) == 0)
818 {
819 if (((word >> 30) & 0x1) == 0)
820 {
821 /* 33222222222211111111110000000000
822 10987654321098765432109876543210
7684e580 823 00111000001xxxxx000000xxxxxxxxxx
ee804238 824 ldaddb. */
fb3265b3 825 return 1033;
ee804238
JW
826 }
827 else
828 {
829 /* 33222222222211111111110000000000
830 10987654321098765432109876543210
7684e580 831 01111000001xxxxx000000xxxxxxxxxx
ee804238 832 ldaddh. */
fb3265b3 833 return 1034;
ee804238
JW
834 }
835 }
836 else
837 {
838 /* 33222222222211111111110000000000
839 10987654321098765432109876543210
7684e580 840 1x111000001xxxxx000000xxxxxxxxxx
ee804238 841 ldadd. */
fb3265b3 842 return 1035;
ee804238
JW
843 }
844 }
845 else
846 {
847 if (((word >> 31) & 0x1) == 0)
848 {
849 if (((word >> 30) & 0x1) == 0)
850 {
851 /* 33222222222211111111110000000000
852 10987654321098765432109876543210
7684e580 853 00111000101xxxxx000000xxxxxxxxxx
ee804238 854 ldaddab. */
fb3265b3 855 return 1036;
ee804238
JW
856 }
857 else
858 {
859 /* 33222222222211111111110000000000
860 10987654321098765432109876543210
7684e580 861 01111000101xxxxx000000xxxxxxxxxx
ee804238 862 ldaddah. */
fb3265b3 863 return 1039;
ee804238
JW
864 }
865 }
866 else
867 {
868 /* 33222222222211111111110000000000
869 10987654321098765432109876543210
7684e580 870 1x111000101xxxxx000000xxxxxxxxxx
ee804238 871 ldadda. */
fb3265b3 872 return 1042;
ee804238
JW
873 }
874 }
875 }
876 else
877 {
878 if (((word >> 23) & 0x1) == 0)
879 {
880 if (((word >> 31) & 0x1) == 0)
881 {
882 if (((word >> 30) & 0x1) == 0)
883 {
884 /* 33222222222211111111110000000000
885 10987654321098765432109876543210
7684e580 886 00111000011xxxxx000000xxxxxxxxxx
ee804238 887 ldaddlb. */
fb3265b3 888 return 1037;
ee804238
JW
889 }
890 else
891 {
892 /* 33222222222211111111110000000000
893 10987654321098765432109876543210
7684e580 894 01111000011xxxxx000000xxxxxxxxxx
ee804238 895 ldaddlh. */
fb3265b3 896 return 1040;
ee804238
JW
897 }
898 }
899 else
900 {
901 /* 33222222222211111111110000000000
902 10987654321098765432109876543210
7684e580 903 1x111000011xxxxx000000xxxxxxxxxx
ee804238 904 ldaddl. */
fb3265b3 905 return 1043;
ee804238
JW
906 }
907 }
908 else
909 {
910 if (((word >> 31) & 0x1) == 0)
911 {
912 if (((word >> 30) & 0x1) == 0)
913 {
914 /* 33222222222211111111110000000000
915 10987654321098765432109876543210
7684e580 916 00111000111xxxxx000000xxxxxxxxxx
ee804238 917 ldaddalb. */
fb3265b3 918 return 1038;
ee804238
JW
919 }
920 else
921 {
922 /* 33222222222211111111110000000000
923 10987654321098765432109876543210
7684e580 924 01111000111xxxxx000000xxxxxxxxxx
ee804238 925 ldaddalh. */
fb3265b3 926 return 1041;
ee804238
JW
927 }
928 }
929 else
930 {
931 /* 33222222222211111111110000000000
932 10987654321098765432109876543210
7684e580 933 1x111000111xxxxx000000xxxxxxxxxx
ee804238 934 ldaddal. */
fb3265b3 935 return 1044;
ee804238
JW
936 }
937 }
938 }
939 }
940 else
941 {
942 if (((word >> 22) & 0x1) == 0)
943 {
944 if (((word >> 23) & 0x1) == 0)
945 {
946 if (((word >> 31) & 0x1) == 0)
947 {
948 if (((word >> 30) & 0x1) == 0)
949 {
950 /* 33222222222211111111110000000000
951 10987654321098765432109876543210
7684e580 952 00111000001xxxxx100000xxxxxxxxxx
ee804238 953 swpb. */
fb3265b3 954 return 1021;
ee804238
JW
955 }
956 else
957 {
958 /* 33222222222211111111110000000000
959 10987654321098765432109876543210
7684e580 960 01111000001xxxxx100000xxxxxxxxxx
ee804238 961 swph. */
fb3265b3 962 return 1022;
ee804238
JW
963 }
964 }
965 else
966 {
967 /* 33222222222211111111110000000000
968 10987654321098765432109876543210
7684e580 969 1x111000001xxxxx100000xxxxxxxxxx
ee804238 970 swp. */
fb3265b3 971 return 1023;
ee804238
JW
972 }
973 }
974 else
975 {
976 if (((word >> 31) & 0x1) == 0)
977 {
978 if (((word >> 30) & 0x1) == 0)
979 {
980 /* 33222222222211111111110000000000
981 10987654321098765432109876543210
7684e580 982 00111000101xxxxx100000xxxxxxxxxx
ee804238 983 swpab. */
fb3265b3 984 return 1024;
ee804238
JW
985 }
986 else
987 {
988 /* 33222222222211111111110000000000
989 10987654321098765432109876543210
7684e580 990 01111000101xxxxx100000xxxxxxxxxx
ee804238 991 swpah. */
fb3265b3 992 return 1027;
ee804238
JW
993 }
994 }
995 else
996 {
997 /* 33222222222211111111110000000000
998 10987654321098765432109876543210
7684e580 999 1x111000101xxxxx100000xxxxxxxxxx
ee804238 1000 swpa. */
fb3265b3 1001 return 1030;
ee804238
JW
1002 }
1003 }
1004 }
1005 else
1006 {
1007 if (((word >> 23) & 0x1) == 0)
1008 {
1009 if (((word >> 31) & 0x1) == 0)
1010 {
1011 if (((word >> 30) & 0x1) == 0)
1012 {
1013 /* 33222222222211111111110000000000
1014 10987654321098765432109876543210
7684e580 1015 00111000011xxxxx100000xxxxxxxxxx
ee804238 1016 swplb. */
fb3265b3 1017 return 1025;
ee804238
JW
1018 }
1019 else
1020 {
1021 /* 33222222222211111111110000000000
1022 10987654321098765432109876543210
7684e580 1023 01111000011xxxxx100000xxxxxxxxxx
ee804238 1024 swplh. */
fb3265b3 1025 return 1028;
ee804238
JW
1026 }
1027 }
1028 else
1029 {
1030 /* 33222222222211111111110000000000
1031 10987654321098765432109876543210
7684e580 1032 1x111000011xxxxx100000xxxxxxxxxx
ee804238 1033 swpl. */
fb3265b3 1034 return 1031;
ee804238
JW
1035 }
1036 }
1037 else
1038 {
1039 if (((word >> 31) & 0x1) == 0)
1040 {
1041 if (((word >> 30) & 0x1) == 0)
1042 {
1043 /* 33222222222211111111110000000000
1044 10987654321098765432109876543210
7684e580 1045 00111000111xxxxx100000xxxxxxxxxx
ee804238 1046 swpalb. */
fb3265b3 1047 return 1026;
ee804238
JW
1048 }
1049 else
1050 {
1051 /* 33222222222211111111110000000000
1052 10987654321098765432109876543210
7684e580 1053 01111000111xxxxx100000xxxxxxxxxx
ee804238 1054 swpalh. */
fb3265b3 1055 return 1029;
ee804238
JW
1056 }
1057 }
1058 else
1059 {
1060 /* 33222222222211111111110000000000
1061 10987654321098765432109876543210
7684e580 1062 1x111000111xxxxx100000xxxxxxxxxx
ee804238 1063 swpal. */
fb3265b3 1064 return 1032;
ee804238
JW
1065 }
1066 }
1067 }
1068 }
1069 }
1070 else
1071 {
28617675 1072 if (((word >> 15) & 0x1) == 0)
ee804238 1073 {
28617675 1074 if (((word >> 22) & 0x1) == 0)
ee804238 1075 {
28617675 1076 if (((word >> 23) & 0x1) == 0)
ee804238 1077 {
28617675 1078 if (((word >> 31) & 0x1) == 0)
ee804238 1079 {
28617675
SN
1080 if (((word >> 30) & 0x1) == 0)
1081 {
1082 /* 33222222222211111111110000000000
1083 10987654321098765432109876543210
7684e580 1084 00111000001xxxxx010000xxxxxxxxxx
28617675 1085 ldsmaxb. */
fb3265b3 1086 return 1081;
28617675
SN
1087 }
1088 else
1089 {
1090 /* 33222222222211111111110000000000
1091 10987654321098765432109876543210
7684e580 1092 01111000001xxxxx010000xxxxxxxxxx
28617675 1093 ldsmaxh. */
fb3265b3 1094 return 1082;
28617675 1095 }
ee804238
JW
1096 }
1097 else
1098 {
1099 /* 33222222222211111111110000000000
1100 10987654321098765432109876543210
7684e580 1101 1x111000001xxxxx010000xxxxxxxxxx
28617675 1102 ldsmax. */
fb3265b3 1103 return 1083;
ee804238
JW
1104 }
1105 }
1106 else
1107 {
28617675 1108 if (((word >> 31) & 0x1) == 0)
ee804238 1109 {
28617675
SN
1110 if (((word >> 30) & 0x1) == 0)
1111 {
1112 /* 33222222222211111111110000000000
1113 10987654321098765432109876543210
7684e580 1114 00111000101xxxxx010000xxxxxxxxxx
28617675 1115 ldsmaxab. */
fb3265b3 1116 return 1084;
28617675
SN
1117 }
1118 else
1119 {
1120 /* 33222222222211111111110000000000
1121 10987654321098765432109876543210
7684e580 1122 01111000101xxxxx010000xxxxxxxxxx
28617675 1123 ldsmaxah. */
fb3265b3 1124 return 1087;
28617675 1125 }
ee804238
JW
1126 }
1127 else
1128 {
1129 /* 33222222222211111111110000000000
1130 10987654321098765432109876543210
7684e580 1131 1x111000101xxxxx010000xxxxxxxxxx
28617675 1132 ldsmaxa. */
fb3265b3 1133 return 1090;
ee804238
JW
1134 }
1135 }
ee804238 1136 }
28617675 1137 else
ee804238 1138 {
28617675 1139 if (((word >> 23) & 0x1) == 0)
ee804238 1140 {
28617675 1141 if (((word >> 31) & 0x1) == 0)
ee804238 1142 {
28617675
SN
1143 if (((word >> 30) & 0x1) == 0)
1144 {
1145 /* 33222222222211111111110000000000
1146 10987654321098765432109876543210
7684e580 1147 00111000011xxxxx010000xxxxxxxxxx
28617675 1148 ldsmaxlb. */
fb3265b3 1149 return 1085;
28617675
SN
1150 }
1151 else
1152 {
1153 /* 33222222222211111111110000000000
1154 10987654321098765432109876543210
7684e580 1155 01111000011xxxxx010000xxxxxxxxxx
28617675 1156 ldsmaxlh. */
fb3265b3 1157 return 1088;
28617675 1158 }
ee804238
JW
1159 }
1160 else
1161 {
1162 /* 33222222222211111111110000000000
1163 10987654321098765432109876543210
7684e580 1164 1x111000011xxxxx010000xxxxxxxxxx
28617675 1165 ldsmaxl. */
fb3265b3 1166 return 1091;
ee804238
JW
1167 }
1168 }
1169 else
1170 {
28617675 1171 if (((word >> 31) & 0x1) == 0)
ee804238 1172 {
28617675
SN
1173 if (((word >> 30) & 0x1) == 0)
1174 {
1175 /* 33222222222211111111110000000000
1176 10987654321098765432109876543210
7684e580 1177 00111000111xxxxx010000xxxxxxxxxx
28617675 1178 ldsmaxalb. */
fb3265b3 1179 return 1086;
28617675
SN
1180 }
1181 else
1182 {
1183 /* 33222222222211111111110000000000
1184 10987654321098765432109876543210
7684e580 1185 01111000111xxxxx010000xxxxxxxxxx
28617675 1186 ldsmaxalh. */
fb3265b3 1187 return 1089;
28617675 1188 }
ee804238
JW
1189 }
1190 else
1191 {
1192 /* 33222222222211111111110000000000
1193 10987654321098765432109876543210
7684e580 1194 1x111000111xxxxx010000xxxxxxxxxx
28617675 1195 ldsmaxal. */
fb3265b3 1196 return 1092;
ee804238
JW
1197 }
1198 }
28617675
SN
1199 }
1200 }
1201 else
1202 {
1203 if (((word >> 31) & 0x1) == 0)
1204 {
1205 if (((word >> 30) & 0x1) == 0)
1206 {
1207 /* 33222222222211111111110000000000
1208 10987654321098765432109876543210
7684e580 1209 00111000xx1xxxxx110000xxxxxxxxxx
28617675 1210 ldaprb. */
fb3265b3 1211 return 957;
28617675 1212 }
ee804238
JW
1213 else
1214 {
1215 /* 33222222222211111111110000000000
1216 10987654321098765432109876543210
7684e580 1217 01111000xx1xxxxx110000xxxxxxxxxx
28617675 1218 ldaprh. */
fb3265b3 1219 return 958;
ee804238
JW
1220 }
1221 }
28617675
SN
1222 else
1223 {
1224 /* 33222222222211111111110000000000
1225 10987654321098765432109876543210
7684e580 1226 1x111000xx1xxxxx110000xxxxxxxxxx
28617675 1227 ldapr. */
fb3265b3 1228 return 959;
28617675 1229 }
ee804238
JW
1230 }
1231 }
1232 }
1233 else
1234 {
1235 if (((word >> 14) & 0x1) == 0)
1236 {
1237 if (((word >> 22) & 0x1) == 0)
1238 {
1239 if (((word >> 23) & 0x1) == 0)
1240 {
1241 if (((word >> 31) & 0x1) == 0)
1242 {
1243 if (((word >> 30) & 0x1) == 0)
1244 {
1245 /* 33222222222211111111110000000000
1246 10987654321098765432109876543210
7684e580 1247 00111000001xxxxxx01000xxxxxxxxxx
ee804238 1248 ldeorb. */
fb3265b3 1249 return 1057;
ee804238
JW
1250 }
1251 else
1252 {
1253 /* 33222222222211111111110000000000
1254 10987654321098765432109876543210
7684e580 1255 01111000001xxxxxx01000xxxxxxxxxx
ee804238 1256 ldeorh. */
fb3265b3 1257 return 1058;
ee804238
JW
1258 }
1259 }
1260 else
1261 {
1262 /* 33222222222211111111110000000000
1263 10987654321098765432109876543210
7684e580 1264 1x111000001xxxxxx01000xxxxxxxxxx
ee804238 1265 ldeor. */
fb3265b3 1266 return 1059;
ee804238
JW
1267 }
1268 }
1269 else
1270 {
1271 if (((word >> 31) & 0x1) == 0)
1272 {
1273 if (((word >> 30) & 0x1) == 0)
1274 {
1275 /* 33222222222211111111110000000000
1276 10987654321098765432109876543210
7684e580 1277 00111000101xxxxxx01000xxxxxxxxxx
ee804238 1278 ldeorab. */
fb3265b3 1279 return 1060;
ee804238
JW
1280 }
1281 else
1282 {
1283 /* 33222222222211111111110000000000
1284 10987654321098765432109876543210
7684e580 1285 01111000101xxxxxx01000xxxxxxxxxx
ee804238 1286 ldeorah. */
fb3265b3 1287 return 1063;
ee804238
JW
1288 }
1289 }
1290 else
1291 {
1292 /* 33222222222211111111110000000000
1293 10987654321098765432109876543210
7684e580 1294 1x111000101xxxxxx01000xxxxxxxxxx
ee804238 1295 ldeora. */
fb3265b3 1296 return 1066;
ee804238
JW
1297 }
1298 }
1299 }
1300 else
1301 {
1302 if (((word >> 23) & 0x1) == 0)
1303 {
1304 if (((word >> 31) & 0x1) == 0)
1305 {
1306 if (((word >> 30) & 0x1) == 0)
1307 {
1308 /* 33222222222211111111110000000000
1309 10987654321098765432109876543210
7684e580 1310 00111000011xxxxxx01000xxxxxxxxxx
ee804238 1311 ldeorlb. */
fb3265b3 1312 return 1061;
ee804238
JW
1313 }
1314 else
1315 {
1316 /* 33222222222211111111110000000000
1317 10987654321098765432109876543210
7684e580 1318 01111000011xxxxxx01000xxxxxxxxxx
ee804238 1319 ldeorlh. */
fb3265b3 1320 return 1064;
ee804238
JW
1321 }
1322 }
1323 else
1324 {
1325 /* 33222222222211111111110000000000
1326 10987654321098765432109876543210
7684e580 1327 1x111000011xxxxxx01000xxxxxxxxxx
ee804238 1328 ldeorl. */
fb3265b3 1329 return 1067;
ee804238
JW
1330 }
1331 }
1332 else
1333 {
1334 if (((word >> 31) & 0x1) == 0)
1335 {
1336 if (((word >> 30) & 0x1) == 0)
1337 {
1338 /* 33222222222211111111110000000000
1339 10987654321098765432109876543210
7684e580 1340 00111000111xxxxxx01000xxxxxxxxxx
ee804238 1341 ldeoralb. */
fb3265b3 1342 return 1062;
ee804238
JW
1343 }
1344 else
1345 {
1346 /* 33222222222211111111110000000000
1347 10987654321098765432109876543210
7684e580 1348 01111000111xxxxxx01000xxxxxxxxxx
ee804238 1349 ldeoralh. */
fb3265b3 1350 return 1065;
ee804238
JW
1351 }
1352 }
1353 else
1354 {
1355 /* 33222222222211111111110000000000
1356 10987654321098765432109876543210
7684e580 1357 1x111000111xxxxxx01000xxxxxxxxxx
ee804238 1358 ldeoral. */
fb3265b3 1359 return 1068;
ee804238
JW
1360 }
1361 }
1362 }
1363 }
1364 else
1365 {
1366 if (((word >> 22) & 0x1) == 0)
1367 {
1368 if (((word >> 23) & 0x1) == 0)
1369 {
1370 if (((word >> 31) & 0x1) == 0)
1371 {
1372 if (((word >> 30) & 0x1) == 0)
1373 {
1374 /* 33222222222211111111110000000000
1375 10987654321098765432109876543210
7684e580 1376 00111000001xxxxxx11000xxxxxxxxxx
ee804238 1377 ldumaxb. */
fb3265b3 1378 return 1105;
ee804238
JW
1379 }
1380 else
1381 {
1382 /* 33222222222211111111110000000000
1383 10987654321098765432109876543210
7684e580 1384 01111000001xxxxxx11000xxxxxxxxxx
ee804238 1385 ldumaxh. */
fb3265b3 1386 return 1106;
ee804238
JW
1387 }
1388 }
1389 else
1390 {
1391 /* 33222222222211111111110000000000
1392 10987654321098765432109876543210
7684e580 1393 1x111000001xxxxxx11000xxxxxxxxxx
ee804238 1394 ldumax. */
fb3265b3 1395 return 1107;
ee804238
JW
1396 }
1397 }
1398 else
1399 {
1400 if (((word >> 31) & 0x1) == 0)
1401 {
1402 if (((word >> 30) & 0x1) == 0)
1403 {
1404 /* 33222222222211111111110000000000
1405 10987654321098765432109876543210
7684e580 1406 00111000101xxxxxx11000xxxxxxxxxx
ee804238 1407 ldumaxab. */
fb3265b3 1408 return 1108;
ee804238
JW
1409 }
1410 else
1411 {
1412 /* 33222222222211111111110000000000
1413 10987654321098765432109876543210
7684e580 1414 01111000101xxxxxx11000xxxxxxxxxx
ee804238 1415 ldumaxah. */
fb3265b3 1416 return 1111;
ee804238
JW
1417 }
1418 }
1419 else
1420 {
1421 /* 33222222222211111111110000000000
1422 10987654321098765432109876543210
7684e580 1423 1x111000101xxxxxx11000xxxxxxxxxx
ee804238 1424 ldumaxa. */
fb3265b3 1425 return 1114;
ee804238
JW
1426 }
1427 }
1428 }
1429 else
1430 {
1431 if (((word >> 23) & 0x1) == 0)
1432 {
1433 if (((word >> 31) & 0x1) == 0)
1434 {
1435 if (((word >> 30) & 0x1) == 0)
1436 {
1437 /* 33222222222211111111110000000000
1438 10987654321098765432109876543210
7684e580 1439 00111000011xxxxxx11000xxxxxxxxxx
ee804238 1440 ldumaxlb. */
fb3265b3 1441 return 1109;
ee804238
JW
1442 }
1443 else
1444 {
1445 /* 33222222222211111111110000000000
1446 10987654321098765432109876543210
7684e580 1447 01111000011xxxxxx11000xxxxxxxxxx
ee804238 1448 ldumaxlh. */
fb3265b3 1449 return 1112;
ee804238
JW
1450 }
1451 }
1452 else
1453 {
1454 /* 33222222222211111111110000000000
1455 10987654321098765432109876543210
7684e580 1456 1x111000011xxxxxx11000xxxxxxxxxx
ee804238 1457 ldumaxl. */
fb3265b3 1458 return 1115;
ee804238
JW
1459 }
1460 }
1461 else
1462 {
1463 if (((word >> 31) & 0x1) == 0)
1464 {
1465 if (((word >> 30) & 0x1) == 0)
1466 {
1467 /* 33222222222211111111110000000000
1468 10987654321098765432109876543210
7684e580 1469 00111000111xxxxxx11000xxxxxxxxxx
ee804238 1470 ldumaxalb. */
fb3265b3 1471 return 1110;
ee804238
JW
1472 }
1473 else
1474 {
1475 /* 33222222222211111111110000000000
1476 10987654321098765432109876543210
7684e580 1477 01111000111xxxxxx11000xxxxxxxxxx
ee804238 1478 ldumaxalh. */
fb3265b3 1479 return 1113;
ee804238
JW
1480 }
1481 }
1482 else
1483 {
1484 /* 33222222222211111111110000000000
1485 10987654321098765432109876543210
7684e580 1486 1x111000111xxxxxx11000xxxxxxxxxx
ee804238 1487 ldumaxal. */
fb3265b3 1488 return 1116;
ee804238
JW
1489 }
1490 }
1491 }
1492 }
1493 }
1494 }
1495 else
1496 {
1497 if (((word >> 13) & 0x1) == 0)
1498 {
1499 if (((word >> 14) & 0x1) == 0)
1500 {
1501 if (((word >> 22) & 0x1) == 0)
1502 {
1503 if (((word >> 23) & 0x1) == 0)
1504 {
1505 if (((word >> 31) & 0x1) == 0)
1506 {
1507 if (((word >> 30) & 0x1) == 0)
1508 {
1509 /* 33222222222211111111110000000000
1510 10987654321098765432109876543210
7684e580 1511 00111000001xxxxxx00100xxxxxxxxxx
ee804238 1512 ldclrb. */
fb3265b3 1513 return 1045;
ee804238
JW
1514 }
1515 else
1516 {
1517 /* 33222222222211111111110000000000
1518 10987654321098765432109876543210
7684e580 1519 01111000001xxxxxx00100xxxxxxxxxx
ee804238 1520 ldclrh. */
fb3265b3 1521 return 1046;
ee804238
JW
1522 }
1523 }
1524 else
1525 {
1526 /* 33222222222211111111110000000000
1527 10987654321098765432109876543210
7684e580 1528 1x111000001xxxxxx00100xxxxxxxxxx
ee804238 1529 ldclr. */
fb3265b3 1530 return 1047;
ee804238
JW
1531 }
1532 }
1533 else
1534 {
1535 if (((word >> 31) & 0x1) == 0)
1536 {
1537 if (((word >> 30) & 0x1) == 0)
1538 {
1539 /* 33222222222211111111110000000000
1540 10987654321098765432109876543210
7684e580 1541 00111000101xxxxxx00100xxxxxxxxxx
ee804238 1542 ldclrab. */
fb3265b3 1543 return 1048;
ee804238
JW
1544 }
1545 else
1546 {
1547 /* 33222222222211111111110000000000
1548 10987654321098765432109876543210
7684e580 1549 01111000101xxxxxx00100xxxxxxxxxx
ee804238 1550 ldclrah. */
fb3265b3 1551 return 1051;
ee804238
JW
1552 }
1553 }
1554 else
1555 {
1556 /* 33222222222211111111110000000000
1557 10987654321098765432109876543210
7684e580 1558 1x111000101xxxxxx00100xxxxxxxxxx
ee804238 1559 ldclra. */
fb3265b3 1560 return 1054;
ee804238
JW
1561 }
1562 }
1563 }
1564 else
1565 {
1566 if (((word >> 23) & 0x1) == 0)
1567 {
1568 if (((word >> 31) & 0x1) == 0)
1569 {
1570 if (((word >> 30) & 0x1) == 0)
1571 {
1572 /* 33222222222211111111110000000000
1573 10987654321098765432109876543210
7684e580 1574 00111000011xxxxxx00100xxxxxxxxxx
ee804238 1575 ldclrlb. */
fb3265b3 1576 return 1049;
ee804238
JW
1577 }
1578 else
1579 {
1580 /* 33222222222211111111110000000000
1581 10987654321098765432109876543210
7684e580 1582 01111000011xxxxxx00100xxxxxxxxxx
ee804238 1583 ldclrlh. */
fb3265b3 1584 return 1052;
ee804238
JW
1585 }
1586 }
1587 else
1588 {
1589 /* 33222222222211111111110000000000
1590 10987654321098765432109876543210
7684e580 1591 1x111000011xxxxxx00100xxxxxxxxxx
ee804238 1592 ldclrl. */
fb3265b3 1593 return 1055;
ee804238
JW
1594 }
1595 }
1596 else
1597 {
1598 if (((word >> 31) & 0x1) == 0)
1599 {
1600 if (((word >> 30) & 0x1) == 0)
1601 {
1602 /* 33222222222211111111110000000000
1603 10987654321098765432109876543210
7684e580 1604 00111000111xxxxxx00100xxxxxxxxxx
ee804238 1605 ldclralb. */
fb3265b3 1606 return 1050;
ee804238
JW
1607 }
1608 else
1609 {
1610 /* 33222222222211111111110000000000
1611 10987654321098765432109876543210
7684e580 1612 01111000111xxxxxx00100xxxxxxxxxx
ee804238 1613 ldclralh. */
fb3265b3 1614 return 1053;
ee804238
JW
1615 }
1616 }
1617 else
1618 {
1619 /* 33222222222211111111110000000000
1620 10987654321098765432109876543210
7684e580 1621 1x111000111xxxxxx00100xxxxxxxxxx
ee804238 1622 ldclral. */
fb3265b3 1623 return 1056;
ee804238
JW
1624 }
1625 }
1626 }
1627 }
1628 else
1629 {
1630 if (((word >> 22) & 0x1) == 0)
1631 {
1632 if (((word >> 23) & 0x1) == 0)
1633 {
1634 if (((word >> 31) & 0x1) == 0)
1635 {
1636 if (((word >> 30) & 0x1) == 0)
1637 {
1638 /* 33222222222211111111110000000000
1639 10987654321098765432109876543210
7684e580 1640 00111000001xxxxxx10100xxxxxxxxxx
ee804238 1641 ldsminb. */
fb3265b3 1642 return 1093;
ee804238
JW
1643 }
1644 else
1645 {
1646 /* 33222222222211111111110000000000
1647 10987654321098765432109876543210
7684e580 1648 01111000001xxxxxx10100xxxxxxxxxx
ee804238 1649 ldsminh. */
fb3265b3 1650 return 1094;
ee804238
JW
1651 }
1652 }
1653 else
1654 {
1655 /* 33222222222211111111110000000000
1656 10987654321098765432109876543210
7684e580 1657 1x111000001xxxxxx10100xxxxxxxxxx
ee804238 1658 ldsmin. */
fb3265b3 1659 return 1095;
ee804238
JW
1660 }
1661 }
1662 else
1663 {
1664 if (((word >> 31) & 0x1) == 0)
1665 {
1666 if (((word >> 30) & 0x1) == 0)
1667 {
1668 /* 33222222222211111111110000000000
1669 10987654321098765432109876543210
7684e580 1670 00111000101xxxxxx10100xxxxxxxxxx
ee804238 1671 ldsminab. */
fb3265b3 1672 return 1096;
ee804238
JW
1673 }
1674 else
1675 {
1676 /* 33222222222211111111110000000000
1677 10987654321098765432109876543210
7684e580 1678 01111000101xxxxxx10100xxxxxxxxxx
ee804238 1679 ldsminah. */
fb3265b3 1680 return 1099;
ee804238
JW
1681 }
1682 }
1683 else
1684 {
1685 /* 33222222222211111111110000000000
1686 10987654321098765432109876543210
7684e580 1687 1x111000101xxxxxx10100xxxxxxxxxx
ee804238 1688 ldsmina. */
fb3265b3 1689 return 1102;
ee804238
JW
1690 }
1691 }
1692 }
1693 else
1694 {
1695 if (((word >> 23) & 0x1) == 0)
1696 {
1697 if (((word >> 31) & 0x1) == 0)
1698 {
1699 if (((word >> 30) & 0x1) == 0)
1700 {
1701 /* 33222222222211111111110000000000
1702 10987654321098765432109876543210
7684e580 1703 00111000011xxxxxx10100xxxxxxxxxx
ee804238 1704 ldsminlb. */
fb3265b3 1705 return 1097;
ee804238
JW
1706 }
1707 else
1708 {
1709 /* 33222222222211111111110000000000
1710 10987654321098765432109876543210
7684e580 1711 01111000011xxxxxx10100xxxxxxxxxx
ee804238 1712 ldsminlh. */
fb3265b3 1713 return 1100;
ee804238
JW
1714 }
1715 }
1716 else
1717 {
1718 /* 33222222222211111111110000000000
1719 10987654321098765432109876543210
7684e580 1720 1x111000011xxxxxx10100xxxxxxxxxx
ee804238 1721 ldsminl. */
fb3265b3 1722 return 1103;
ee804238
JW
1723 }
1724 }
1725 else
1726 {
1727 if (((word >> 31) & 0x1) == 0)
1728 {
1729 if (((word >> 30) & 0x1) == 0)
1730 {
1731 /* 33222222222211111111110000000000
1732 10987654321098765432109876543210
7684e580 1733 00111000111xxxxxx10100xxxxxxxxxx
ee804238 1734 ldsminalb. */
fb3265b3 1735 return 1098;
ee804238
JW
1736 }
1737 else
1738 {
1739 /* 33222222222211111111110000000000
1740 10987654321098765432109876543210
7684e580 1741 01111000111xxxxxx10100xxxxxxxxxx
ee804238 1742 ldsminalh. */
fb3265b3 1743 return 1101;
ee804238
JW
1744 }
1745 }
1746 else
1747 {
1748 /* 33222222222211111111110000000000
1749 10987654321098765432109876543210
7684e580 1750 1x111000111xxxxxx10100xxxxxxxxxx
ee804238 1751 ldsminal. */
fb3265b3 1752 return 1104;
ee804238
JW
1753 }
1754 }
1755 }
1756 }
1757 }
1758 else
1759 {
1760 if (((word >> 14) & 0x1) == 0)
1761 {
1762 if (((word >> 22) & 0x1) == 0)
1763 {
1764 if (((word >> 23) & 0x1) == 0)
1765 {
1766 if (((word >> 31) & 0x1) == 0)
1767 {
1768 if (((word >> 30) & 0x1) == 0)
1769 {
1770 /* 33222222222211111111110000000000
1771 10987654321098765432109876543210
7684e580 1772 00111000001xxxxxx01100xxxxxxxxxx
ee804238 1773 ldsetb. */
fb3265b3 1774 return 1069;
ee804238
JW
1775 }
1776 else
1777 {
1778 /* 33222222222211111111110000000000
1779 10987654321098765432109876543210
7684e580 1780 01111000001xxxxxx01100xxxxxxxxxx
ee804238 1781 ldseth. */
fb3265b3 1782 return 1070;
ee804238
JW
1783 }
1784 }
1785 else
1786 {
1787 /* 33222222222211111111110000000000
1788 10987654321098765432109876543210
7684e580 1789 1x111000001xxxxxx01100xxxxxxxxxx
ee804238 1790 ldset. */
fb3265b3 1791 return 1071;
ee804238
JW
1792 }
1793 }
1794 else
1795 {
1796 if (((word >> 31) & 0x1) == 0)
1797 {
1798 if (((word >> 30) & 0x1) == 0)
1799 {
1800 /* 33222222222211111111110000000000
1801 10987654321098765432109876543210
7684e580 1802 00111000101xxxxxx01100xxxxxxxxxx
ee804238 1803 ldsetab. */
fb3265b3 1804 return 1072;
ee804238
JW
1805 }
1806 else
1807 {
1808 /* 33222222222211111111110000000000
1809 10987654321098765432109876543210
7684e580 1810 01111000101xxxxxx01100xxxxxxxxxx
ee804238 1811 ldsetah. */
fb3265b3 1812 return 1075;
ee804238
JW
1813 }
1814 }
1815 else
1816 {
1817 /* 33222222222211111111110000000000
1818 10987654321098765432109876543210
7684e580 1819 1x111000101xxxxxx01100xxxxxxxxxx
ee804238 1820 ldseta. */
fb3265b3 1821 return 1078;
ee804238
JW
1822 }
1823 }
1824 }
1825 else
1826 {
1827 if (((word >> 23) & 0x1) == 0)
1828 {
1829 if (((word >> 31) & 0x1) == 0)
1830 {
1831 if (((word >> 30) & 0x1) == 0)
1832 {
1833 /* 33222222222211111111110000000000
1834 10987654321098765432109876543210
7684e580 1835 00111000011xxxxxx01100xxxxxxxxxx
ee804238 1836 ldsetlb. */
fb3265b3 1837 return 1073;
ee804238
JW
1838 }
1839 else
1840 {
1841 /* 33222222222211111111110000000000
1842 10987654321098765432109876543210
7684e580 1843 01111000011xxxxxx01100xxxxxxxxxx
ee804238 1844 ldsetlh. */
fb3265b3 1845 return 1076;
ee804238
JW
1846 }
1847 }
1848 else
1849 {
1850 /* 33222222222211111111110000000000
1851 10987654321098765432109876543210
7684e580 1852 1x111000011xxxxxx01100xxxxxxxxxx
ee804238 1853 ldsetl. */
fb3265b3 1854 return 1079;
ee804238
JW
1855 }
1856 }
1857 else
1858 {
1859 if (((word >> 31) & 0x1) == 0)
1860 {
1861 if (((word >> 30) & 0x1) == 0)
1862 {
1863 /* 33222222222211111111110000000000
1864 10987654321098765432109876543210
7684e580 1865 00111000111xxxxxx01100xxxxxxxxxx
ee804238 1866 ldsetalb. */
fb3265b3 1867 return 1074;
ee804238
JW
1868 }
1869 else
1870 {
1871 /* 33222222222211111111110000000000
1872 10987654321098765432109876543210
7684e580 1873 01111000111xxxxxx01100xxxxxxxxxx
ee804238 1874 ldsetalh. */
fb3265b3 1875 return 1077;
ee804238
JW
1876 }
1877 }
1878 else
1879 {
1880 /* 33222222222211111111110000000000
1881 10987654321098765432109876543210
7684e580 1882 1x111000111xxxxxx01100xxxxxxxxxx
ee804238 1883 ldsetal. */
fb3265b3 1884 return 1080;
ee804238
JW
1885 }
1886 }
1887 }
a06ea964
NC
1888 }
1889 else
1890 {
ee804238
JW
1891 if (((word >> 22) & 0x1) == 0)
1892 {
1893 if (((word >> 23) & 0x1) == 0)
1894 {
1895 if (((word >> 31) & 0x1) == 0)
1896 {
1897 if (((word >> 30) & 0x1) == 0)
1898 {
1899 /* 33222222222211111111110000000000
1900 10987654321098765432109876543210
7684e580 1901 00111000001xxxxxx11100xxxxxxxxxx
ee804238 1902 lduminb. */
fb3265b3 1903 return 1117;
ee804238
JW
1904 }
1905 else
1906 {
1907 /* 33222222222211111111110000000000
1908 10987654321098765432109876543210
7684e580 1909 01111000001xxxxxx11100xxxxxxxxxx
ee804238 1910 lduminh. */
fb3265b3 1911 return 1118;
ee804238
JW
1912 }
1913 }
1914 else
1915 {
1916 /* 33222222222211111111110000000000
1917 10987654321098765432109876543210
7684e580 1918 1x111000001xxxxxx11100xxxxxxxxxx
ee804238 1919 ldumin. */
fb3265b3 1920 return 1119;
ee804238
JW
1921 }
1922 }
1923 else
1924 {
1925 if (((word >> 31) & 0x1) == 0)
1926 {
1927 if (((word >> 30) & 0x1) == 0)
1928 {
1929 /* 33222222222211111111110000000000
1930 10987654321098765432109876543210
7684e580 1931 00111000101xxxxxx11100xxxxxxxxxx
ee804238 1932 lduminab. */
fb3265b3 1933 return 1120;
ee804238
JW
1934 }
1935 else
1936 {
1937 /* 33222222222211111111110000000000
1938 10987654321098765432109876543210
7684e580 1939 01111000101xxxxxx11100xxxxxxxxxx
ee804238 1940 lduminah. */
fb3265b3 1941 return 1123;
ee804238
JW
1942 }
1943 }
1944 else
1945 {
1946 /* 33222222222211111111110000000000
1947 10987654321098765432109876543210
7684e580 1948 1x111000101xxxxxx11100xxxxxxxxxx
ee804238 1949 ldumina. */
fb3265b3 1950 return 1126;
ee804238
JW
1951 }
1952 }
1953 }
1954 else
1955 {
1956 if (((word >> 23) & 0x1) == 0)
1957 {
1958 if (((word >> 31) & 0x1) == 0)
1959 {
1960 if (((word >> 30) & 0x1) == 0)
1961 {
1962 /* 33222222222211111111110000000000
1963 10987654321098765432109876543210
7684e580 1964 00111000011xxxxxx11100xxxxxxxxxx
ee804238 1965 lduminlb. */
fb3265b3 1966 return 1121;
ee804238
JW
1967 }
1968 else
1969 {
1970 /* 33222222222211111111110000000000
1971 10987654321098765432109876543210
7684e580 1972 01111000011xxxxxx11100xxxxxxxxxx
ee804238 1973 lduminlh. */
fb3265b3 1974 return 1124;
ee804238
JW
1975 }
1976 }
1977 else
1978 {
1979 /* 33222222222211111111110000000000
1980 10987654321098765432109876543210
7684e580 1981 1x111000011xxxxxx11100xxxxxxxxxx
ee804238 1982 lduminl. */
fb3265b3 1983 return 1127;
ee804238
JW
1984 }
1985 }
1986 else
1987 {
1988 if (((word >> 31) & 0x1) == 0)
1989 {
1990 if (((word >> 30) & 0x1) == 0)
1991 {
1992 /* 33222222222211111111110000000000
1993 10987654321098765432109876543210
7684e580 1994 00111000111xxxxxx11100xxxxxxxxxx
ee804238 1995 lduminalb. */
fb3265b3 1996 return 1122;
ee804238
JW
1997 }
1998 else
1999 {
2000 /* 33222222222211111111110000000000
2001 10987654321098765432109876543210
7684e580 2002 01111000111xxxxxx11100xxxxxxxxxx
ee804238 2003 lduminalh. */
fb3265b3 2004 return 1125;
ee804238
JW
2005 }
2006 }
2007 else
2008 {
2009 /* 33222222222211111111110000000000
2010 10987654321098765432109876543210
7684e580 2011 1x111000111xxxxxx11100xxxxxxxxxx
ee804238 2012 lduminal. */
fb3265b3 2013 return 1128;
ee804238
JW
2014 }
2015 }
2016 }
a06ea964
NC
2017 }
2018 }
a06ea964
NC
2019 }
2020 }
2021 }
2022 else
2023 {
2024 if (((word >> 21) & 0x1) == 0)
2025 {
2026 if (((word >> 23) & 0x1) == 0)
2027 {
2028 if (((word >> 22) & 0x1) == 0)
2029 {
2030 if (((word >> 31) & 0x1) == 0)
2031 {
2032 if (((word >> 30) & 0x1) == 0)
2033 {
2034 /* 33222222222211111111110000000000
2035 10987654321098765432109876543210
7684e580 2036 00111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2037 sttrb. */
fb3265b3 2038 return 912;
a06ea964
NC
2039 }
2040 else
2041 {
2042 /* 33222222222211111111110000000000
2043 10987654321098765432109876543210
7684e580 2044 01111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2045 sttrh. */
fb3265b3 2046 return 915;
a06ea964
NC
2047 }
2048 }
2049 else
2050 {
2051 /* 33222222222211111111110000000000
2052 10987654321098765432109876543210
7684e580 2053 1x111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2054 sttr. */
fb3265b3 2055 return 918;
a06ea964
NC
2056 }
2057 }
2058 else
2059 {
2060 if (((word >> 31) & 0x1) == 0)
2061 {
2062 if (((word >> 30) & 0x1) == 0)
2063 {
2064 /* 33222222222211111111110000000000
2065 10987654321098765432109876543210
7684e580 2066 00111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2067 ldtrb. */
fb3265b3 2068 return 913;
a06ea964
NC
2069 }
2070 else
2071 {
2072 /* 33222222222211111111110000000000
2073 10987654321098765432109876543210
7684e580 2074 01111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2075 ldtrh. */
fb3265b3 2076 return 916;
a06ea964
NC
2077 }
2078 }
2079 else
2080 {
2081 /* 33222222222211111111110000000000
2082 10987654321098765432109876543210
7684e580 2083 1x111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2084 ldtr. */
fb3265b3 2085 return 919;
a06ea964
NC
2086 }
2087 }
2088 }
2089 else
2090 {
2091 if (((word >> 30) & 0x1) == 0)
2092 {
2093 if (((word >> 31) & 0x1) == 0)
2094 {
2095 /* 33222222222211111111110000000000
2096 10987654321098765432109876543210
7684e580 2097 001110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2098 ldtrsb. */
fb3265b3 2099 return 914;
a06ea964
NC
2100 }
2101 else
2102 {
2103 /* 33222222222211111111110000000000
2104 10987654321098765432109876543210
7684e580 2105 101110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2106 ldtrsw. */
fb3265b3 2107 return 920;
a06ea964
NC
2108 }
2109 }
2110 else
2111 {
2112 /* 33222222222211111111110000000000
2113 10987654321098765432109876543210
7684e580 2114 x11110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2115 ldtrsh. */
fb3265b3 2116 return 917;
a06ea964
NC
2117 }
2118 }
2119 }
2120 else
2121 {
2122 if (((word >> 23) & 0x1) == 0)
2123 {
2124 if (((word >> 22) & 0x1) == 0)
2125 {
2126 if (((word >> 31) & 0x1) == 0)
2127 {
2128 if (((word >> 30) & 0x1) == 0)
2129 {
2130 /* 33222222222211111111110000000000
2131 10987654321098765432109876543210
7684e580 2132 00111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2133 strb. */
fb3265b3 2134 return 900;
a06ea964
NC
2135 }
2136 else
2137 {
2138 /* 33222222222211111111110000000000
2139 10987654321098765432109876543210
7684e580 2140 01111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2141 strh. */
fb3265b3 2142 return 905;
a06ea964
NC
2143 }
2144 }
2145 else
2146 {
2147 /* 33222222222211111111110000000000
2148 10987654321098765432109876543210
7684e580 2149 1x111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2150 str. */
fb3265b3 2151 return 908;
a06ea964
NC
2152 }
2153 }
2154 else
2155 {
2156 if (((word >> 31) & 0x1) == 0)
2157 {
2158 if (((word >> 30) & 0x1) == 0)
2159 {
2160 /* 33222222222211111111110000000000
2161 10987654321098765432109876543210
7684e580 2162 00111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2163 ldrb. */
fb3265b3 2164 return 901;
a06ea964
NC
2165 }
2166 else
2167 {
2168 /* 33222222222211111111110000000000
2169 10987654321098765432109876543210
7684e580 2170 01111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2171 ldrh. */
fb3265b3 2172 return 906;
a06ea964
NC
2173 }
2174 }
2175 else
2176 {
2177 /* 33222222222211111111110000000000
2178 10987654321098765432109876543210
7684e580 2179 1x111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2180 ldr. */
fb3265b3 2181 return 909;
a06ea964
NC
2182 }
2183 }
2184 }
2185 else
2186 {
2187 if (((word >> 30) & 0x1) == 0)
2188 {
2189 if (((word >> 31) & 0x1) == 0)
2190 {
2191 /* 33222222222211111111110000000000
2192 10987654321098765432109876543210
7684e580 2193 001110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2194 ldrsb. */
fb3265b3 2195 return 902;
a06ea964
NC
2196 }
2197 else
2198 {
2199 /* 33222222222211111111110000000000
2200 10987654321098765432109876543210
7684e580 2201 101110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2202 ldrsw. */
fb3265b3 2203 return 910;
a06ea964
NC
2204 }
2205 }
2206 else
2207 {
2208 if (((word >> 31) & 0x1) == 0)
2209 {
2210 /* 33222222222211111111110000000000
2211 10987654321098765432109876543210
7684e580 2212 011110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2213 ldrsh. */
fb3265b3 2214 return 907;
a06ea964
NC
2215 }
2216 else
2217 {
2218 /* 33222222222211111111110000000000
2219 10987654321098765432109876543210
7684e580 2220 111110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2221 prfm. */
fb3265b3 2222 return 911;
a06ea964
NC
2223 }
2224 }
2225 }
2226 }
2227 }
2228 }
2229 else
2230 {
3f06e550 2231 if (((word >> 21) & 0x1) == 0)
a06ea964 2232 {
3f06e550 2233 if (((word >> 23) & 0x1) == 0)
a06ea964 2234 {
3f06e550 2235 if (((word >> 22) & 0x1) == 0)
a06ea964 2236 {
3f06e550 2237 if (((word >> 31) & 0x1) == 0)
a06ea964 2238 {
3f06e550
SN
2239 if (((word >> 30) & 0x1) == 0)
2240 {
2241 /* 33222222222211111111110000000000
2242 10987654321098765432109876543210
7684e580 2243 00111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2244 strb. */
b731bc3b 2245 return 869;
3f06e550
SN
2246 }
2247 else
2248 {
2249 /* 33222222222211111111110000000000
2250 10987654321098765432109876543210
7684e580 2251 01111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2252 strh. */
b731bc3b 2253 return 874;
3f06e550 2254 }
a06ea964
NC
2255 }
2256 else
2257 {
2258 /* 33222222222211111111110000000000
2259 10987654321098765432109876543210
7684e580 2260 1x111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2261 str. */
b731bc3b 2262 return 877;
a06ea964
NC
2263 }
2264 }
2265 else
2266 {
3f06e550
SN
2267 if (((word >> 31) & 0x1) == 0)
2268 {
2269 if (((word >> 30) & 0x1) == 0)
2270 {
2271 /* 33222222222211111111110000000000
2272 10987654321098765432109876543210
7684e580 2273 00111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2274 ldrb. */
b731bc3b 2275 return 870;
3f06e550
SN
2276 }
2277 else
2278 {
2279 /* 33222222222211111111110000000000
2280 10987654321098765432109876543210
7684e580 2281 01111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2282 ldrh. */
b731bc3b 2283 return 875;
3f06e550
SN
2284 }
2285 }
2286 else
2287 {
2288 /* 33222222222211111111110000000000
2289 10987654321098765432109876543210
7684e580 2290 1x111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2291 ldr. */
b731bc3b 2292 return 878;
3f06e550 2293 }
a06ea964
NC
2294 }
2295 }
2296 else
2297 {
3f06e550 2298 if (((word >> 30) & 0x1) == 0)
a06ea964 2299 {
3f06e550 2300 if (((word >> 31) & 0x1) == 0)
a06ea964
NC
2301 {
2302 /* 33222222222211111111110000000000
2303 10987654321098765432109876543210
7684e580 2304 001110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2305 ldrsb. */
b731bc3b 2306 return 871;
a06ea964
NC
2307 }
2308 else
2309 {
2310 /* 33222222222211111111110000000000
2311 10987654321098765432109876543210
7684e580 2312 101110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2313 ldrsw. */
b731bc3b 2314 return 879;
a06ea964
NC
2315 }
2316 }
2317 else
2318 {
2319 /* 33222222222211111111110000000000
2320 10987654321098765432109876543210
7684e580 2321 x11110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2322 ldrsh. */
b731bc3b 2323 return 876;
a06ea964
NC
2324 }
2325 }
2326 }
2327 else
2328 {
3f06e550 2329 if (((word >> 23) & 0x1) == 0)
a06ea964 2330 {
3f06e550
SN
2331 /* 33222222222211111111110000000000
2332 10987654321098765432109876543210
7684e580 2333 xx1110000x1xxxxxxxxxx1xxxxxxxxxx
3f06e550 2334 ldraa. */
fb3265b3 2335 return 933;
a06ea964
NC
2336 }
2337 else
2338 {
2339 /* 33222222222211111111110000000000
2340 10987654321098765432109876543210
7684e580 2341 xx1110001x1xxxxxxxxxx1xxxxxxxxxx
3f06e550 2342 ldrab. */
fb3265b3 2343 return 934;
a06ea964
NC
2344 }
2345 }
2346 }
2347 }
2348 }
2349 else
2350 {
2351 if (((word >> 23) & 0x1) == 0)
2352 {
2353 if (((word >> 22) & 0x1) == 0)
2354 {
e9dbdd80 2355 if (((word >> 29) & 0x1) == 0)
a06ea964 2356 {
fb3265b3 2357 if (((word >> 10) & 0x1) == 0)
a06ea964 2358 {
fb3265b3 2359 if (((word >> 11) & 0x1) == 0)
e9dbdd80 2360 {
fb3265b3
SD
2361 if (((word >> 30) & 0x1) == 0)
2362 {
2363 if (((word >> 31) & 0x1) == 0)
2364 {
2365 /* 33222222222211111111110000000000
2366 10987654321098765432109876543210
2367 0001100100xxxxxxxxxx00xxxxxxxxxx
2368 stlurb. */
2369 return 2078;
2370 }
2371 else
2372 {
2373 /* 33222222222211111111110000000000
2374 10987654321098765432109876543210
2375 1001100100xxxxxxxxxx00xxxxxxxxxx
2376 stlur. */
2377 return 2086;
2378 }
2379 }
2380 else
2381 {
2382 if (((word >> 31) & 0x1) == 0)
2383 {
2384 /* 33222222222211111111110000000000
2385 10987654321098765432109876543210
2386 0101100100xxxxxxxxxx00xxxxxxxxxx
2387 stlurh. */
2388 return 2082;
2389 }
2390 else
2391 {
2392 /* 33222222222211111111110000000000
2393 10987654321098765432109876543210
2394 1101100100xxxxxxxxxx00xxxxxxxxxx
2395 stlur. */
2396 return 2089;
2397 }
2398 }
e9dbdd80
TC
2399 }
2400 else
2401 {
2402 /* 33222222222211111111110000000000
2403 10987654321098765432109876543210
fb3265b3
SD
2404 xx01100100xxxxxxxxxx10xxxxxxxxxx
2405 stg. */
2406 return 880;
e9dbdd80 2407 }
a06ea964
NC
2408 }
2409 else
2410 {
fb3265b3
SD
2411 /* 33222222222211111111110000000000
2412 10987654321098765432109876543210
2413 xx01100100xxxxxxxxxxx1xxxxxxxxxx
2414 stg. */
2415 return 884;
a06ea964
NC
2416 }
2417 }
2418 else
2419 {
e9dbdd80
TC
2420 if (((word >> 31) & 0x1) == 0)
2421 {
2422 if (((word >> 30) & 0x1) == 0)
2423 {
2424 /* 33222222222211111111110000000000
2425 10987654321098765432109876543210
2426 0011100100xxxxxxxxxxxxxxxxxxxxxx
2427 strb. */
fb3265b3 2428 return 888;
e9dbdd80
TC
2429 }
2430 else
2431 {
2432 /* 33222222222211111111110000000000
2433 10987654321098765432109876543210
2434 0111100100xxxxxxxxxxxxxxxxxxxxxx
2435 strh. */
fb3265b3 2436 return 893;
e9dbdd80
TC
2437 }
2438 }
2439 else
2440 {
2441 /* 33222222222211111111110000000000
2442 10987654321098765432109876543210
2443 1x11100100xxxxxxxxxxxxxxxxxxxxxx
2444 str. */
fb3265b3 2445 return 896;
e9dbdd80 2446 }
a06ea964
NC
2447 }
2448 }
2449 else
2450 {
e9dbdd80 2451 if (((word >> 29) & 0x1) == 0)
a06ea964 2452 {
fb3265b3 2453 if (((word >> 10) & 0x1) == 0)
a06ea964 2454 {
fb3265b3 2455 if (((word >> 11) & 0x1) == 0)
e9dbdd80 2456 {
fb3265b3
SD
2457 if (((word >> 30) & 0x1) == 0)
2458 {
2459 if (((word >> 31) & 0x1) == 0)
2460 {
2461 /* 33222222222211111111110000000000
2462 10987654321098765432109876543210
2463 0001100101xxxxxxxxxx00xxxxxxxxxx
2464 ldapurb. */
2465 return 2079;
2466 }
2467 else
2468 {
2469 /* 33222222222211111111110000000000
2470 10987654321098765432109876543210
2471 1001100101xxxxxxxxxx00xxxxxxxxxx
2472 ldapur. */
2473 return 2087;
2474 }
2475 }
2476 else
2477 {
2478 if (((word >> 31) & 0x1) == 0)
2479 {
2480 /* 33222222222211111111110000000000
2481 10987654321098765432109876543210
2482 0101100101xxxxxxxxxx00xxxxxxxxxx
2483 ldapurh. */
2484 return 2083;
2485 }
2486 else
2487 {
2488 /* 33222222222211111111110000000000
2489 10987654321098765432109876543210
2490 1101100101xxxxxxxxxx00xxxxxxxxxx
2491 ldapur. */
2492 return 2090;
2493 }
2494 }
e9dbdd80
TC
2495 }
2496 else
2497 {
2498 /* 33222222222211111111110000000000
2499 10987654321098765432109876543210
fb3265b3
SD
2500 xx01100101xxxxxxxxxx10xxxxxxxxxx
2501 stzg. */
2502 return 881;
e9dbdd80 2503 }
a06ea964
NC
2504 }
2505 else
2506 {
fb3265b3
SD
2507 /* 33222222222211111111110000000000
2508 10987654321098765432109876543210
2509 xx01100101xxxxxxxxxxx1xxxxxxxxxx
2510 stzg. */
2511 return 885;
a06ea964
NC
2512 }
2513 }
2514 else
2515 {
e9dbdd80
TC
2516 if (((word >> 31) & 0x1) == 0)
2517 {
2518 if (((word >> 30) & 0x1) == 0)
2519 {
2520 /* 33222222222211111111110000000000
2521 10987654321098765432109876543210
2522 0011100101xxxxxxxxxxxxxxxxxxxxxx
2523 ldrb. */
fb3265b3 2524 return 889;
e9dbdd80
TC
2525 }
2526 else
2527 {
2528 /* 33222222222211111111110000000000
2529 10987654321098765432109876543210
2530 0111100101xxxxxxxxxxxxxxxxxxxxxx
2531 ldrh. */
fb3265b3 2532 return 894;
e9dbdd80
TC
2533 }
2534 }
2535 else
2536 {
2537 /* 33222222222211111111110000000000
2538 10987654321098765432109876543210
2539 1x11100101xxxxxxxxxxxxxxxxxxxxxx
2540 ldr. */
fb3265b3
SD
2541 return 897;
2542 }
2543 }
2544 }
2545 }
2546 else
2547 {
2548 if (((word >> 29) & 0x1) == 0)
2549 {
2550 if (((word >> 10) & 0x1) == 0)
2551 {
2552 if (((word >> 11) & 0x1) == 0)
2553 {
2554 if (((word >> 22) & 0x1) == 0)
2555 {
2556 if (((word >> 30) & 0x1) == 0)
2557 {
2558 if (((word >> 31) & 0x1) == 0)
2559 {
2560 /* 33222222222211111111110000000000
2561 10987654321098765432109876543210
2562 0001100110xxxxxxxxxx00xxxxxxxxxx
2563 ldapursb. */
2564 return 2081;
2565 }
2566 else
2567 {
2568 /* 33222222222211111111110000000000
2569 10987654321098765432109876543210
2570 1001100110xxxxxxxxxx00xxxxxxxxxx
2571 ldapursw. */
2572 return 2088;
2573 }
2574 }
2575 else
2576 {
2577 /* 33222222222211111111110000000000
2578 10987654321098765432109876543210
2579 x101100110xxxxxxxxxx00xxxxxxxxxx
2580 ldapursh. */
2581 return 2085;
2582 }
2583 }
2584 else
2585 {
2586 if (((word >> 30) & 0x1) == 0)
2587 {
2588 /* 33222222222211111111110000000000
2589 10987654321098765432109876543210
2590 x001100111xxxxxxxxxx00xxxxxxxxxx
2591 ldapursb. */
2592 return 2080;
2593 }
2594 else
2595 {
2596 /* 33222222222211111111110000000000
2597 10987654321098765432109876543210
2598 x101100111xxxxxxxxxx00xxxxxxxxxx
2599 ldapursh. */
2600 return 2084;
2601 }
2602 }
e9dbdd80 2603 }
fb3265b3 2604 else
e9dbdd80 2605 {
fb3265b3 2606 if (((word >> 22) & 0x1) == 0)
e9dbdd80
TC
2607 {
2608 /* 33222222222211111111110000000000
2609 10987654321098765432109876543210
fb3265b3
SD
2610 xx01100110xxxxxxxxxx10xxxxxxxxxx
2611 st2g. */
2612 return 882;
e9dbdd80
TC
2613 }
2614 else
2615 {
2616 /* 33222222222211111111110000000000
2617 10987654321098765432109876543210
fb3265b3
SD
2618 xx01100111xxxxxxxxxx10xxxxxxxxxx
2619 stz2g. */
2620 return 883;
e9dbdd80
TC
2621 }
2622 }
a06ea964
NC
2623 }
2624 else
2625 {
fb3265b3 2626 if (((word >> 22) & 0x1) == 0)
e9dbdd80
TC
2627 {
2628 /* 33222222222211111111110000000000
2629 10987654321098765432109876543210
fb3265b3
SD
2630 xx01100110xxxxxxxxxxx1xxxxxxxxxx
2631 st2g. */
2632 return 886;
e9dbdd80
TC
2633 }
2634 else
2635 {
2636 /* 33222222222211111111110000000000
2637 10987654321098765432109876543210
fb3265b3
SD
2638 xx01100111xxxxxxxxxxx1xxxxxxxxxx
2639 stz2g. */
2640 return 887;
e9dbdd80 2641 }
a06ea964
NC
2642 }
2643 }
2644 else
2645 {
e9dbdd80 2646 if (((word >> 30) & 0x1) == 0)
a06ea964 2647 {
e9dbdd80
TC
2648 if (((word >> 31) & 0x1) == 0)
2649 {
2650 /* 33222222222211111111110000000000
2651 10987654321098765432109876543210
2652 001110011xxxxxxxxxxxxxxxxxxxxxxx
2653 ldrsb. */
fb3265b3 2654 return 890;
e9dbdd80
TC
2655 }
2656 else
2657 {
2658 /* 33222222222211111111110000000000
2659 10987654321098765432109876543210
2660 101110011xxxxxxxxxxxxxxxxxxxxxxx
2661 ldrsw. */
fb3265b3 2662 return 898;
e9dbdd80 2663 }
a06ea964
NC
2664 }
2665 else
2666 {
e9dbdd80
TC
2667 if (((word >> 31) & 0x1) == 0)
2668 {
2669 /* 33222222222211111111110000000000
2670 10987654321098765432109876543210
2671 011110011xxxxxxxxxxxxxxxxxxxxxxx
2672 ldrsh. */
fb3265b3 2673 return 895;
e9dbdd80
TC
2674 }
2675 else
2676 {
2677 /* 33222222222211111111110000000000
2678 10987654321098765432109876543210
2679 111110011xxxxxxxxxxxxxxxxxxxxxxx
2680 prfm. */
fb3265b3 2681 return 899;
e9dbdd80 2682 }
a06ea964
NC
2683 }
2684 }
2685 }
2686 }
2687 }
2688 }
2689 }
2690 else
2691 {
2692 if (((word >> 24) & 0x1) == 0)
2693 {
2694 if (((word >> 27) & 0x1) == 0)
2695 {
2696 if (((word >> 23) & 0x1) == 0)
2697 {
2698 if (((word >> 29) & 0x1) == 0)
2699 {
2700 if (((word >> 30) & 0x1) == 0)
2701 {
2702 /* 33222222222211111111110000000000
2703 10987654321098765432109876543210
7684e580 2704 x00x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2705 and. */
fb3265b3 2706 return 986;
a06ea964
NC
2707 }
2708 else
2709 {
2710 /* 33222222222211111111110000000000
2711 10987654321098765432109876543210
7684e580 2712 x10x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2713 eor. */
fb3265b3 2714 return 990;
a06ea964
NC
2715 }
2716 }
2717 else
2718 {
2719 if (((word >> 30) & 0x1) == 0)
2720 {
2721 /* 33222222222211111111110000000000
2722 10987654321098765432109876543210
7684e580 2723 x01x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2724 orr. */
fb3265b3 2725 return 988;
a06ea964
NC
2726 }
2727 else
2728 {
2729 /* 33222222222211111111110000000000
2730 10987654321098765432109876543210
7684e580 2731 x11x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2732 ands. */
fb3265b3 2733 return 991;
a06ea964
NC
2734 }
2735 }
2736 }
2737 else
2738 {
2739 if (((word >> 29) & 0x1) == 0)
2740 {
2741 if (((word >> 30) & 0x1) == 0)
2742 {
2743 /* 33222222222211111111110000000000
2744 10987654321098765432109876543210
7684e580 2745 x00x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2746 movn. */
fb3265b3 2747 return 1177;
a06ea964
NC
2748 }
2749 else
2750 {
2751 /* 33222222222211111111110000000000
2752 10987654321098765432109876543210
7684e580 2753 x10x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2754 movz. */
fb3265b3 2755 return 1179;
a06ea964
NC
2756 }
2757 }
2758 else
2759 {
2760 /* 33222222222211111111110000000000
2761 10987654321098765432109876543210
7684e580 2762 xx1x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2763 movk. */
fb3265b3 2764 return 1181;
a06ea964
NC
2765 }
2766 }
2767 }
2768 else
2769 {
2770 if (((word >> 21) & 0x1) == 0)
2771 {
2772 if (((word >> 28) & 0x1) == 0)
2773 {
2774 if (((word >> 29) & 0x1) == 0)
2775 {
2776 if (((word >> 30) & 0x1) == 0)
2777 {
2778 /* 33222222222211111111110000000000
2779 10987654321098765432109876543210
7684e580 2780 x0001010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2781 and. */
fb3265b3 2782 return 993;
a06ea964
NC
2783 }
2784 else
2785 {
2786 /* 33222222222211111111110000000000
2787 10987654321098765432109876543210
7684e580 2788 x1001010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2789 eor. */
fb3265b3 2790 return 1000;
a06ea964
NC
2791 }
2792 }
2793 else
2794 {
2795 if (((word >> 30) & 0x1) == 0)
2796 {
2797 /* 33222222222211111111110000000000
2798 10987654321098765432109876543210
7684e580 2799 x0101010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2800 orr. */
fb3265b3 2801 return 995;
a06ea964
NC
2802 }
2803 else
2804 {
2805 /* 33222222222211111111110000000000
2806 10987654321098765432109876543210
7684e580 2807 x1101010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2808 ands. */
fb3265b3 2809 return 1002;
a06ea964
NC
2810 }
2811 }
2812 }
2813 else
2814 {
2815 if (((word >> 10) & 0x1) == 0)
2816 {
2817 if (((word >> 11) & 0x1) == 0)
2818 {
2819 if (((word >> 22) & 0x1) == 0)
2820 {
2821 if (((word >> 23) & 0x1) == 0)
2822 {
2823 if (((word >> 29) & 0x1) == 0)
2824 {
2825 if (((word >> 30) & 0x1) == 0)
2826 {
2827 /* 33222222222211111111110000000000
2828 10987654321098765432109876543210
7684e580 2829 x0011010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2830 adc. */
2831 return 0;
2832 }
2833 else
2834 {
2835 /* 33222222222211111111110000000000
2836 10987654321098765432109876543210
7684e580 2837 x1011010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2838 sbc. */
2839 return 2;
2840 }
2841 }
2842 else
2843 {
2844 if (((word >> 30) & 0x1) == 0)
2845 {
2846 /* 33222222222211111111110000000000
2847 10987654321098765432109876543210
7684e580 2848 x0111010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2849 adcs. */
2850 return 1;
2851 }
2852 else
2853 {
2854 /* 33222222222211111111110000000000
2855 10987654321098765432109876543210
7684e580 2856 x1111010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2857 sbcs. */
2858 return 4;
2859 }
2860 }
2861 }
2862 else
2863 {
2864 if (((word >> 30) & 0x1) == 0)
2865 {
2866 /* 33222222222211111111110000000000
2867 10987654321098765432109876543210
7684e580 2868 x0x11010100xxxxxxxxx00xxxxxxxxxx
a06ea964 2869 csel. */
193614f2 2870 return 662;
a06ea964
NC
2871 }
2872 else
2873 {
2874 /* 33222222222211111111110000000000
2875 10987654321098765432109876543210
7684e580 2876 x1x11010100xxxxxxxxx00xxxxxxxxxx
a06ea964 2877 csinv. */
193614f2 2878 return 666;
a06ea964
NC
2879 }
2880 }
2881 }
2882 else
2883 {
2884 if (((word >> 23) & 0x1) == 0)
2885 {
2886 if (((word >> 30) & 0x1) == 0)
2887 {
2888 /* 33222222222211111111110000000000
2889 10987654321098765432109876543210
7684e580 2890 x0x11010010xxxxxxxxx00xxxxxxxxxx
a06ea964 2891 ccmn. */
193614f2 2892 return 660;
a06ea964
NC
2893 }
2894 else
2895 {
2896 /* 33222222222211111111110000000000
2897 10987654321098765432109876543210
7684e580 2898 x1x11010010xxxxxxxxx00xxxxxxxxxx
a06ea964 2899 ccmp. */
193614f2 2900 return 661;
a06ea964
NC
2901 }
2902 }
2903 else
2904 {
2905 if (((word >> 12) & 0x1) == 0)
2906 {
2907 if (((word >> 13) & 0x1) == 0)
2908 {
e60bb1dd 2909 if (((word >> 14) & 0x1) == 0)
a2cfc830 2910 {
b731bc3b 2911 if (((word >> 29) & 0x1) == 0)
a2cfc830 2912 {
b731bc3b
SD
2913 if (((word >> 30) & 0x1) == 0)
2914 {
2915 /* 33222222222211111111110000000000
2916 10987654321098765432109876543210
2917 x0011010110xxxxxx00000xxxxxxxxxx
2918 subp. */
2919 return 721;
2920 }
2921 else
2922 {
2923 if (((word >> 16) & 0x1) == 0)
2924 {
2925 /* 33222222222211111111110000000000
2926 10987654321098765432109876543210
2927 x1011010110xxxx0x00000xxxxxxxxxx
2928 rbit. */
2929 return 685;
2930 }
2931 else
2932 {
2933 /* 33222222222211111111110000000000
2934 10987654321098765432109876543210
2935 x1011010110xxxx1x00000xxxxxxxxxx
2936 pacia. */
2937 return 693;
2938 }
2939 }
a2cfc830
SN
2940 }
2941 else
2942 {
2943 /* 33222222222211111111110000000000
2944 10987654321098765432109876543210
b731bc3b
SD
2945 xx111010110xxxxxx00000xxxxxxxxxx
2946 subps. */
2947 return 722;
a2cfc830
SN
2948 }
2949 }
2950 else
2951 {
2952 if (((word >> 30) & 0x1) == 0)
2953 {
2954 /* 33222222222211111111110000000000
2955 10987654321098765432109876543210
7684e580 2956 x0x11010110xxxxxx10000xxxxxxxxxx
a2cfc830 2957 crc32b. */
b731bc3b 2958 return 727;
a2cfc830
SN
2959 }
2960 else
2961 {
2962 /* 33222222222211111111110000000000
2963 10987654321098765432109876543210
7684e580 2964 x1x11010110xxxxxx10000xxxxxxxxxx
a2cfc830 2965 xpaci. */
193614f2 2966 return 709;
a2cfc830
SN
2967 }
2968 }
2969 }
2970 else
2971 {
2972 if (((word >> 30) & 0x1) == 0)
e60bb1dd
YZ
2973 {
2974 /* 33222222222211111111110000000000
2975 10987654321098765432109876543210
7684e580 2976 x0x11010110xxxxxxx1000xxxxxxxxxx
a2cfc830 2977 lslv. */
193614f2 2978 return 713;
e60bb1dd
YZ
2979 }
2980 else
2981 {
2982 /* 33222222222211111111110000000000
2983 10987654321098765432109876543210
7684e580 2984 x1x11010110xxxxxxx1000xxxxxxxxxx
a2cfc830 2985 paciza. */
193614f2 2986 return 701;
e60bb1dd 2987 }
a06ea964 2988 }
a06ea964
NC
2989 }
2990 else
2991 {
a2cfc830 2992 if (((word >> 13) & 0x1) == 0)
e60bb1dd 2993 {
a2cfc830
SN
2994 if (((word >> 14) & 0x1) == 0)
2995 {
193614f2 2996 if (((word >> 30) & 0x1) == 0)
a2cfc830
SN
2997 {
2998 /* 33222222222211111111110000000000
2999 10987654321098765432109876543210
193614f2
SD
3000 x0x11010110xxxxxx00100xxxxxxxxxx
3001 irg. */
b731bc3b 3002 return 724;
a2cfc830
SN
3003 }
3004 else
3005 {
193614f2
SD
3006 if (((word >> 16) & 0x1) == 0)
3007 {
3008 /* 33222222222211111111110000000000
3009 10987654321098765432109876543210
3010 x1x11010110xxxx0x00100xxxxxxxxxx
3011 clz. */
3012 return 690;
3013 }
3014 else
3015 {
3016 /* 33222222222211111111110000000000
3017 10987654321098765432109876543210
3018 x1x11010110xxxx1x00100xxxxxxxxxx
3019 autia. */
3020 return 697;
3021 }
a2cfc830
SN
3022 }
3023 }
3024 else
3025 {
3026 /* 33222222222211111111110000000000
3027 10987654321098765432109876543210
7684e580 3028 xxx11010110xxxxxx10100xxxxxxxxxx
a2cfc830 3029 crc32cb. */
b731bc3b 3030 return 731;
a2cfc830 3031 }
e60bb1dd
YZ
3032 }
3033 else
3034 {
c84364ec
SN
3035 if (((word >> 30) & 0x1) == 0)
3036 {
3037 /* 33222222222211111111110000000000
3038 10987654321098765432109876543210
7684e580 3039 x0x11010110xxxxxxx1100xxxxxxxxxx
c84364ec 3040 pacga. */
b731bc3b 3041 return 726;
c84364ec
SN
3042 }
3043 else
3044 {
3045 /* 33222222222211111111110000000000
3046 10987654321098765432109876543210
7684e580 3047 x1x11010110xxxxxxx1100xxxxxxxxxx
c84364ec 3048 autiza. */
193614f2 3049 return 705;
c84364ec 3050 }
e60bb1dd 3051 }
a06ea964
NC
3052 }
3053 }
3054 }
3055 }
3056 else
3057 {
e9dbdd80 3058 if (((word >> 22) & 0x1) == 0)
a06ea964 3059 {
e9dbdd80 3060 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
3061 {
3062 /* 33222222222211111111110000000000
3063 10987654321098765432109876543210
e9dbdd80
TC
3064 xxx11010x00xxxxxx0xx10xxxxxxxxxx
3065 setf8. */
fb3265b3 3066 return 2076;
a06ea964
NC
3067 }
3068 else
3069 {
3070 /* 33222222222211111111110000000000
3071 10987654321098765432109876543210
e9dbdd80
TC
3072 xxx11010x00xxxxxx1xx10xxxxxxxxxx
3073 setf16. */
fb3265b3 3074 return 2077;
a06ea964
NC
3075 }
3076 }
3077 else
3078 {
e9dbdd80 3079 if (((word >> 23) & 0x1) == 0)
a06ea964 3080 {
e9dbdd80 3081 if (((word >> 30) & 0x1) == 0)
a06ea964 3082 {
e9dbdd80
TC
3083 /* 33222222222211111111110000000000
3084 10987654321098765432109876543210
3085 x0x11010010xxxxxxxxx10xxxxxxxxxx
3086 ccmn. */
193614f2 3087 return 658;
e9dbdd80
TC
3088 }
3089 else
3090 {
3091 /* 33222222222211111111110000000000
3092 10987654321098765432109876543210
3093 x1x11010010xxxxxxxxx10xxxxxxxxxx
3094 ccmp. */
193614f2 3095 return 659;
e9dbdd80
TC
3096 }
3097 }
3098 else
3099 {
3100 if (((word >> 12) & 0x1) == 0)
3101 {
3102 if (((word >> 13) & 0x1) == 0)
a06ea964 3103 {
e9dbdd80 3104 if (((word >> 14) & 0x1) == 0)
e60bb1dd 3105 {
e9dbdd80 3106 if (((word >> 30) & 0x1) == 0)
e60bb1dd 3107 {
e9dbdd80
TC
3108 /* 33222222222211111111110000000000
3109 10987654321098765432109876543210
3110 x0x11010110xxxxxx00010xxxxxxxxxx
3111 udiv. */
193614f2 3112 return 711;
e9dbdd80
TC
3113 }
3114 else
3115 {
3116 if (((word >> 16) & 0x1) == 0)
a2cfc830 3117 {
e9dbdd80
TC
3118 if (((word >> 31) & 0x1) == 0)
3119 {
3120 /* 33222222222211111111110000000000
3121 10987654321098765432109876543210
3122 01x11010110xxxx0x00010xxxxxxxxxx
3123 rev. */
193614f2 3124 return 687;
e9dbdd80
TC
3125 }
3126 else
3127 {
3128 /* 33222222222211111111110000000000
3129 10987654321098765432109876543210
3130 11x11010110xxxx0x00010xxxxxxxxxx
3131 rev32. */
193614f2 3132 return 692;
e9dbdd80 3133 }
a2cfc830
SN
3134 }
3135 else
3136 {
3137 /* 33222222222211111111110000000000
3138 10987654321098765432109876543210
e9dbdd80
TC
3139 x1x11010110xxxx1x00010xxxxxxxxxx
3140 pacda. */
193614f2 3141 return 695;
a2cfc830 3142 }
e60bb1dd 3143 }
e9dbdd80
TC
3144 }
3145 else
3146 {
3147 /* 33222222222211111111110000000000
3148 10987654321098765432109876543210
3149 xxx11010110xxxxxx10010xxxxxxxxxx
3150 crc32w. */
b731bc3b 3151 return 729;
e60bb1dd 3152 }
a06ea964
NC
3153 }
3154 else
3155 {
e9dbdd80
TC
3156 if (((word >> 30) & 0x1) == 0)
3157 {
3158 /* 33222222222211111111110000000000
3159 10987654321098765432109876543210
3160 x0x11010110xxxxxxx1010xxxxxxxxxx
3161 asrv. */
193614f2 3162 return 717;
e9dbdd80
TC
3163 }
3164 else
3165 {
3166 /* 33222222222211111111110000000000
3167 10987654321098765432109876543210
3168 x1x11010110xxxxxxx1010xxxxxxxxxx
3169 pacdza. */
193614f2 3170 return 703;
e9dbdd80 3171 }
a06ea964
NC
3172 }
3173 }
e60bb1dd
YZ
3174 else
3175 {
e9dbdd80 3176 if (((word >> 13) & 0x1) == 0)
a2cfc830 3177 {
e9dbdd80
TC
3178 if (((word >> 14) & 0x1) == 0)
3179 {
3180 /* 33222222222211111111110000000000
3181 10987654321098765432109876543210
3182 xxx11010110xxxxxx00110xxxxxxxxxx
3183 autda. */
193614f2 3184 return 699;
e9dbdd80
TC
3185 }
3186 else
3187 {
3188 /* 33222222222211111111110000000000
3189 10987654321098765432109876543210
3190 xxx11010110xxxxxx10110xxxxxxxxxx
3191 crc32cw. */
b731bc3b 3192 return 733;
e9dbdd80 3193 }
a2cfc830
SN
3194 }
3195 else
3196 {
3197 /* 33222222222211111111110000000000
3198 10987654321098765432109876543210
e9dbdd80
TC
3199 xxx11010110xxxxxxx1110xxxxxxxxxx
3200 autdza. */
193614f2 3201 return 707;
a2cfc830 3202 }
e60bb1dd 3203 }
a06ea964 3204 }
a06ea964
NC
3205 }
3206 }
3207 }
3208 else
3209 {
3210 if (((word >> 11) & 0x1) == 0)
3211 {
3212 if (((word >> 22) & 0x1) == 0)
3213 {
e9dbdd80 3214 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
3215 {
3216 /* 33222222222211111111110000000000
3217 10987654321098765432109876543210
e9dbdd80
TC
3218 xxx11010000xxxxxxxxx01xxxxxxxxxx
3219 rmif. */
fb3265b3 3220 return 2075;
a06ea964
NC
3221 }
3222 else
3223 {
e9dbdd80
TC
3224 if (((word >> 30) & 0x1) == 0)
3225 {
3226 /* 33222222222211111111110000000000
3227 10987654321098765432109876543210
3228 x0x11010100xxxxxxxxx01xxxxxxxxxx
3229 csinc. */
193614f2 3230 return 663;
e9dbdd80
TC
3231 }
3232 else
3233 {
3234 /* 33222222222211111111110000000000
3235 10987654321098765432109876543210
3236 x1x11010100xxxxxxxxx01xxxxxxxxxx
3237 csneg. */
193614f2 3238 return 669;
e9dbdd80 3239 }
a06ea964
NC
3240 }
3241 }
3242 else
3243 {
3244 if (((word >> 12) & 0x1) == 0)
3245 {
3246 if (((word >> 13) & 0x1) == 0)
3247 {
e60bb1dd 3248 if (((word >> 14) & 0x1) == 0)
a2cfc830
SN
3249 {
3250 if (((word >> 16) & 0x1) == 0)
3251 {
3252 /* 33222222222211111111110000000000
3253 10987654321098765432109876543210
7684e580 3254 xxx11010x10xxxx0x00001xxxxxxxxxx
a2cfc830 3255 rev16. */
193614f2 3256 return 686;
a2cfc830
SN
3257 }
3258 else
3259 {
3260 /* 33222222222211111111110000000000
3261 10987654321098765432109876543210
7684e580 3262 xxx11010x10xxxx1x00001xxxxxxxxxx
a2cfc830 3263 pacib. */
193614f2 3264 return 694;
a2cfc830
SN
3265 }
3266 }
3267 else
3268 {
3269 if (((word >> 30) & 0x1) == 0)
3270 {
3271 /* 33222222222211111111110000000000
3272 10987654321098765432109876543210
7684e580 3273 x0x11010x10xxxxxx10001xxxxxxxxxx
a2cfc830 3274 crc32h. */
b731bc3b 3275 return 728;
a2cfc830
SN
3276 }
3277 else
3278 {
3279 /* 33222222222211111111110000000000
3280 10987654321098765432109876543210
7684e580 3281 x1x11010x10xxxxxx10001xxxxxxxxxx
a2cfc830 3282 xpacd. */
193614f2 3283 return 710;
a2cfc830
SN
3284 }
3285 }
3286 }
3287 else
3288 {
3289 if (((word >> 30) & 0x1) == 0)
e60bb1dd
YZ
3290 {
3291 /* 33222222222211111111110000000000
3292 10987654321098765432109876543210
7684e580 3293 x0x11010x10xxxxxxx1001xxxxxxxxxx
a2cfc830 3294 lsrv. */
193614f2 3295 return 715;
e60bb1dd
YZ
3296 }
3297 else
3298 {
3299 /* 33222222222211111111110000000000
3300 10987654321098765432109876543210
7684e580 3301 x1x11010x10xxxxxxx1001xxxxxxxxxx
a2cfc830 3302 pacizb. */
193614f2 3303 return 702;
e60bb1dd 3304 }
a06ea964 3305 }
a06ea964
NC
3306 }
3307 else
3308 {
a2cfc830 3309 if (((word >> 13) & 0x1) == 0)
e60bb1dd 3310 {
a2cfc830
SN
3311 if (((word >> 14) & 0x1) == 0)
3312 {
193614f2 3313 if (((word >> 30) & 0x1) == 0)
a2cfc830
SN
3314 {
3315 /* 33222222222211111111110000000000
3316 10987654321098765432109876543210
193614f2
SD
3317 x0x11010x10xxxxxx00101xxxxxxxxxx
3318 gmi. */
b731bc3b 3319 return 725;
a2cfc830
SN
3320 }
3321 else
3322 {
193614f2
SD
3323 if (((word >> 16) & 0x1) == 0)
3324 {
3325 /* 33222222222211111111110000000000
3326 10987654321098765432109876543210
3327 x1x11010x10xxxx0x00101xxxxxxxxxx
3328 cls. */
3329 return 691;
3330 }
3331 else
3332 {
3333 /* 33222222222211111111110000000000
3334 10987654321098765432109876543210
3335 x1x11010x10xxxx1x00101xxxxxxxxxx
3336 autib. */
3337 return 698;
3338 }
a2cfc830
SN
3339 }
3340 }
3341 else
3342 {
3343 /* 33222222222211111111110000000000
3344 10987654321098765432109876543210
7684e580 3345 xxx11010x10xxxxxx10101xxxxxxxxxx
a2cfc830 3346 crc32ch. */
b731bc3b 3347 return 732;
a2cfc830 3348 }
e60bb1dd
YZ
3349 }
3350 else
3351 {
3352 /* 33222222222211111111110000000000
3353 10987654321098765432109876543210
7684e580 3354 xxx11010x10xxxxxxx1101xxxxxxxxxx
a2cfc830 3355 autizb. */
193614f2 3356 return 706;
e60bb1dd 3357 }
a06ea964
NC
3358 }
3359 }
3360 }
3361 else
3362 {
e60bb1dd 3363 if (((word >> 12) & 0x1) == 0)
a06ea964 3364 {
e60bb1dd 3365 if (((word >> 13) & 0x1) == 0)
a06ea964 3366 {
e60bb1dd
YZ
3367 if (((word >> 14) & 0x1) == 0)
3368 {
3369 if (((word >> 30) & 0x1) == 0)
3370 {
3371 /* 33222222222211111111110000000000
3372 10987654321098765432109876543210
7684e580 3373 x0x11010xx0xxxxxx00011xxxxxxxxxx
e60bb1dd 3374 sdiv. */
193614f2 3375 return 712;
e60bb1dd
YZ
3376 }
3377 else
3378 {
a2cfc830
SN
3379 if (((word >> 16) & 0x1) == 0)
3380 {
3381 /* 33222222222211111111110000000000
3382 10987654321098765432109876543210
7684e580 3383 x1x11010xx0xxxx0x00011xxxxxxxxxx
a2cfc830 3384 rev. */
193614f2 3385 return 688;
a2cfc830
SN
3386 }
3387 else
3388 {
3389 /* 33222222222211111111110000000000
3390 10987654321098765432109876543210
7684e580 3391 x1x11010xx0xxxx1x00011xxxxxxxxxx
a2cfc830 3392 pacdb. */
193614f2 3393 return 696;
a2cfc830 3394 }
e60bb1dd
YZ
3395 }
3396 }
3397 else
3398 {
3399 /* 33222222222211111111110000000000
3400 10987654321098765432109876543210
7684e580 3401 xxx11010xx0xxxxxx10011xxxxxxxxxx
e60bb1dd 3402 crc32x. */
b731bc3b 3403 return 730;
e60bb1dd 3404 }
a06ea964
NC
3405 }
3406 else
3407 {
a2cfc830
SN
3408 if (((word >> 30) & 0x1) == 0)
3409 {
3410 /* 33222222222211111111110000000000
3411 10987654321098765432109876543210
7684e580 3412 x0x11010xx0xxxxxxx1011xxxxxxxxxx
a2cfc830 3413 rorv. */
193614f2 3414 return 719;
a2cfc830
SN
3415 }
3416 else
3417 {
3418 /* 33222222222211111111110000000000
3419 10987654321098765432109876543210
7684e580 3420 x1x11010xx0xxxxxxx1011xxxxxxxxxx
a2cfc830 3421 pacdzb. */
193614f2 3422 return 704;
a2cfc830 3423 }
a06ea964
NC
3424 }
3425 }
3426 else
3427 {
a2cfc830
SN
3428 if (((word >> 13) & 0x1) == 0)
3429 {
3430 if (((word >> 14) & 0x1) == 0)
3431 {
3432 /* 33222222222211111111110000000000
3433 10987654321098765432109876543210
7684e580 3434 xxx11010xx0xxxxxx00111xxxxxxxxxx
a2cfc830 3435 autdb. */
193614f2 3436 return 700;
a2cfc830
SN
3437 }
3438 else
3439 {
3440 /* 33222222222211111111110000000000
3441 10987654321098765432109876543210
7684e580 3442 xxx11010xx0xxxxxx10111xxxxxxxxxx
a2cfc830 3443 crc32cx. */
b731bc3b 3444 return 734;
a2cfc830
SN
3445 }
3446 }
3447 else
3448 {
3449 /* 33222222222211111111110000000000
3450 10987654321098765432109876543210
7684e580 3451 xxx11010xx0xxxxxxx1111xxxxxxxxxx
a2cfc830 3452 autdzb. */
193614f2 3453 return 708;
a2cfc830 3454 }
a06ea964
NC
3455 }
3456 }
3457 }
3458 }
3459 }
3460 else
3461 {
3462 if (((word >> 29) & 0x1) == 0)
3463 {
3464 if (((word >> 30) & 0x1) == 0)
3465 {
3466 /* 33222222222211111111110000000000
3467 10987654321098765432109876543210
7684e580 3468 x00x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3469 bic. */
fb3265b3 3470 return 994;
a06ea964
NC
3471 }
3472 else
3473 {
3474 /* 33222222222211111111110000000000
3475 10987654321098765432109876543210
7684e580 3476 x10x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3477 eon. */
fb3265b3 3478 return 1001;
a06ea964
NC
3479 }
3480 }
3481 else
3482 {
3483 if (((word >> 30) & 0x1) == 0)
3484 {
3485 /* 33222222222211111111110000000000
3486 10987654321098765432109876543210
7684e580 3487 x01x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3488 orn. */
fb3265b3 3489 return 998;
a06ea964
NC
3490 }
3491 else
3492 {
3493 /* 33222222222211111111110000000000
3494 10987654321098765432109876543210
7684e580 3495 x11x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3496 bics. */
fb3265b3 3497 return 1004;
a06ea964
NC
3498 }
3499 }
3500 }
3501 }
3502 }
3503 else
3504 {
3505 if (((word >> 27) & 0x1) == 0)
3506 {
3507 if (((word >> 23) & 0x1) == 0)
3508 {
3509 if (((word >> 29) & 0x1) == 0)
3510 {
3511 if (((word >> 30) & 0x1) == 0)
3512 {
3513 /* 33222222222211111111110000000000
3514 10987654321098765432109876543210
7684e580 3515 x00x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3516 sbfm. */
193614f2 3517 return 618;
a06ea964
NC
3518 }
3519 else
3520 {
3521 /* 33222222222211111111110000000000
3522 10987654321098765432109876543210
7684e580 3523 x10x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3524 ubfm. */
193614f2 3525 return 629;
a06ea964
NC
3526 }
3527 }
3528 else
3529 {
3530 /* 33222222222211111111110000000000
3531 10987654321098765432109876543210
7684e580 3532 xx1x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3533 bfm. */
193614f2 3534 return 625;
a06ea964
NC
3535 }
3536 }
3537 else
3538 {
3539 /* 33222222222211111111110000000000
3540 10987654321098765432109876543210
7684e580 3541 xxxx00111xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3542 extr. */
b731bc3b 3543 return 757;
a06ea964
NC
3544 }
3545 }
3546 else
3547 {
3548 if (((word >> 21) & 0x1) == 0)
3549 {
3550 if (((word >> 28) & 0x1) == 0)
3551 {
3552 if (((word >> 29) & 0x1) == 0)
3553 {
3554 if (((word >> 30) & 0x1) == 0)
3555 {
3556 /* 33222222222211111111110000000000
3557 10987654321098765432109876543210
7684e580 3558 x0001011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3559 add. */
193614f2 3560 return 21;
a06ea964
NC
3561 }
3562 else
3563 {
3564 /* 33222222222211111111110000000000
3565 10987654321098765432109876543210
7684e580 3566 x1001011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3567 sub. */
193614f2 3568 return 24;
a06ea964
NC
3569 }
3570 }
3571 else
3572 {
3573 if (((word >> 30) & 0x1) == 0)
3574 {
3575 /* 33222222222211111111110000000000
3576 10987654321098765432109876543210
7684e580 3577 x0101011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3578 adds. */
193614f2 3579 return 22;
a06ea964
NC
3580 }
3581 else
3582 {
3583 /* 33222222222211111111110000000000
3584 10987654321098765432109876543210
7684e580 3585 x1101011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3586 subs. */
193614f2 3587 return 26;
a06ea964
NC
3588 }
3589 }
3590 }
3591 else
3592 {
3593 if (((word >> 15) & 0x1) == 0)
3594 {
3595 if (((word >> 22) & 0x1) == 0)
3596 {
3597 /* 33222222222211111111110000000000
3598 10987654321098765432109876543210
7684e580 3599 xxx11011x00xxxxx0xxxxxxxxxxxxxxx
a06ea964 3600 madd. */
b731bc3b 3601 return 735;
a06ea964
NC
3602 }
3603 else
3604 {
3605 if (((word >> 23) & 0x1) == 0)
3606 {
3607 /* 33222222222211111111110000000000
3608 10987654321098765432109876543210
7684e580 3609 xxx11011010xxxxx0xxxxxxxxxxxxxxx
a06ea964 3610 smulh. */
b731bc3b 3611 return 743;
a06ea964
NC
3612 }
3613 else
3614 {
3615 /* 33222222222211111111110000000000
3616 10987654321098765432109876543210
7684e580 3617 xxx11011110xxxxx0xxxxxxxxxxxxxxx
a06ea964 3618 umulh. */
b731bc3b 3619 return 748;
a06ea964
NC
3620 }
3621 }
3622 }
3623 else
3624 {
3625 /* 33222222222211111111110000000000
3626 10987654321098765432109876543210
7684e580 3627 xxx11011xx0xxxxx1xxxxxxxxxxxxxxx
a06ea964 3628 msub. */
b731bc3b 3629 return 737;
a06ea964
NC
3630 }
3631 }
3632 }
3633 else
3634 {
3635 if (((word >> 23) & 0x1) == 0)
3636 {
3637 if (((word >> 28) & 0x1) == 0)
3638 {
3639 if (((word >> 29) & 0x1) == 0)
3640 {
3641 if (((word >> 30) & 0x1) == 0)
3642 {
3643 /* 33222222222211111111110000000000
3644 10987654321098765432109876543210
7684e580 3645 x00010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3646 add. */
3647 return 6;
3648 }
3649 else
3650 {
3651 /* 33222222222211111111110000000000
3652 10987654321098765432109876543210
7684e580 3653 x10010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3654 sub. */
3655 return 9;
3656 }
3657 }
3658 else
3659 {
3660 if (((word >> 30) & 0x1) == 0)
3661 {
3662 /* 33222222222211111111110000000000
3663 10987654321098765432109876543210
7684e580 3664 x01010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3665 adds. */
3666 return 7;
3667 }
3668 else
3669 {
3670 /* 33222222222211111111110000000000
3671 10987654321098765432109876543210
7684e580 3672 x11010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3673 subs. */
3674 return 10;
3675 }
3676 }
3677 }
3678 else
3679 {
3680 if (((word >> 15) & 0x1) == 0)
3681 {
3682 /* 33222222222211111111110000000000
3683 10987654321098765432109876543210
7684e580 3684 xxx110110x1xxxxx0xxxxxxxxxxxxxxx
a06ea964 3685 smaddl. */
b731bc3b 3686 return 739;
a06ea964
NC
3687 }
3688 else
3689 {
3690 /* 33222222222211111111110000000000
3691 10987654321098765432109876543210
7684e580 3692 xxx110110x1xxxxx1xxxxxxxxxxxxxxx
a06ea964 3693 smsubl. */
b731bc3b 3694 return 741;
a06ea964
NC
3695 }
3696 }
3697 }
3698 else
3699 {
3700 if (((word >> 15) & 0x1) == 0)
3701 {
3702 /* 33222222222211111111110000000000
3703 10987654321098765432109876543210
7684e580 3704 xxxx10111x1xxxxx0xxxxxxxxxxxxxxx
a06ea964 3705 umaddl. */
b731bc3b 3706 return 744;
a06ea964
NC
3707 }
3708 else
3709 {
3710 /* 33222222222211111111110000000000
3711 10987654321098765432109876543210
7684e580 3712 xxxx10111x1xxxxx1xxxxxxxxxxxxxxx
a06ea964 3713 umsubl. */
b731bc3b 3714 return 746;
a06ea964
NC
3715 }
3716 }
3717 }
3718 }
3719 }
3720 }
3721 }
3722 else
3723 {
3724 if (((word >> 27) & 0x1) == 0)
3725 {
c0890d26 3726 if (((word >> 28) & 0x1) == 0)
a06ea964 3727 {
c0890d26
RS
3728 if (((word >> 24) & 0x1) == 0)
3729 {
582e12bf 3730 if (((word >> 29) & 0x1) == 0)
c0890d26 3731 {
582e12bf 3732 if (((word >> 13) & 0x1) == 0)
c0890d26
RS
3733 {
3734 if (((word >> 14) & 0x1) == 0)
3735 {
3736 if (((word >> 15) & 0x1) == 0)
3737 {
3738 if (((word >> 21) & 0x1) == 0)
3739 {
3740 if (((word >> 30) & 0x1) == 0)
3741 {
3742 if (((word >> 31) & 0x1) == 0)
3743 {
3744 if (((word >> 16) & 0x1) == 0)
3745 {
3746 if (((word >> 17) & 0x1) == 0)
3747 {
3748 if (((word >> 18) & 0x1) == 0)
3749 {
3750 if (((word >> 19) & 0x1) == 0)
3751 {
3752 if (((word >> 20) & 0x1) == 0)
3753 {
3754 /* 33222222222211111111110000000000
3755 10987654321098765432109876543210
7684e580 3756 000001x0xx000000000xxxxxxxxxxxxx
c0890d26 3757 add. */
fb3265b3 3758 return 1267;
c0890d26
RS
3759 }
3760 else
3761 {
3762 /* 33222222222211111111110000000000
3763 10987654321098765432109876543210
7684e580 3764 000001x0xx010000000xxxxxxxxxxxxx
c0890d26 3765 mul. */
fb3265b3 3766 return 1736;
c0890d26
RS
3767 }
3768 }
3769 else
3770 {
3771 if (((word >> 20) & 0x1) == 0)
3772 {
3773 /* 33222222222211111111110000000000
3774 10987654321098765432109876543210
7684e580 3775 000001x0xx001000000xxxxxxxxxxxxx
c0890d26 3776 smax. */
fb3265b3 3777 return 1815;
c0890d26
RS
3778 }
3779 else
3780 {
3781 /* 33222222222211111111110000000000
3782 10987654321098765432109876543210
7684e580 3783 000001x0xx011000000xxxxxxxxxxxxx
c0890d26 3784 orr. */
fb3265b3 3785 return 1747;
c0890d26
RS
3786 }
3787 }
3788 }
3789 else
3790 {
3791 if (((word >> 19) & 0x1) == 0)
3792 {
3793 /* 33222222222211111111110000000000
3794 10987654321098765432109876543210
7684e580 3795 000001x0xx0x0100000xxxxxxxxxxxxx
c0890d26 3796 sdiv. */
fb3265b3 3797 return 1806;
c0890d26
RS
3798 }
3799 else
3800 {
3801 /* 33222222222211111111110000000000
3802 10987654321098765432109876543210
7684e580 3803 000001x0xx0x1100000xxxxxxxxxxxxx
c0890d26 3804 sabd. */
fb3265b3 3805 return 1797;
c0890d26
RS
3806 }
3807 }
3808 }
3809 else
3810 {
3811 if (((word >> 18) & 0x1) == 0)
3812 {
3813 if (((word >> 19) & 0x1) == 0)
3814 {
3815 /* 33222222222211111111110000000000
3816 10987654321098765432109876543210
7684e580 3817 000001x0xx0x0010000xxxxxxxxxxxxx
c0890d26 3818 smulh. */
fb3265b3 3819 return 1820;
c0890d26
RS
3820 }
3821 else
3822 {
3823 if (((word >> 20) & 0x1) == 0)
3824 {
3825 /* 33222222222211111111110000000000
3826 10987654321098765432109876543210
7684e580 3827 000001x0xx001010000xxxxxxxxxxxxx
c0890d26 3828 smin. */
fb3265b3 3829 return 1818;
c0890d26
RS
3830 }
3831 else
3832 {
3833 /* 33222222222211111111110000000000
3834 10987654321098765432109876543210
7684e580 3835 000001x0xx011010000xxxxxxxxxxxxx
c0890d26 3836 and. */
fb3265b3 3837 return 1275;
c0890d26
RS
3838 }
3839 }
3840 }
3841 else
3842 {
3843 /* 33222222222211111111110000000000
3844 10987654321098765432109876543210
7684e580 3845 000001x0xx0xx110000xxxxxxxxxxxxx
c0890d26 3846 sdivr. */
fb3265b3 3847 return 1807;
c0890d26
RS
3848 }
3849 }
3850 }
3851 else
3852 {
3853 if (((word >> 17) & 0x1) == 0)
3854 {
3855 if (((word >> 18) & 0x1) == 0)
3856 {
3857 if (((word >> 19) & 0x1) == 0)
3858 {
3859 /* 33222222222211111111110000000000
3860 10987654321098765432109876543210
7684e580 3861 000001x0xx0x0001000xxxxxxxxxxxxx
c0890d26 3862 sub. */
fb3265b3 3863 return 1936;
c0890d26
RS
3864 }
3865 else
3866 {
3867 if (((word >> 20) & 0x1) == 0)
3868 {
3869 /* 33222222222211111111110000000000
3870 10987654321098765432109876543210
7684e580 3871 000001x0xx001001000xxxxxxxxxxxxx
c0890d26 3872 umax. */
fb3265b3 3873 return 1964;
c0890d26
RS
3874 }
3875 else
3876 {
3877 /* 33222222222211111111110000000000
3878 10987654321098765432109876543210
7684e580 3879 000001x0xx011001000xxxxxxxxxxxxx
c0890d26 3880 eor. */
fb3265b3 3881 return 1362;
c0890d26
RS
3882 }
3883 }
3884 }
3885 else
3886 {
3887 if (((word >> 19) & 0x1) == 0)
3888 {
3889 /* 33222222222211111111110000000000
3890 10987654321098765432109876543210
7684e580 3891 000001x0xx0x0101000xxxxxxxxxxxxx
c0890d26 3892 udiv. */
fb3265b3 3893 return 1958;
c0890d26
RS
3894 }
3895 else
3896 {
3897 /* 33222222222211111111110000000000
3898 10987654321098765432109876543210
7684e580 3899 000001x0xx0x1101000xxxxxxxxxxxxx
c0890d26 3900 uabd. */
fb3265b3 3901 return 1949;
c0890d26
RS
3902 }
3903 }
3904 }
3905 else
3906 {
3907 if (((word >> 18) & 0x1) == 0)
3908 {
3909 if (((word >> 19) & 0x1) == 0)
3910 {
3911 if (((word >> 20) & 0x1) == 0)
3912 {
3913 /* 33222222222211111111110000000000
3914 10987654321098765432109876543210
7684e580 3915 000001x0xx000011000xxxxxxxxxxxxx
c0890d26 3916 subr. */
fb3265b3 3917 return 1938;
c0890d26
RS
3918 }
3919 else
3920 {
3921 /* 33222222222211111111110000000000
3922 10987654321098765432109876543210
7684e580 3923 000001x0xx010011000xxxxxxxxxxxxx
c0890d26 3924 umulh. */
fb3265b3 3925 return 1969;
c0890d26
RS
3926 }
3927 }
3928 else
3929 {
3930 if (((word >> 20) & 0x1) == 0)
3931 {
3932 /* 33222222222211111111110000000000
3933 10987654321098765432109876543210
7684e580 3934 000001x0xx001011000xxxxxxxxxxxxx
c0890d26 3935 umin. */
fb3265b3 3936 return 1967;
c0890d26
RS
3937 }
3938 else
3939 {
3940 /* 33222222222211111111110000000000
3941 10987654321098765432109876543210
7684e580 3942 000001x0xx011011000xxxxxxxxxxxxx
c0890d26 3943 bic. */
fb3265b3 3944 return 1287;
c0890d26
RS
3945 }
3946 }
3947 }
3948 else
3949 {
3950 /* 33222222222211111111110000000000
3951 10987654321098765432109876543210
7684e580 3952 000001x0xx0xx111000xxxxxxxxxxxxx
c0890d26 3953 udivr. */
fb3265b3 3954 return 1959;
c0890d26
RS
3955 }
3956 }
3957 }
3958 }
3959 else
3960 {
3961 if (((word >> 23) & 0x1) == 0)
3962 {
3963 /* 33222222222211111111110000000000
3964 10987654321098765432109876543210
7684e580 3965 100001x00x0xxxxx000xxxxxxxxxxxxx
c0890d26 3966 ld1sb. */
fb3265b3 3967 return 1549;
c0890d26
RS
3968 }
3969 else
3970 {
3971 /* 33222222222211111111110000000000
3972 10987654321098765432109876543210
7684e580 3973 100001x01x0xxxxx000xxxxxxxxxxxxx
c0890d26 3974 ld1sh. */
fb3265b3 3975 return 1560;
c0890d26
RS
3976 }
3977 }
3978 }
3979 else
3980 {
3981 if (((word >> 23) & 0x1) == 0)
3982 {
3983 /* 33222222222211111111110000000000
3984 10987654321098765432109876543210
7684e580 3985 x10001x00x0xxxxx000xxxxxxxxxxxxx
c0890d26 3986 ld1sb. */
fb3265b3 3987 return 1553;
c0890d26
RS
3988 }
3989 else
3990 {
582e12bf
RS
3991 if (((word >> 31) & 0x1) == 0)
3992 {
3993 if (((word >> 10) & 0x1) == 0)
3994 {
3995 /* 33222222222211111111110000000000
3996 10987654321098765432109876543210
7684e580 3997 010001x01x0xxxxx000xx0xxxxxxxxxx
582e12bf 3998 sdot. */
fb3265b3 3999 return 1808;
582e12bf
RS
4000 }
4001 else
4002 {
4003 /* 33222222222211111111110000000000
4004 10987654321098765432109876543210
7684e580 4005 010001x01x0xxxxx000xx1xxxxxxxxxx
582e12bf 4006 udot. */
fb3265b3 4007 return 1960;
582e12bf
RS
4008 }
4009 }
4010 else
4011 {
4012 /* 33222222222211111111110000000000
4013 10987654321098765432109876543210
7684e580 4014 110001x01x0xxxxx000xxxxxxxxxxxxx
582e12bf 4015 ld1sh. */
fb3265b3 4016 return 1564;
582e12bf 4017 }
c0890d26
RS
4018 }
4019 }
4020 }
4021 else
4022 {
4023 if (((word >> 30) & 0x1) == 0)
4024 {
4025 if (((word >> 31) & 0x1) == 0)
4026 {
4027 if (((word >> 10) & 0x1) == 0)
4028 {
4029 if (((word >> 11) & 0x1) == 0)
4030 {
4031 if (((word >> 12) & 0x1) == 0)
4032 {
4033 /* 33222222222211111111110000000000
4034 10987654321098765432109876543210
7684e580 4035 000001x0xx1xxxxx000000xxxxxxxxxx
c0890d26 4036 add. */
fb3265b3 4037 return 1265;
c0890d26
RS
4038 }
4039 else
4040 {
4041 /* 33222222222211111111110000000000
4042 10987654321098765432109876543210
7684e580 4043 000001x0xx1xxxxx000100xxxxxxxxxx
c0890d26 4044 sqadd. */
fb3265b3 4045 return 1822;
c0890d26
RS
4046 }
4047 }
4048 else
4049 {
4050 /* 33222222222211111111110000000000
4051 10987654321098765432109876543210
7684e580 4052 000001x0xx1xxxxx000x10xxxxxxxxxx
c0890d26 4053 sqsub. */
fb3265b3 4054 return 1852;
c0890d26
RS
4055 }
4056 }
4057 else
4058 {
4059 if (((word >> 11) & 0x1) == 0)
4060 {
4061 if (((word >> 12) & 0x1) == 0)
4062 {
4063 /* 33222222222211111111110000000000
4064 10987654321098765432109876543210
7684e580 4065 000001x0xx1xxxxx000001xxxxxxxxxx
c0890d26 4066 sub. */
fb3265b3 4067 return 1934;
c0890d26
RS
4068 }
4069 else
4070 {
4071 /* 33222222222211111111110000000000
4072 10987654321098765432109876543210
7684e580 4073 000001x0xx1xxxxx000101xxxxxxxxxx
c0890d26 4074 uqadd. */
fb3265b3 4075 return 1970;
c0890d26
RS
4076 }
4077 }
4078 else
4079 {
4080 /* 33222222222211111111110000000000
4081 10987654321098765432109876543210
7684e580 4082 000001x0xx1xxxxx000x11xxxxxxxxxx
c0890d26 4083 uqsub. */
fb3265b3 4084 return 2000;
c0890d26
RS
4085 }
4086 }
4087 }
4088 else
4089 {
4090 if (((word >> 23) & 0x1) == 0)
4091 {
4092 /* 33222222222211111111110000000000
4093 10987654321098765432109876543210
7684e580 4094 100001x00x1xxxxx000xxxxxxxxxxxxx
c0890d26 4095 prfb. */
fb3265b3 4096 return 1755;
c0890d26
RS
4097 }
4098 else
4099 {
4100 /* 33222222222211111111110000000000
4101 10987654321098765432109876543210
7684e580 4102 100001x01x1xxxxx000xxxxxxxxxxxxx
c0890d26 4103 ld1sh. */
fb3265b3 4104 return 1561;
c0890d26
RS
4105 }
4106 }
4107 }
4108 else
4109 {
4110 if (((word >> 23) & 0x1) == 0)
4111 {
4112 /* 33222222222211111111110000000000
4113 10987654321098765432109876543210
7684e580 4114 x10001x00x1xxxxx000xxxxxxxxxxxxx
c0890d26 4115 prfb. */
fb3265b3 4116 return 1756;
c0890d26
RS
4117 }
4118 else
4119 {
582e12bf
RS
4120 if (((word >> 31) & 0x1) == 0)
4121 {
4122 if (((word >> 10) & 0x1) == 0)
4123 {
4124 if (((word >> 22) & 0x1) == 0)
4125 {
4126 /* 33222222222211111111110000000000
4127 10987654321098765432109876543210
7684e580 4128 010001x0101xxxxx000xx0xxxxxxxxxx
582e12bf 4129 sdot. */
fb3265b3 4130 return 1809;
582e12bf
RS
4131 }
4132 else
4133 {
4134 /* 33222222222211111111110000000000
4135 10987654321098765432109876543210
7684e580 4136 010001x0111xxxxx000xx0xxxxxxxxxx
582e12bf 4137 sdot. */
fb3265b3 4138 return 1810;
582e12bf
RS
4139 }
4140 }
4141 else
4142 {
4143 if (((word >> 22) & 0x1) == 0)
4144 {
4145 /* 33222222222211111111110000000000
4146 10987654321098765432109876543210
7684e580 4147 010001x0101xxxxx000xx1xxxxxxxxxx
582e12bf 4148 udot. */
fb3265b3 4149 return 1961;
582e12bf
RS
4150 }
4151 else
4152 {
4153 /* 33222222222211111111110000000000
4154 10987654321098765432109876543210
7684e580 4155 010001x0111xxxxx000xx1xxxxxxxxxx
582e12bf 4156 udot. */
fb3265b3 4157 return 1962;
582e12bf
RS
4158 }
4159 }
4160 }
4161 else
4162 {
4163 /* 33222222222211111111110000000000
4164 10987654321098765432109876543210
7684e580 4165 110001x01x1xxxxx000xxxxxxxxxxxxx
582e12bf 4166 ld1sh. */
fb3265b3 4167 return 1565;
582e12bf 4168 }
c0890d26
RS
4169 }
4170 }
4171 }
4172 }
4173 else
4174 {
4175 if (((word >> 30) & 0x1) == 0)
4176 {
4177 if (((word >> 31) & 0x1) == 0)
4178 {
4179 if (((word >> 21) & 0x1) == 0)
4180 {
4181 if (((word >> 16) & 0x1) == 0)
4182 {
4183 if (((word >> 18) & 0x1) == 0)
4184 {
4185 if (((word >> 19) & 0x1) == 0)
4186 {
4187 if (((word >> 20) & 0x1) == 0)
4188 {
4189 /* 33222222222211111111110000000000
4190 10987654321098765432109876543210
7684e580 4191 000001x0xx0000x0100xxxxxxxxxxxxx
c0890d26 4192 asr. */
fb3265b3 4193 return 1283;
c0890d26
RS
4194 }
4195 else
4196 {
4197 /* 33222222222211111111110000000000
4198 10987654321098765432109876543210
7684e580 4199 000001x0xx0100x0100xxxxxxxxxxxxx
c0890d26 4200 asr. */
fb3265b3 4201 return 1281;
c0890d26
RS
4202 }
4203 }
4204 else
4205 {
4206 /* 33222222222211111111110000000000
4207 10987654321098765432109876543210
7684e580 4208 000001x0xx0x10x0100xxxxxxxxxxxxx
c0890d26 4209 asr. */
fb3265b3 4210 return 1282;
c0890d26
RS
4211 }
4212 }
4213 else
4214 {
4215 if (((word >> 20) & 0x1) == 0)
4216 {
4217 /* 33222222222211111111110000000000
4218 10987654321098765432109876543210
7684e580 4219 000001x0xx00x1x0100xxxxxxxxxxxxx
c0890d26 4220 asrd. */
fb3265b3 4221 return 1284;
c0890d26
RS
4222 }
4223 else
4224 {
4225 /* 33222222222211111111110000000000
4226 10987654321098765432109876543210
7684e580 4227 000001x0xx01x1x0100xxxxxxxxxxxxx
c0890d26 4228 asrr. */
fb3265b3 4229 return 1285;
c0890d26
RS
4230 }
4231 }
4232 }
4233 else
4234 {
4235 if (((word >> 17) & 0x1) == 0)
4236 {
4237 if (((word >> 18) & 0x1) == 0)
4238 {
4239 if (((word >> 19) & 0x1) == 0)
4240 {
4241 if (((word >> 20) & 0x1) == 0)
4242 {
4243 /* 33222222222211111111110000000000
4244 10987654321098765432109876543210
7684e580 4245 000001x0xx000001100xxxxxxxxxxxxx
c0890d26 4246 lsr. */
fb3265b3 4247 return 1727;
c0890d26
RS
4248 }
4249 else
4250 {
4251 /* 33222222222211111111110000000000
4252 10987654321098765432109876543210
7684e580 4253 000001x0xx010001100xxxxxxxxxxxxx
c0890d26 4254 lsr. */
fb3265b3 4255 return 1725;
c0890d26
RS
4256 }
4257 }
4258 else
4259 {
4260 /* 33222222222211111111110000000000
4261 10987654321098765432109876543210
7684e580 4262 000001x0xx0x1001100xxxxxxxxxxxxx
c0890d26 4263 lsr. */
fb3265b3 4264 return 1726;
c0890d26
RS
4265 }
4266 }
4267 else
4268 {
4269 /* 33222222222211111111110000000000
4270 10987654321098765432109876543210
7684e580 4271 000001x0xx0xx101100xxxxxxxxxxxxx
c0890d26 4272 lsrr. */
fb3265b3 4273 return 1728;
c0890d26
RS
4274 }
4275 }
4276 else
4277 {
4278 if (((word >> 18) & 0x1) == 0)
4279 {
4280 if (((word >> 19) & 0x1) == 0)
4281 {
4282 if (((word >> 20) & 0x1) == 0)
4283 {
4284 /* 33222222222211111111110000000000
4285 10987654321098765432109876543210
7684e580 4286 000001x0xx000011100xxxxxxxxxxxxx
c0890d26 4287 lsl. */
fb3265b3 4288 return 1721;
c0890d26
RS
4289 }
4290 else
4291 {
4292 /* 33222222222211111111110000000000
4293 10987654321098765432109876543210
7684e580 4294 000001x0xx010011100xxxxxxxxxxxxx
c0890d26 4295 lsl. */
fb3265b3 4296 return 1719;
c0890d26
RS
4297 }
4298 }
4299 else
4300 {
4301 /* 33222222222211111111110000000000
4302 10987654321098765432109876543210
7684e580 4303 000001x0xx0x1011100xxxxxxxxxxxxx
c0890d26 4304 lsl. */
fb3265b3 4305 return 1720;
c0890d26
RS
4306 }
4307 }
4308 else
4309 {
4310 /* 33222222222211111111110000000000
4311 10987654321098765432109876543210
7684e580 4312 000001x0xx0xx111100xxxxxxxxxxxxx
c0890d26 4313 lslr. */
fb3265b3 4314 return 1722;
c0890d26
RS
4315 }
4316 }
4317 }
4318 }
4319 else
4320 {
4321 if (((word >> 10) & 0x1) == 0)
4322 {
4323 if (((word >> 12) & 0x1) == 0)
4324 {
4325 /* 33222222222211111111110000000000
4326 10987654321098765432109876543210
7684e580 4327 000001x0xx1xxxxx1000x0xxxxxxxxxx
c0890d26 4328 asr. */
fb3265b3 4329 return 1279;
c0890d26
RS
4330 }
4331 else
4332 {
4333 /* 33222222222211111111110000000000
4334 10987654321098765432109876543210
7684e580 4335 000001x0xx1xxxxx1001x0xxxxxxxxxx
c0890d26 4336 asr. */
fb3265b3 4337 return 1280;
c0890d26
RS
4338 }
4339 }
4340 else
4341 {
4342 if (((word >> 11) & 0x1) == 0)
4343 {
4344 if (((word >> 12) & 0x1) == 0)
4345 {
4346 /* 33222222222211111111110000000000
4347 10987654321098765432109876543210
7684e580 4348 000001x0xx1xxxxx100001xxxxxxxxxx
c0890d26 4349 lsr. */
fb3265b3 4350 return 1723;
c0890d26
RS
4351 }
4352 else
4353 {
4354 /* 33222222222211111111110000000000
4355 10987654321098765432109876543210
7684e580 4356 000001x0xx1xxxxx100101xxxxxxxxxx
c0890d26 4357 lsr. */
fb3265b3 4358 return 1724;
c0890d26
RS
4359 }
4360 }
4361 else
4362 {
4363 if (((word >> 12) & 0x1) == 0)
4364 {
4365 /* 33222222222211111111110000000000
4366 10987654321098765432109876543210
7684e580 4367 000001x0xx1xxxxx100011xxxxxxxxxx
c0890d26 4368 lsl. */
fb3265b3 4369 return 1717;
c0890d26
RS
4370 }
4371 else
4372 {
4373 /* 33222222222211111111110000000000
4374 10987654321098765432109876543210
7684e580 4375 000001x0xx1xxxxx100111xxxxxxxxxx
c0890d26 4376 lsl. */
fb3265b3 4377 return 1718;
c0890d26
RS
4378 }
4379 }
4380 }
4381 }
4382 }
4383 else
4384 {
4385 if (((word >> 22) & 0x1) == 0)
4386 {
4387 if (((word >> 23) & 0x1) == 0)
4388 {
4389 /* 33222222222211111111110000000000
4390 10987654321098765432109876543210
7684e580 4391 100001x000xxxxxx100xxxxxxxxxxxxx
c0890d26 4392 ld1sb. */
fb3265b3 4393 return 1555;
c0890d26
RS
4394 }
4395 else
4396 {
4397 /* 33222222222211111111110000000000
4398 10987654321098765432109876543210
7684e580 4399 100001x010xxxxxx100xxxxxxxxxxxxx
c0890d26 4400 ld1sh. */
fb3265b3 4401 return 1568;
c0890d26
RS
4402 }
4403 }
4404 else
4405 {
4406 if (((word >> 23) & 0x1) == 0)
4407 {
4408 /* 33222222222211111111110000000000
4409 10987654321098765432109876543210
7684e580 4410 100001x001xxxxxx100xxxxxxxxxxxxx
c0890d26 4411 ld1rb. */
fb3265b3 4412 return 1525;
c0890d26
RS
4413 }
4414 else
4415 {
4416 /* 33222222222211111111110000000000
4417 10987654321098765432109876543210
7684e580 4418 100001x011xxxxxx100xxxxxxxxxxxxx
c0890d26 4419 ld1rsw. */
fb3265b3 4420 return 1546;
c0890d26
RS
4421 }
4422 }
4423 }
4424 }
4425 else
4426 {
4427 if (((word >> 21) & 0x1) == 0)
4428 {
4429 if (((word >> 23) & 0x1) == 0)
4430 {
4431 /* 33222222222211111111110000000000
4432 10987654321098765432109876543210
7684e580 4433 x10001x00x0xxxxx100xxxxxxxxxxxxx
c0890d26 4434 ld1sb. */
fb3265b3 4435 return 1554;
c0890d26
RS
4436 }
4437 else
4438 {
4439 /* 33222222222211111111110000000000
4440 10987654321098765432109876543210
7684e580 4441 x10001x01x0xxxxx100xxxxxxxxxxxxx
c0890d26 4442 ld1sh. */
fb3265b3 4443 return 1566;
c0890d26
RS
4444 }
4445 }
4446 else
4447 {
4448 if (((word >> 22) & 0x1) == 0)
4449 {
4450 if (((word >> 23) & 0x1) == 0)
4451 {
4452 /* 33222222222211111111110000000000
4453 10987654321098765432109876543210
7684e580 4454 x10001x0001xxxxx100xxxxxxxxxxxxx
c0890d26 4455 ld1sb. */
fb3265b3 4456 return 1559;
c0890d26
RS
4457 }
4458 else
4459 {
4460 /* 33222222222211111111110000000000
4461 10987654321098765432109876543210
7684e580 4462 x10001x0101xxxxx100xxxxxxxxxxxxx
c0890d26 4463 ld1sh. */
fb3265b3 4464 return 1571;
c0890d26
RS
4465 }
4466 }
4467 else
4468 {
4469 if (((word >> 23) & 0x1) == 0)
4470 {
4471 /* 33222222222211111111110000000000
4472 10987654321098765432109876543210
7684e580 4473 x10001x0011xxxxx100xxxxxxxxxxxxx
c0890d26 4474 prfb. */
fb3265b3 4475 return 1757;
c0890d26
RS
4476 }
4477 else
4478 {
4479 /* 33222222222211111111110000000000
4480 10987654321098765432109876543210
7684e580 4481 x10001x0111xxxxx100xxxxxxxxxxxxx
c0890d26 4482 ld1sh. */
fb3265b3 4483 return 1567;
c0890d26
RS
4484 }
4485 }
4486 }
4487 }
4488 }
4489 }
4490 else
4491 {
4492 if (((word >> 15) & 0x1) == 0)
4493 {
4494 if (((word >> 21) & 0x1) == 0)
4495 {
4496 if (((word >> 30) & 0x1) == 0)
4497 {
4498 if (((word >> 31) & 0x1) == 0)
4499 {
4500 /* 33222222222211111111110000000000
4501 10987654321098765432109876543210
7684e580 4502 000001x0xx0xxxxx010xxxxxxxxxxxxx
c0890d26 4503 mla. */
fb3265b3 4504 return 1730;
c0890d26
RS
4505 }
4506 else
4507 {
4508 if (((word >> 23) & 0x1) == 0)
4509 {
4510 /* 33222222222211111111110000000000
4511 10987654321098765432109876543210
7684e580 4512 100001x00x0xxxxx010xxxxxxxxxxxxx
c0890d26 4513 ld1b. */
fb3265b3 4514 return 1491;
c0890d26
RS
4515 }
4516 else
4517 {
4518 /* 33222222222211111111110000000000
4519 10987654321098765432109876543210
7684e580 4520 100001x01x0xxxxx010xxxxxxxxxxxxx
c0890d26 4521 ld1h. */
fb3265b3 4522 return 1511;
c0890d26
RS
4523 }
4524 }
4525 }
4526 else
4527 {
4528 if (((word >> 23) & 0x1) == 0)
4529 {
4530 /* 33222222222211111111110000000000
4531 10987654321098765432109876543210
7684e580 4532 x10001x00x0xxxxx010xxxxxxxxxxxxx
c0890d26 4533 ld1b. */
fb3265b3 4534 return 1496;
c0890d26
RS
4535 }
4536 else
4537 {
4538 /* 33222222222211111111110000000000
4539 10987654321098765432109876543210
7684e580 4540 x10001x01x0xxxxx010xxxxxxxxxxxxx
c0890d26 4541 ld1h. */
fb3265b3 4542 return 1516;
c0890d26
RS
4543 }
4544 }
4545 }
4546 else
4547 {
4548 if (((word >> 30) & 0x1) == 0)
4549 {
4550 if (((word >> 31) & 0x1) == 0)
4551 {
4552 if (((word >> 11) & 0x1) == 0)
4553 {
4554 if (((word >> 12) & 0x1) == 0)
4555 {
4556 if (((word >> 10) & 0x1) == 0)
4557 {
4558 /* 33222222222211111111110000000000
4559 10987654321098765432109876543210
7684e580 4560 000001x0xx1xxxxx010000xxxxxxxxxx
c0890d26 4561 index. */
fb3265b3 4562 return 1482;
c0890d26
RS
4563 }
4564 else
4565 {
4566 /* 33222222222211111111110000000000
4567 10987654321098765432109876543210
7684e580 4568 000001x0xx1xxxxx010001xxxxxxxxxx
c0890d26 4569 index. */
fb3265b3 4570 return 1483;
c0890d26
RS
4571 }
4572 }
4573 else
4574 {
4575 if (((word >> 22) & 0x1) == 0)
4576 {
4577 if (((word >> 23) & 0x1) == 0)
4578 {
4579 /* 33222222222211111111110000000000
4580 10987654321098765432109876543210
7684e580 4581 000001x0001xxxxx01010xxxxxxxxxxx
c0890d26 4582 addvl. */
fb3265b3 4583 return 1269;
c0890d26
RS
4584 }
4585 else
4586 {
4587 /* 33222222222211111111110000000000
4588 10987654321098765432109876543210
7684e580 4589 000001x0101xxxxx01010xxxxxxxxxxx
c0890d26 4590 rdvl. */
fb3265b3 4591 return 1791;
c0890d26
RS
4592 }
4593 }
4594 else
4595 {
4596 /* 33222222222211111111110000000000
4597 10987654321098765432109876543210
7684e580 4598 000001x0x11xxxxx01010xxxxxxxxxxx
c0890d26 4599 addpl. */
fb3265b3 4600 return 1268;
c0890d26
RS
4601 }
4602 }
4603 }
4604 else
4605 {
4606 if (((word >> 10) & 0x1) == 0)
4607 {
4608 /* 33222222222211111111110000000000
4609 10987654321098765432109876543210
7684e580 4610 000001x0xx1xxxxx010x10xxxxxxxxxx
c0890d26 4611 index. */
fb3265b3 4612 return 1484;
c0890d26
RS
4613 }
4614 else
4615 {
4616 /* 33222222222211111111110000000000
4617 10987654321098765432109876543210
7684e580 4618 000001x0xx1xxxxx010x11xxxxxxxxxx
c0890d26 4619 index. */
fb3265b3 4620 return 1481;
c0890d26
RS
4621 }
4622 }
4623 }
4624 else
4625 {
4626 if (((word >> 23) & 0x1) == 0)
4627 {
4628 /* 33222222222211111111110000000000
4629 10987654321098765432109876543210
7684e580 4630 100001x00x1xxxxx010xxxxxxxxxxxxx
c0890d26 4631 prfw. */
fb3265b3 4632 return 1775;
c0890d26
RS
4633 }
4634 else
4635 {
4636 /* 33222222222211111111110000000000
4637 10987654321098765432109876543210
7684e580 4638 100001x01x1xxxxx010xxxxxxxxxxxxx
c0890d26 4639 ld1h. */
fb3265b3 4640 return 1512;
c0890d26
RS
4641 }
4642 }
4643 }
4644 else
4645 {
4646 if (((word >> 23) & 0x1) == 0)
4647 {
4648 /* 33222222222211111111110000000000
4649 10987654321098765432109876543210
7684e580 4650 x10001x00x1xxxxx010xxxxxxxxxxxxx
c0890d26 4651 prfw. */
fb3265b3 4652 return 1777;
c0890d26
RS
4653 }
4654 else
4655 {
4656 /* 33222222222211111111110000000000
4657 10987654321098765432109876543210
7684e580 4658 x10001x01x1xxxxx010xxxxxxxxxxxxx
c0890d26 4659 ld1h. */
fb3265b3 4660 return 1517;
c0890d26
RS
4661 }
4662 }
4663 }
4664 }
4665 else
4666 {
4667 if (((word >> 30) & 0x1) == 0)
4668 {
4669 if (((word >> 31) & 0x1) == 0)
4670 {
4671 if (((word >> 21) & 0x1) == 0)
4672 {
4673 /* 33222222222211111111110000000000
4674 10987654321098765432109876543210
7684e580 4675 000001x0xx0xxxxx110xxxxxxxxxxxxx
c0890d26 4676 mad. */
fb3265b3 4677 return 1729;
c0890d26
RS
4678 }
4679 else
4680 {
4681 if (((word >> 10) & 0x1) == 0)
4682 {
4683 if (((word >> 11) & 0x1) == 0)
4684 {
4685 if (((word >> 20) & 0x1) == 0)
4686 {
4687 if (((word >> 22) & 0x1) == 0)
4688 {
4689 /* 33222222222211111111110000000000
4690 10987654321098765432109876543210
7684e580 4691 000001x0x010xxxx110x00xxxxxxxxxx
c0890d26 4692 sqincw. */
fb3265b3 4693 return 1849;
c0890d26
RS
4694 }
4695 else
4696 {
4697 if (((word >> 23) & 0x1) == 0)
4698 {
4699 /* 33222222222211111111110000000000
4700 10987654321098765432109876543210
7684e580 4701 000001x00110xxxx110x00xxxxxxxxxx
c0890d26 4702 sqinch. */
fb3265b3 4703 return 1843;
c0890d26
RS
4704 }
4705 else
4706 {
4707 /* 33222222222211111111110000000000
4708 10987654321098765432109876543210
7684e580 4709 000001x01110xxxx110x00xxxxxxxxxx
c0890d26 4710 sqincd. */
fb3265b3 4711 return 1840;
c0890d26
RS
4712 }
4713 }
4714 }
4715 else
4716 {
4717 if (((word >> 22) & 0x1) == 0)
4718 {
4719 /* 33222222222211111111110000000000
4720 10987654321098765432109876543210
7684e580 4721 000001x0x011xxxx110x00xxxxxxxxxx
c0890d26 4722 incw. */
fb3265b3 4723 return 1479;
c0890d26
RS
4724 }
4725 else
4726 {
4727 if (((word >> 23) & 0x1) == 0)
4728 {
4729 /* 33222222222211111111110000000000
4730 10987654321098765432109876543210
7684e580 4731 000001x00111xxxx110x00xxxxxxxxxx
c0890d26 4732 inch. */
fb3265b3 4733 return 1475;
c0890d26
RS
4734 }
4735 else
4736 {
4737 /* 33222222222211111111110000000000
4738 10987654321098765432109876543210
7684e580 4739 000001x01111xxxx110x00xxxxxxxxxx
c0890d26 4740 incd. */
fb3265b3 4741 return 1473;
c0890d26
RS
4742 }
4743 }
4744 }
4745 }
4746 else
4747 {
4748 if (((word >> 22) & 0x1) == 0)
4749 {
4750 /* 33222222222211111111110000000000
4751 10987654321098765432109876543210
7684e580 4752 000001x0x01xxxxx110x10xxxxxxxxxx
c0890d26 4753 sqdecw. */
fb3265b3 4754 return 1835;
c0890d26
RS
4755 }
4756 else
4757 {
4758 if (((word >> 23) & 0x1) == 0)
4759 {
4760 /* 33222222222211111111110000000000
4761 10987654321098765432109876543210
7684e580 4762 000001x0011xxxxx110x10xxxxxxxxxx
c0890d26 4763 sqdech. */
fb3265b3 4764 return 1829;
c0890d26
RS
4765 }
4766 else
4767 {
4768 /* 33222222222211111111110000000000
4769 10987654321098765432109876543210
7684e580 4770 000001x0111xxxxx110x10xxxxxxxxxx
c0890d26 4771 sqdecd. */
fb3265b3 4772 return 1826;
c0890d26
RS
4773 }
4774 }
4775 }
4776 }
4777 else
4778 {
4779 if (((word >> 11) & 0x1) == 0)
4780 {
4781 if (((word >> 20) & 0x1) == 0)
4782 {
4783 if (((word >> 22) & 0x1) == 0)
4784 {
4785 /* 33222222222211111111110000000000
4786 10987654321098765432109876543210
7684e580 4787 000001x0x010xxxx110x01xxxxxxxxxx
c0890d26 4788 uqincw. */
fb3265b3 4789 return 1997;
c0890d26
RS
4790 }
4791 else
4792 {
4793 if (((word >> 23) & 0x1) == 0)
4794 {
4795 /* 33222222222211111111110000000000
4796 10987654321098765432109876543210
7684e580 4797 000001x00110xxxx110x01xxxxxxxxxx
c0890d26 4798 uqinch. */
fb3265b3 4799 return 1991;
c0890d26
RS
4800 }
4801 else
4802 {
4803 /* 33222222222211111111110000000000
4804 10987654321098765432109876543210
7684e580 4805 000001x01110xxxx110x01xxxxxxxxxx
c0890d26 4806 uqincd. */
fb3265b3 4807 return 1988;
c0890d26
RS
4808 }
4809 }
4810 }
4811 else
4812 {
4813 if (((word >> 22) & 0x1) == 0)
4814 {
4815 /* 33222222222211111111110000000000
4816 10987654321098765432109876543210
7684e580 4817 000001x0x011xxxx110x01xxxxxxxxxx
c0890d26 4818 decw. */
fb3265b3 4819 return 1354;
c0890d26
RS
4820 }
4821 else
4822 {
4823 if (((word >> 23) & 0x1) == 0)
4824 {
4825 /* 33222222222211111111110000000000
4826 10987654321098765432109876543210
7684e580 4827 000001x00111xxxx110x01xxxxxxxxxx
c0890d26 4828 dech. */
fb3265b3 4829 return 1350;
c0890d26
RS
4830 }
4831 else
4832 {
4833 /* 33222222222211111111110000000000
4834 10987654321098765432109876543210
7684e580 4835 000001x01111xxxx110x01xxxxxxxxxx
c0890d26 4836 decd. */
fb3265b3 4837 return 1348;
c0890d26
RS
4838 }
4839 }
4840 }
4841 }
4842 else
4843 {
4844 if (((word >> 22) & 0x1) == 0)
4845 {
4846 /* 33222222222211111111110000000000
4847 10987654321098765432109876543210
7684e580 4848 000001x0x01xxxxx110x11xxxxxxxxxx
c0890d26 4849 uqdecw. */
fb3265b3 4850 return 1983;
c0890d26
RS
4851 }
4852 else
4853 {
4854 if (((word >> 23) & 0x1) == 0)
4855 {
4856 /* 33222222222211111111110000000000
4857 10987654321098765432109876543210
7684e580 4858 000001x0011xxxxx110x11xxxxxxxxxx
c0890d26 4859 uqdech. */
fb3265b3 4860 return 1977;
c0890d26
RS
4861 }
4862 else
4863 {
4864 /* 33222222222211111111110000000000
4865 10987654321098765432109876543210
7684e580 4866 000001x0111xxxxx110x11xxxxxxxxxx
c0890d26 4867 uqdecd. */
fb3265b3 4868 return 1974;
c0890d26
RS
4869 }
4870 }
4871 }
4872 }
4873 }
4874 }
4875 else
4876 {
4877 if (((word >> 22) & 0x1) == 0)
4878 {
4879 if (((word >> 21) & 0x1) == 0)
4880 {
4881 if (((word >> 23) & 0x1) == 0)
4882 {
4883 /* 33222222222211111111110000000000
4884 10987654321098765432109876543210
7684e580 4885 100001x0000xxxxx110xxxxxxxxxxxxx
c0890d26 4886 prfb. */
fb3265b3 4887 return 1754;
c0890d26
RS
4888 }
4889 else
4890 {
4891 /* 33222222222211111111110000000000
4892 10987654321098765432109876543210
7684e580 4893 100001x0100xxxxx110xxxxxxxxxxxxx
c0890d26 4894 prfh. */
fb3265b3 4895 return 1769;
c0890d26
RS
4896 }
4897 }
4898 else
4899 {
4900 if (((word >> 23) & 0x1) == 0)
4901 {
4902 /* 33222222222211111111110000000000
4903 10987654321098765432109876543210
7684e580 4904 100001x0001xxxxx110xxxxxxxxxxxxx
c0890d26 4905 ld1b. */
fb3265b3 4906 return 1498;
c0890d26
RS
4907 }
4908 else
4909 {
4910 /* 33222222222211111111110000000000
4911 10987654321098765432109876543210
7684e580 4912 100001x0101xxxxx110xxxxxxxxxxxxx
c0890d26 4913 ld1h. */
fb3265b3 4914 return 1520;
c0890d26
RS
4915 }
4916 }
4917 }
4918 else
4919 {
4920 if (((word >> 23) & 0x1) == 0)
4921 {
4922 /* 33222222222211111111110000000000
4923 10987654321098765432109876543210
7684e580 4924 100001x001xxxxxx110xxxxxxxxxxxxx
c0890d26 4925 ld1rb. */
fb3265b3 4926 return 1527;
c0890d26
RS
4927 }
4928 else
4929 {
4930 /* 33222222222211111111110000000000
4931 10987654321098765432109876543210
7684e580 4932 100001x011xxxxxx110xxxxxxxxxxxxx
c0890d26 4933 ld1rh. */
fb3265b3 4934 return 1531;
c0890d26
RS
4935 }
4936 }
4937 }
4938 }
4939 else
4940 {
4941 if (((word >> 21) & 0x1) == 0)
4942 {
4943 if (((word >> 23) & 0x1) == 0)
4944 {
4945 /* 33222222222211111111110000000000
4946 10987654321098765432109876543210
7684e580 4947 x10001x00x0xxxxx110xxxxxxxxxxxxx
c0890d26 4948 ld1b. */
fb3265b3 4949 return 1497;
c0890d26
RS
4950 }
4951 else
4952 {
4953 /* 33222222222211111111110000000000
4954 10987654321098765432109876543210
7684e580 4955 x10001x01x0xxxxx110xxxxxxxxxxxxx
c0890d26 4956 ld1h. */
fb3265b3 4957 return 1518;
c0890d26
RS
4958 }
4959 }
4960 else
4961 {
4962 if (((word >> 22) & 0x1) == 0)
4963 {
4964 if (((word >> 23) & 0x1) == 0)
4965 {
4966 /* 33222222222211111111110000000000
4967 10987654321098765432109876543210
7684e580 4968 x10001x0001xxxxx110xxxxxxxxxxxxx
c0890d26 4969 ld1b. */
fb3265b3 4970 return 1503;
c0890d26
RS
4971 }
4972 else
4973 {
4974 /* 33222222222211111111110000000000
4975 10987654321098765432109876543210
7684e580 4976 x10001x0101xxxxx110xxxxxxxxxxxxx
c0890d26 4977 ld1h. */
fb3265b3 4978 return 1524;
c0890d26
RS
4979 }
4980 }
4981 else
4982 {
4983 if (((word >> 23) & 0x1) == 0)
4984 {
4985 /* 33222222222211111111110000000000
4986 10987654321098765432109876543210
7684e580 4987 x10001x0011xxxxx110xxxxxxxxxxxxx
c0890d26 4988 prfw. */
fb3265b3 4989 return 1778;
c0890d26
RS
4990 }
4991 else
4992 {
4993 /* 33222222222211111111110000000000
4994 10987654321098765432109876543210
7684e580 4995 x10001x0111xxxxx110xxxxxxxxxxxxx
c0890d26 4996 ld1h. */
fb3265b3 4997 return 1519;
c0890d26
RS
4998 }
4999 }
5000 }
5001 }
5002 }
5003 }
5004 }
5005 else
5006 {
582e12bf 5007 if (((word >> 14) & 0x1) == 0)
c0890d26
RS
5008 {
5009 if (((word >> 15) & 0x1) == 0)
5010 {
582e12bf 5011 if (((word >> 21) & 0x1) == 0)
c0890d26
RS
5012 {
5013 if (((word >> 30) & 0x1) == 0)
5014 {
5015 if (((word >> 31) & 0x1) == 0)
5016 {
582e12bf 5017 if (((word >> 17) & 0x1) == 0)
c0890d26 5018 {
582e12bf 5019 if (((word >> 19) & 0x1) == 0)
c0890d26 5020 {
582e12bf
RS
5021 if (((word >> 20) & 0x1) == 0)
5022 {
5023 if (((word >> 16) & 0x1) == 0)
5024 {
5025 /* 33222222222211111111110000000000
5026 10987654321098765432109876543210
7684e580 5027 000001x0xx000x00001xxxxxxxxxxxxx
582e12bf 5028 saddv. */
fb3265b3 5029 return 1798;
582e12bf
RS
5030 }
5031 else
5032 {
5033 /* 33222222222211111111110000000000
5034 10987654321098765432109876543210
7684e580 5035 000001x0xx000x01001xxxxxxxxxxxxx
582e12bf 5036 uaddv. */
fb3265b3 5037 return 1950;
582e12bf
RS
5038 }
5039 }
5040 else
5041 {
5042 /* 33222222222211111111110000000000
5043 10987654321098765432109876543210
7684e580 5044 000001x0xx010x0x001xxxxxxxxxxxxx
582e12bf 5045 movprfx. */
fb3265b3 5046 return 1733;
582e12bf 5047 }
c0890d26
RS
5048 }
5049 else
5050 {
582e12bf
RS
5051 if (((word >> 16) & 0x1) == 0)
5052 {
5053 if (((word >> 20) & 0x1) == 0)
5054 {
5055 /* 33222222222211111111110000000000
5056 10987654321098765432109876543210
7684e580 5057 000001x0xx001x00001xxxxxxxxxxxxx
582e12bf 5058 smaxv. */
fb3265b3 5059 return 1816;
582e12bf
RS
5060 }
5061 else
5062 {
5063 /* 33222222222211111111110000000000
5064 10987654321098765432109876543210
7684e580 5065 000001x0xx011x00001xxxxxxxxxxxxx
582e12bf 5066 orv. */
fb3265b3 5067 return 1750;
582e12bf
RS
5068 }
5069 }
5070 else
5071 {
5072 if (((word >> 20) & 0x1) == 0)
5073 {
5074 /* 33222222222211111111110000000000
5075 10987654321098765432109876543210
7684e580 5076 000001x0xx001x01001xxxxxxxxxxxxx
582e12bf 5077 umaxv. */
fb3265b3 5078 return 1965;
582e12bf
RS
5079 }
5080 else
5081 {
5082 /* 33222222222211111111110000000000
5083 10987654321098765432109876543210
7684e580 5084 000001x0xx011x01001xxxxxxxxxxxxx
582e12bf 5085 eorv. */
fb3265b3 5086 return 1365;
582e12bf
RS
5087 }
5088 }
c0890d26
RS
5089 }
5090 }
5091 else
5092 {
582e12bf 5093 if (((word >> 16) & 0x1) == 0)
c0890d26 5094 {
582e12bf
RS
5095 if (((word >> 20) & 0x1) == 0)
5096 {
5097 /* 33222222222211111111110000000000
5098 10987654321098765432109876543210
7684e580 5099 000001x0xx00xx10001xxxxxxxxxxxxx
582e12bf 5100 sminv. */
fb3265b3 5101 return 1819;
582e12bf
RS
5102 }
5103 else
5104 {
5105 /* 33222222222211111111110000000000
5106 10987654321098765432109876543210
7684e580 5107 000001x0xx01xx10001xxxxxxxxxxxxx
582e12bf 5108 andv. */
fb3265b3 5109 return 1278;
582e12bf 5110 }
c0890d26
RS
5111 }
5112 else
5113 {
5114 /* 33222222222211111111110000000000
5115 10987654321098765432109876543210
7684e580 5116 000001x0xx0xxx11001xxxxxxxxxxxxx
582e12bf 5117 uminv. */
fb3265b3 5118 return 1968;
c0890d26
RS
5119 }
5120 }
5121 }
c0890d26
RS
5122 else
5123 {
5124 if (((word >> 23) & 0x1) == 0)
5125 {
5126 /* 33222222222211111111110000000000
5127 10987654321098765432109876543210
7684e580 5128 100001x00x0xxxxx001xxxxxxxxxxxxx
582e12bf 5129 ldff1sb. */
fb3265b3 5130 return 1649;
c0890d26
RS
5131 }
5132 else
5133 {
5134 /* 33222222222211111111110000000000
5135 10987654321098765432109876543210
7684e580 5136 100001x01x0xxxxx001xxxxxxxxxxxxx
582e12bf 5137 ldff1sh. */
fb3265b3 5138 return 1660;
c0890d26
RS
5139 }
5140 }
5141 }
582e12bf 5142 else
c0890d26 5143 {
582e12bf 5144 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
5145 {
5146 /* 33222222222211111111110000000000
5147 10987654321098765432109876543210
7684e580 5148 x10001x00x0xxxxx001xxxxxxxxxxxxx
582e12bf 5149 ldff1sb. */
fb3265b3 5150 return 1656;
c0890d26
RS
5151 }
5152 else
5153 {
5154 /* 33222222222211111111110000000000
5155 10987654321098765432109876543210
7684e580 5156 x10001x01x0xxxxx001xxxxxxxxxxxxx
582e12bf 5157 ldff1sh. */
fb3265b3 5158 return 1666;
c0890d26
RS
5159 }
5160 }
582e12bf
RS
5161 }
5162 else
5163 {
5164 if (((word >> 23) & 0x1) == 0)
c0890d26 5165 {
582e12bf 5166 if (((word >> 30) & 0x1) == 0)
c0890d26 5167 {
582e12bf 5168 if (((word >> 31) & 0x1) == 0)
c0890d26 5169 {
582e12bf 5170 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
5171 {
5172 /* 33222222222211111111110000000000
5173 10987654321098765432109876543210
7684e580 5174 000001x0001xxxxx001xxxxxxxxxxxxx
582e12bf 5175 and. */
fb3265b3 5176 return 1273;
c0890d26
RS
5177 }
5178 else
5179 {
5180 /* 33222222222211111111110000000000
5181 10987654321098765432109876543210
7684e580 5182 000001x0011xxxxx001xxxxxxxxxxxxx
582e12bf 5183 orr. */
fb3265b3 5184 return 1745;
c0890d26
RS
5185 }
5186 }
c0890d26
RS
5187 else
5188 {
5189 /* 33222222222211111111110000000000
5190 10987654321098765432109876543210
7684e580 5191 100001x00x1xxxxx001xxxxxxxxxxxxx
582e12bf 5192 prfh. */
fb3265b3 5193 return 1768;
c0890d26
RS
5194 }
5195 }
5196 else
5197 {
582e12bf
RS
5198 /* 33222222222211111111110000000000
5199 10987654321098765432109876543210
7684e580 5200 x10001x00x1xxxxx001xxxxxxxxxxxxx
582e12bf 5201 prfh. */
fb3265b3 5202 return 1770;
c0890d26
RS
5203 }
5204 }
5205 else
5206 {
582e12bf 5207 if (((word >> 30) & 0x1) == 0)
c0890d26 5208 {
582e12bf 5209 if (((word >> 31) & 0x1) == 0)
c0890d26 5210 {
582e12bf
RS
5211 if (((word >> 22) & 0x1) == 0)
5212 {
5213 /* 33222222222211111111110000000000
5214 10987654321098765432109876543210
7684e580 5215 000001x0101xxxxx001xxxxxxxxxxxxx
582e12bf 5216 eor. */
fb3265b3 5217 return 1360;
582e12bf
RS
5218 }
5219 else
5220 {
5221 /* 33222222222211111111110000000000
5222 10987654321098765432109876543210
7684e580 5223 000001x0111xxxxx001xxxxxxxxxxxxx
582e12bf 5224 bic. */
fb3265b3 5225 return 1286;
582e12bf 5226 }
c0890d26
RS
5227 }
5228 else
5229 {
5230 /* 33222222222211111111110000000000
5231 10987654321098765432109876543210
7684e580 5232 100001x01x1xxxxx001xxxxxxxxxxxxx
582e12bf 5233 ldff1sh. */
fb3265b3 5234 return 1661;
c0890d26
RS
5235 }
5236 }
5237 else
5238 {
582e12bf
RS
5239 /* 33222222222211111111110000000000
5240 10987654321098765432109876543210
7684e580 5241 x10001x01x1xxxxx001xxxxxxxxxxxxx
582e12bf 5242 ldff1sh. */
fb3265b3 5243 return 1667;
c0890d26
RS
5244 }
5245 }
5246 }
5247 }
5248 else
5249 {
582e12bf 5250 if (((word >> 30) & 0x1) == 0)
c0890d26 5251 {
582e12bf 5252 if (((word >> 31) & 0x1) == 0)
c0890d26 5253 {
582e12bf 5254 if (((word >> 21) & 0x1) == 0)
c0890d26 5255 {
582e12bf 5256 if (((word >> 16) & 0x1) == 0)
c0890d26 5257 {
582e12bf 5258 if (((word >> 17) & 0x1) == 0)
c0890d26 5259 {
582e12bf 5260 if (((word >> 18) & 0x1) == 0)
c0890d26 5261 {
582e12bf 5262 if (((word >> 19) & 0x1) == 0)
c0890d26
RS
5263 {
5264 /* 33222222222211111111110000000000
5265 10987654321098765432109876543210
7684e580 5266 000001x0xx0x0000101xxxxxxxxxxxxx
582e12bf 5267 sxtb. */
fb3265b3 5268 return 1941;
c0890d26
RS
5269 }
5270 else
5271 {
5272 /* 33222222222211111111110000000000
5273 10987654321098765432109876543210
7684e580 5274 000001x0xx0x1000101xxxxxxxxxxxxx
582e12bf 5275 cls. */
fb3265b3 5276 return 1306;
c0890d26
RS
5277 }
5278 }
5279 else
5280 {
582e12bf
RS
5281 if (((word >> 19) & 0x1) == 0)
5282 {
5283 /* 33222222222211111111110000000000
5284 10987654321098765432109876543210
7684e580 5285 000001x0xx0x0100101xxxxxxxxxxxxx
582e12bf 5286 sxtw. */
fb3265b3 5287 return 1943;
582e12bf
RS
5288 }
5289 else
5290 {
5291 /* 33222222222211111111110000000000
5292 10987654321098765432109876543210
7684e580 5293 000001x0xx0x1100101xxxxxxxxxxxxx
582e12bf 5294 fabs. */
fb3265b3 5295 return 1368;
582e12bf 5296 }
c0890d26
RS
5297 }
5298 }
5299 else
5300 {
582e12bf 5301 if (((word >> 18) & 0x1) == 0)
c0890d26 5302 {
582e12bf 5303 if (((word >> 19) & 0x1) == 0)
c0890d26
RS
5304 {
5305 /* 33222222222211111111110000000000
5306 10987654321098765432109876543210
7684e580 5307 000001x0xx0x0010101xxxxxxxxxxxxx
582e12bf 5308 sxth. */
fb3265b3 5309 return 1942;
c0890d26
RS
5310 }
5311 else
5312 {
5313 /* 33222222222211111111110000000000
5314 10987654321098765432109876543210
7684e580 5315 000001x0xx0x1010101xxxxxxxxxxxxx
582e12bf 5316 cnt. */
fb3265b3 5317 return 1335;
c0890d26
RS
5318 }
5319 }
5320 else
5321 {
582e12bf 5322 if (((word >> 19) & 0x1) == 0)
c0890d26
RS
5323 {
5324 /* 33222222222211111111110000000000
5325 10987654321098765432109876543210
7684e580 5326 000001x0xx0x0110101xxxxxxxxxxxxx
582e12bf 5327 abs. */
fb3265b3 5328 return 1264;
c0890d26
RS
5329 }
5330 else
5331 {
5332 /* 33222222222211111111110000000000
5333 10987654321098765432109876543210
7684e580 5334 000001x0xx0x1110101xxxxxxxxxxxxx
582e12bf 5335 not. */
fb3265b3 5336 return 1742;
c0890d26
RS
5337 }
5338 }
5339 }
5340 }
5341 else
5342 {
582e12bf 5343 if (((word >> 17) & 0x1) == 0)
c0890d26 5344 {
582e12bf
RS
5345 if (((word >> 18) & 0x1) == 0)
5346 {
5347 if (((word >> 19) & 0x1) == 0)
5348 {
5349 /* 33222222222211111111110000000000
5350 10987654321098765432109876543210
7684e580 5351 000001x0xx0x0001101xxxxxxxxxxxxx
582e12bf 5352 uxtb. */
fb3265b3 5353 return 2004;
582e12bf
RS
5354 }
5355 else
5356 {
5357 /* 33222222222211111111110000000000
5358 10987654321098765432109876543210
7684e580 5359 000001x0xx0x1001101xxxxxxxxxxxxx
582e12bf 5360 clz. */
fb3265b3 5361 return 1307;
582e12bf
RS
5362 }
5363 }
5364 else
5365 {
5366 if (((word >> 19) & 0x1) == 0)
5367 {
5368 /* 33222222222211111111110000000000
5369 10987654321098765432109876543210
7684e580 5370 000001x0xx0x0101101xxxxxxxxxxxxx
582e12bf 5371 uxtw. */
fb3265b3 5372 return 2006;
582e12bf
RS
5373 }
5374 else
5375 {
5376 /* 33222222222211111111110000000000
5377 10987654321098765432109876543210
7684e580 5378 000001x0xx0x1101101xxxxxxxxxxxxx
582e12bf 5379 fneg. */
fb3265b3 5380 return 1445;
582e12bf
RS
5381 }
5382 }
5383 }
5384 else
5385 {
5386 if (((word >> 18) & 0x1) == 0)
5387 {
5388 if (((word >> 19) & 0x1) == 0)
5389 {
5390 /* 33222222222211111111110000000000
5391 10987654321098765432109876543210
7684e580 5392 000001x0xx0x0011101xxxxxxxxxxxxx
582e12bf 5393 uxth. */
fb3265b3 5394 return 2005;
582e12bf
RS
5395 }
5396 else
5397 {
5398 /* 33222222222211111111110000000000
5399 10987654321098765432109876543210
7684e580 5400 000001x0xx0x1011101xxxxxxxxxxxxx
582e12bf 5401 cnot. */
fb3265b3 5402 return 1334;
582e12bf
RS
5403 }
5404 }
5405 else
c0890d26
RS
5406 {
5407 /* 33222222222211111111110000000000
5408 10987654321098765432109876543210
7684e580 5409 000001x0xx0xx111101xxxxxxxxxxxxx
582e12bf 5410 neg. */
fb3265b3 5411 return 1739;
582e12bf
RS
5412 }
5413 }
5414 }
5415 }
5416 else
5417 {
5418 if (((word >> 12) & 0x1) == 0)
5419 {
5420 if (((word >> 23) & 0x1) == 0)
5421 {
5422 if (((word >> 22) & 0x1) == 0)
5423 {
5424 /* 33222222222211111111110000000000
5425 10987654321098765432109876543210
7684e580 5426 000001x0001xxxxx1010xxxxxxxxxxxx
582e12bf 5427 adr. */
fb3265b3 5428 return 1270;
c0890d26
RS
5429 }
5430 else
5431 {
5432 /* 33222222222211111111110000000000
5433 10987654321098765432109876543210
7684e580 5434 000001x0011xxxxx1010xxxxxxxxxxxx
582e12bf 5435 adr. */
fb3265b3 5436 return 1271;
c0890d26
RS
5437 }
5438 }
5439 else
5440 {
5441 /* 33222222222211111111110000000000
5442 10987654321098765432109876543210
7684e580 5443 000001x01x1xxxxx1010xxxxxxxxxxxx
582e12bf 5444 adr. */
fb3265b3 5445 return 1272;
582e12bf
RS
5446 }
5447 }
5448 else
5449 {
5450 if (((word >> 10) & 0x1) == 0)
5451 {
5452 if (((word >> 11) & 0x1) == 0)
5453 {
5454 /* 33222222222211111111110000000000
5455 10987654321098765432109876543210
7684e580 5456 000001x0xx1xxxxx101100xxxxxxxxxx
582e12bf 5457 ftssel. */
fb3265b3 5458 return 1471;
582e12bf
RS
5459 }
5460 else
5461 {
5462 /* 33222222222211111111110000000000
5463 10987654321098765432109876543210
7684e580 5464 000001x0xx1xxxxx101110xxxxxxxxxx
582e12bf 5465 fexpa. */
fb3265b3 5466 return 1415;
582e12bf
RS
5467 }
5468 }
5469 else
5470 {
5471 /* 33222222222211111111110000000000
5472 10987654321098765432109876543210
7684e580 5473 000001x0xx1xxxxx1011x1xxxxxxxxxx
582e12bf 5474 movprfx. */
fb3265b3 5475 return 1732;
c0890d26
RS
5476 }
5477 }
5478 }
582e12bf
RS
5479 }
5480 else
5481 {
5482 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
5483 {
5484 if (((word >> 23) & 0x1) == 0)
5485 {
5486 /* 33222222222211111111110000000000
5487 10987654321098765432109876543210
7684e580 5488 100001x000xxxxxx101xxxxxxxxxxxxx
c0890d26 5489 ldff1sb. */
fb3265b3 5490 return 1658;
c0890d26
RS
5491 }
5492 else
5493 {
5494 /* 33222222222211111111110000000000
5495 10987654321098765432109876543210
7684e580 5496 100001x010xxxxxx101xxxxxxxxxxxxx
c0890d26 5497 ldff1sh. */
fb3265b3 5498 return 1670;
582e12bf
RS
5499 }
5500 }
5501 else
5502 {
5503 if (((word >> 23) & 0x1) == 0)
5504 {
5505 /* 33222222222211111111110000000000
5506 10987654321098765432109876543210
7684e580 5507 100001x001xxxxxx101xxxxxxxxxxxxx
582e12bf 5508 ld1rb. */
fb3265b3 5509 return 1526;
582e12bf
RS
5510 }
5511 else
5512 {
5513 /* 33222222222211111111110000000000
5514 10987654321098765432109876543210
7684e580 5515 100001x011xxxxxx101xxxxxxxxxxxxx
582e12bf 5516 ld1rh. */
fb3265b3 5517 return 1530;
c0890d26
RS
5518 }
5519 }
5520 }
582e12bf
RS
5521 }
5522 else
5523 {
5524 if (((word >> 21) & 0x1) == 0)
c0890d26
RS
5525 {
5526 if (((word >> 23) & 0x1) == 0)
5527 {
5528 /* 33222222222211111111110000000000
5529 10987654321098765432109876543210
7684e580 5530 x10001x00x0xxxxx101xxxxxxxxxxxxx
c0890d26 5531 ldff1sb. */
fb3265b3 5532 return 1657;
c0890d26
RS
5533 }
5534 else
5535 {
5536 /* 33222222222211111111110000000000
5537 10987654321098765432109876543210
7684e580 5538 x10001x01x0xxxxx101xxxxxxxxxxxxx
c0890d26 5539 ldff1sh. */
fb3265b3 5540 return 1668;
c0890d26
RS
5541 }
5542 }
582e12bf 5543 else
c0890d26 5544 {
582e12bf 5545 if (((word >> 22) & 0x1) == 0)
c0890d26 5546 {
582e12bf 5547 if (((word >> 23) & 0x1) == 0)
c0890d26 5548 {
582e12bf
RS
5549 /* 33222222222211111111110000000000
5550 10987654321098765432109876543210
7684e580 5551 x10001x0001xxxxx101xxxxxxxxxxxxx
582e12bf 5552 ldff1sb. */
fb3265b3 5553 return 1659;
c0890d26
RS
5554 }
5555 else
5556 {
5557 /* 33222222222211111111110000000000
5558 10987654321098765432109876543210
7684e580 5559 x10001x0101xxxxx101xxxxxxxxxxxxx
582e12bf 5560 ldff1sh. */
fb3265b3 5561 return 1671;
c0890d26
RS
5562 }
5563 }
5564 else
5565 {
582e12bf
RS
5566 if (((word >> 23) & 0x1) == 0)
5567 {
5568 /* 33222222222211111111110000000000
5569 10987654321098765432109876543210
7684e580 5570 x10001x0011xxxxx101xxxxxxxxxxxxx
582e12bf 5571 prfh. */
fb3265b3 5572 return 1771;
582e12bf
RS
5573 }
5574 else
5575 {
5576 /* 33222222222211111111110000000000
5577 10987654321098765432109876543210
7684e580 5578 x10001x0111xxxxx101xxxxxxxxxxxxx
582e12bf 5579 ldff1sh. */
fb3265b3 5580 return 1669;
582e12bf 5581 }
c0890d26
RS
5582 }
5583 }
582e12bf
RS
5584 }
5585 }
5586 }
5587 else
5588 {
5589 if (((word >> 15) & 0x1) == 0)
5590 {
5591 if (((word >> 21) & 0x1) == 0)
5592 {
5593 if (((word >> 30) & 0x1) == 0)
c0890d26 5594 {
582e12bf 5595 if (((word >> 31) & 0x1) == 0)
c0890d26 5596 {
582e12bf
RS
5597 /* 33222222222211111111110000000000
5598 10987654321098765432109876543210
7684e580 5599 000001x0xx0xxxxx011xxxxxxxxxxxxx
582e12bf 5600 mls. */
fb3265b3 5601 return 1731;
582e12bf
RS
5602 }
5603 else
5604 {
5605 if (((word >> 23) & 0x1) == 0)
c0890d26 5606 {
582e12bf
RS
5607 /* 33222222222211111111110000000000
5608 10987654321098765432109876543210
7684e580 5609 100001x00x0xxxxx011xxxxxxxxxxxxx
582e12bf 5610 ldff1b. */
fb3265b3 5611 return 1615;
c0890d26
RS
5612 }
5613 else
5614 {
5615 /* 33222222222211111111110000000000
5616 10987654321098765432109876543210
7684e580 5617 100001x01x0xxxxx011xxxxxxxxxxxxx
582e12bf 5618 ldff1h. */
fb3265b3 5619 return 1635;
c0890d26
RS
5620 }
5621 }
582e12bf
RS
5622 }
5623 else
5624 {
5625 if (((word >> 23) & 0x1) == 0)
5626 {
5627 /* 33222222222211111111110000000000
5628 10987654321098765432109876543210
7684e580 5629 x10001x00x0xxxxx011xxxxxxxxxxxxx
582e12bf 5630 ldff1b. */
fb3265b3 5631 return 1624;
582e12bf 5632 }
c0890d26
RS
5633 else
5634 {
5635 /* 33222222222211111111110000000000
5636 10987654321098765432109876543210
7684e580 5637 x10001x01x0xxxxx011xxxxxxxxxxxxx
582e12bf 5638 ldff1h. */
fb3265b3 5639 return 1643;
582e12bf
RS
5640 }
5641 }
5642 }
5643 else
5644 {
5645 if (((word >> 23) & 0x1) == 0)
5646 {
5647 if (((word >> 30) & 0x1) == 0)
5648 {
5649 /* 33222222222211111111110000000000
5650 10987654321098765432109876543210
7684e580 5651 x00001x00x1xxxxx011xxxxxxxxxxxxx
582e12bf 5652 prfd. */
fb3265b3 5653 return 1761;
582e12bf
RS
5654 }
5655 else
5656 {
5657 /* 33222222222211111111110000000000
5658 10987654321098765432109876543210
7684e580 5659 x10001x00x1xxxxx011xxxxxxxxxxxxx
582e12bf 5660 prfd. */
fb3265b3 5661 return 1763;
582e12bf
RS
5662 }
5663 }
5664 else
5665 {
5666 if (((word >> 30) & 0x1) == 0)
5667 {
5668 /* 33222222222211111111110000000000
5669 10987654321098765432109876543210
7684e580 5670 x00001x01x1xxxxx011xxxxxxxxxxxxx
582e12bf 5671 ldff1h. */
fb3265b3 5672 return 1636;
582e12bf
RS
5673 }
5674 else
5675 {
5676 /* 33222222222211111111110000000000
5677 10987654321098765432109876543210
7684e580 5678 x10001x01x1xxxxx011xxxxxxxxxxxxx
582e12bf 5679 ldff1h. */
fb3265b3 5680 return 1644;
c0890d26
RS
5681 }
5682 }
5683 }
5684 }
5685 else
5686 {
5687 if (((word >> 30) & 0x1) == 0)
5688 {
5689 if (((word >> 31) & 0x1) == 0)
5690 {
5691 if (((word >> 21) & 0x1) == 0)
5692 {
582e12bf
RS
5693 /* 33222222222211111111110000000000
5694 10987654321098765432109876543210
7684e580 5695 000001x0xx0xxxxx111xxxxxxxxxxxxx
582e12bf 5696 msb. */
fb3265b3 5697 return 1734;
582e12bf
RS
5698 }
5699 else
5700 {
5701 if (((word >> 10) & 0x1) == 0)
c0890d26 5702 {
582e12bf 5703 if (((word >> 11) & 0x1) == 0)
c0890d26 5704 {
582e12bf 5705 if (((word >> 12) & 0x1) == 0)
c0890d26 5706 {
582e12bf 5707 if (((word >> 20) & 0x1) == 0)
c0890d26 5708 {
582e12bf
RS
5709 if (((word >> 22) & 0x1) == 0)
5710 {
5711 if (((word >> 23) & 0x1) == 0)
5712 {
5713 /* 33222222222211111111110000000000
5714 10987654321098765432109876543210
7684e580 5715 000001x00010xxxx111000xxxxxxxxxx
582e12bf 5716 cntb. */
fb3265b3 5717 return 1336;
582e12bf
RS
5718 }
5719 else
5720 {
5721 /* 33222222222211111111110000000000
5722 10987654321098765432109876543210
7684e580 5723 000001x01010xxxx111000xxxxxxxxxx
582e12bf 5724 cntw. */
fb3265b3 5725 return 1340;
582e12bf
RS
5726 }
5727 }
5728 else
5729 {
5730 if (((word >> 23) & 0x1) == 0)
5731 {
5732 /* 33222222222211111111110000000000
5733 10987654321098765432109876543210
7684e580 5734 000001x00110xxxx111000xxxxxxxxxx
582e12bf 5735 cnth. */
fb3265b3 5736 return 1338;
582e12bf
RS
5737 }
5738 else
5739 {
5740 /* 33222222222211111111110000000000
5741 10987654321098765432109876543210
7684e580 5742 000001x01110xxxx111000xxxxxxxxxx
582e12bf 5743 cntd. */
fb3265b3 5744 return 1337;
582e12bf
RS
5745 }
5746 }
c0890d26
RS
5747 }
5748 else
5749 {
582e12bf
RS
5750 if (((word >> 22) & 0x1) == 0)
5751 {
5752 if (((word >> 23) & 0x1) == 0)
5753 {
5754 /* 33222222222211111111110000000000
5755 10987654321098765432109876543210
7684e580 5756 000001x00011xxxx111000xxxxxxxxxx
582e12bf 5757 incb. */
fb3265b3 5758 return 1472;
582e12bf
RS
5759 }
5760 else
5761 {
5762 /* 33222222222211111111110000000000
5763 10987654321098765432109876543210
7684e580 5764 000001x01011xxxx111000xxxxxxxxxx
582e12bf 5765 incw. */
fb3265b3 5766 return 1480;
582e12bf
RS
5767 }
5768 }
5769 else
5770 {
5771 if (((word >> 23) & 0x1) == 0)
5772 {
5773 /* 33222222222211111111110000000000
5774 10987654321098765432109876543210
7684e580 5775 000001x00111xxxx111000xxxxxxxxxx
582e12bf 5776 inch. */
fb3265b3 5777 return 1476;
582e12bf
RS
5778 }
5779 else
5780 {
5781 /* 33222222222211111111110000000000
5782 10987654321098765432109876543210
7684e580 5783 000001x01111xxxx111000xxxxxxxxxx
582e12bf 5784 incd. */
fb3265b3 5785 return 1474;
582e12bf
RS
5786 }
5787 }
c0890d26
RS
5788 }
5789 }
5790 else
5791 {
582e12bf 5792 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
5793 {
5794 if (((word >> 22) & 0x1) == 0)
5795 {
5796 if (((word >> 23) & 0x1) == 0)
5797 {
5798 /* 33222222222211111111110000000000
5799 10987654321098765432109876543210
7684e580 5800 000001x00010xxxx111100xxxxxxxxxx
c0890d26 5801 sqincb. */
fb3265b3 5802 return 1839;
c0890d26
RS
5803 }
5804 else
5805 {
5806 /* 33222222222211111111110000000000
5807 10987654321098765432109876543210
7684e580 5808 000001x01010xxxx111100xxxxxxxxxx
c0890d26 5809 sqincw. */
fb3265b3 5810 return 1851;
c0890d26
RS
5811 }
5812 }
5813 else
5814 {
5815 if (((word >> 23) & 0x1) == 0)
5816 {
5817 /* 33222222222211111111110000000000
5818 10987654321098765432109876543210
7684e580 5819 000001x00110xxxx111100xxxxxxxxxx
c0890d26 5820 sqinch. */
fb3265b3 5821 return 1845;
c0890d26
RS
5822 }
5823 else
5824 {
5825 /* 33222222222211111111110000000000
5826 10987654321098765432109876543210
7684e580 5827 000001x01110xxxx111100xxxxxxxxxx
c0890d26 5828 sqincd. */
fb3265b3 5829 return 1842;
c0890d26
RS
5830 }
5831 }
5832 }
5833 else
5834 {
5835 if (((word >> 22) & 0x1) == 0)
5836 {
5837 if (((word >> 23) & 0x1) == 0)
5838 {
5839 /* 33222222222211111111110000000000
5840 10987654321098765432109876543210
7684e580 5841 000001x00011xxxx111100xxxxxxxxxx
c0890d26 5842 sqincb. */
fb3265b3 5843 return 1838;
c0890d26
RS
5844 }
5845 else
5846 {
5847 /* 33222222222211111111110000000000
5848 10987654321098765432109876543210
7684e580 5849 000001x01011xxxx111100xxxxxxxxxx
c0890d26 5850 sqincw. */
fb3265b3 5851 return 1850;
c0890d26
RS
5852 }
5853 }
5854 else
5855 {
5856 if (((word >> 23) & 0x1) == 0)
5857 {
5858 /* 33222222222211111111110000000000
5859 10987654321098765432109876543210
7684e580 5860 000001x00111xxxx111100xxxxxxxxxx
c0890d26 5861 sqinch. */
fb3265b3 5862 return 1844;
c0890d26
RS
5863 }
5864 else
5865 {
5866 /* 33222222222211111111110000000000
5867 10987654321098765432109876543210
7684e580 5868 000001x01111xxxx111100xxxxxxxxxx
c0890d26 5869 sqincd. */
fb3265b3 5870 return 1841;
c0890d26
RS
5871 }
5872 }
5873 }
5874 }
5875 }
5876 else
5877 {
5878 if (((word >> 20) & 0x1) == 0)
5879 {
5880 if (((word >> 22) & 0x1) == 0)
5881 {
5882 if (((word >> 23) & 0x1) == 0)
5883 {
5884 /* 33222222222211111111110000000000
5885 10987654321098765432109876543210
7684e580 5886 000001x00010xxxx111x10xxxxxxxxxx
c0890d26 5887 sqdecb. */
fb3265b3 5888 return 1825;
c0890d26
RS
5889 }
5890 else
5891 {
5892 /* 33222222222211111111110000000000
5893 10987654321098765432109876543210
7684e580 5894 000001x01010xxxx111x10xxxxxxxxxx
c0890d26 5895 sqdecw. */
fb3265b3 5896 return 1837;
c0890d26
RS
5897 }
5898 }
5899 else
5900 {
5901 if (((word >> 23) & 0x1) == 0)
5902 {
5903 /* 33222222222211111111110000000000
5904 10987654321098765432109876543210
7684e580 5905 000001x00110xxxx111x10xxxxxxxxxx
c0890d26 5906 sqdech. */
fb3265b3 5907 return 1831;
c0890d26
RS
5908 }
5909 else
5910 {
5911 /* 33222222222211111111110000000000
5912 10987654321098765432109876543210
7684e580 5913 000001x01110xxxx111x10xxxxxxxxxx
c0890d26 5914 sqdecd. */
fb3265b3 5915 return 1828;
c0890d26
RS
5916 }
5917 }
5918 }
5919 else
5920 {
5921 if (((word >> 22) & 0x1) == 0)
5922 {
5923 if (((word >> 23) & 0x1) == 0)
5924 {
5925 /* 33222222222211111111110000000000
5926 10987654321098765432109876543210
7684e580 5927 000001x00011xxxx111x10xxxxxxxxxx
c0890d26 5928 sqdecb. */
fb3265b3 5929 return 1824;
c0890d26
RS
5930 }
5931 else
5932 {
5933 /* 33222222222211111111110000000000
5934 10987654321098765432109876543210
7684e580 5935 000001x01011xxxx111x10xxxxxxxxxx
c0890d26 5936 sqdecw. */
fb3265b3 5937 return 1836;
c0890d26
RS
5938 }
5939 }
5940 else
5941 {
5942 if (((word >> 23) & 0x1) == 0)
5943 {
5944 /* 33222222222211111111110000000000
5945 10987654321098765432109876543210
7684e580 5946 000001x00111xxxx111x10xxxxxxxxxx
c0890d26 5947 sqdech. */
fb3265b3 5948 return 1830;
c0890d26
RS
5949 }
5950 else
5951 {
5952 /* 33222222222211111111110000000000
5953 10987654321098765432109876543210
7684e580 5954 000001x01111xxxx111x10xxxxxxxxxx
c0890d26 5955 sqdecd. */
fb3265b3 5956 return 1827;
c0890d26
RS
5957 }
5958 }
5959 }
5960 }
5961 }
5962 else
5963 {
5964 if (((word >> 11) & 0x1) == 0)
5965 {
5966 if (((word >> 12) & 0x1) == 0)
5967 {
5968 if (((word >> 22) & 0x1) == 0)
5969 {
5970 if (((word >> 23) & 0x1) == 0)
5971 {
5972 /* 33222222222211111111110000000000
5973 10987654321098765432109876543210
7684e580 5974 000001x0001xxxxx111001xxxxxxxxxx
c0890d26 5975 decb. */
fb3265b3 5976 return 1347;
c0890d26
RS
5977 }
5978 else
5979 {
5980 /* 33222222222211111111110000000000
5981 10987654321098765432109876543210
7684e580 5982 000001x0101xxxxx111001xxxxxxxxxx
c0890d26 5983 decw. */
fb3265b3 5984 return 1355;
c0890d26
RS
5985 }
5986 }
5987 else
5988 {
5989 if (((word >> 23) & 0x1) == 0)
5990 {
5991 /* 33222222222211111111110000000000
5992 10987654321098765432109876543210
7684e580 5993 000001x0011xxxxx111001xxxxxxxxxx
c0890d26 5994 dech. */
fb3265b3 5995 return 1351;
c0890d26
RS
5996 }
5997 else
5998 {
5999 /* 33222222222211111111110000000000
6000 10987654321098765432109876543210
7684e580 6001 000001x0111xxxxx111001xxxxxxxxxx
c0890d26 6002 decd. */
fb3265b3 6003 return 1349;
c0890d26
RS
6004 }
6005 }
6006 }
6007 else
6008 {
6009 if (((word >> 20) & 0x1) == 0)
6010 {
6011 if (((word >> 22) & 0x1) == 0)
6012 {
6013 if (((word >> 23) & 0x1) == 0)
6014 {
6015 /* 33222222222211111111110000000000
6016 10987654321098765432109876543210
7684e580 6017 000001x00010xxxx111101xxxxxxxxxx
c0890d26 6018 uqincb. */
fb3265b3 6019 return 1986;
c0890d26
RS
6020 }
6021 else
6022 {
6023 /* 33222222222211111111110000000000
6024 10987654321098765432109876543210
7684e580 6025 000001x01010xxxx111101xxxxxxxxxx
c0890d26 6026 uqincw. */
fb3265b3 6027 return 1998;
c0890d26
RS
6028 }
6029 }
6030 else
6031 {
6032 if (((word >> 23) & 0x1) == 0)
6033 {
6034 /* 33222222222211111111110000000000
6035 10987654321098765432109876543210
7684e580 6036 000001x00110xxxx111101xxxxxxxxxx
c0890d26 6037 uqinch. */
fb3265b3 6038 return 1992;
c0890d26
RS
6039 }
6040 else
6041 {
6042 /* 33222222222211111111110000000000
6043 10987654321098765432109876543210
7684e580 6044 000001x01110xxxx111101xxxxxxxxxx
c0890d26 6045 uqincd. */
fb3265b3 6046 return 1989;
c0890d26
RS
6047 }
6048 }
6049 }
6050 else
6051 {
6052 if (((word >> 22) & 0x1) == 0)
6053 {
6054 if (((word >> 23) & 0x1) == 0)
6055 {
6056 /* 33222222222211111111110000000000
6057 10987654321098765432109876543210
7684e580 6058 000001x00011xxxx111101xxxxxxxxxx
c0890d26 6059 uqincb. */
fb3265b3 6060 return 1987;
c0890d26
RS
6061 }
6062 else
6063 {
6064 /* 33222222222211111111110000000000
6065 10987654321098765432109876543210
7684e580 6066 000001x01011xxxx111101xxxxxxxxxx
c0890d26 6067 uqincw. */
fb3265b3 6068 return 1999;
c0890d26
RS
6069 }
6070 }
6071 else
6072 {
6073 if (((word >> 23) & 0x1) == 0)
6074 {
6075 /* 33222222222211111111110000000000
6076 10987654321098765432109876543210
7684e580 6077 000001x00111xxxx111101xxxxxxxxxx
c0890d26 6078 uqinch. */
fb3265b3 6079 return 1993;
c0890d26
RS
6080 }
6081 else
6082 {
6083 /* 33222222222211111111110000000000
6084 10987654321098765432109876543210
7684e580 6085 000001x01111xxxx111101xxxxxxxxxx
c0890d26 6086 uqincd. */
fb3265b3 6087 return 1990;
c0890d26
RS
6088 }
6089 }
6090 }
6091 }
6092 }
6093 else
6094 {
6095 if (((word >> 20) & 0x1) == 0)
6096 {
6097 if (((word >> 22) & 0x1) == 0)
6098 {
6099 if (((word >> 23) & 0x1) == 0)
6100 {
6101 /* 33222222222211111111110000000000
6102 10987654321098765432109876543210
7684e580 6103 000001x00010xxxx111x11xxxxxxxxxx
c0890d26 6104 uqdecb. */
fb3265b3 6105 return 1972;
c0890d26
RS
6106 }
6107 else
6108 {
6109 /* 33222222222211111111110000000000
6110 10987654321098765432109876543210
7684e580 6111 000001x01010xxxx111x11xxxxxxxxxx
c0890d26 6112 uqdecw. */
fb3265b3 6113 return 1984;
c0890d26
RS
6114 }
6115 }
6116 else
6117 {
6118 if (((word >> 23) & 0x1) == 0)
6119 {
6120 /* 33222222222211111111110000000000
6121 10987654321098765432109876543210
7684e580 6122 000001x00110xxxx111x11xxxxxxxxxx
c0890d26 6123 uqdech. */
fb3265b3 6124 return 1978;
c0890d26
RS
6125 }
6126 else
6127 {
6128 /* 33222222222211111111110000000000
6129 10987654321098765432109876543210
7684e580 6130 000001x01110xxxx111x11xxxxxxxxxx
c0890d26 6131 uqdecd. */
fb3265b3 6132 return 1975;
c0890d26
RS
6133 }
6134 }
6135 }
6136 else
6137 {
6138 if (((word >> 22) & 0x1) == 0)
6139 {
6140 if (((word >> 23) & 0x1) == 0)
6141 {
6142 /* 33222222222211111111110000000000
6143 10987654321098765432109876543210
7684e580 6144 000001x00011xxxx111x11xxxxxxxxxx
c0890d26 6145 uqdecb. */
fb3265b3 6146 return 1973;
c0890d26
RS
6147 }
6148 else
6149 {
6150 /* 33222222222211111111110000000000
6151 10987654321098765432109876543210
7684e580 6152 000001x01011xxxx111x11xxxxxxxxxx
c0890d26 6153 uqdecw. */
fb3265b3 6154 return 1985;
c0890d26
RS
6155 }
6156 }
6157 else
6158 {
6159 if (((word >> 23) & 0x1) == 0)
6160 {
6161 /* 33222222222211111111110000000000
6162 10987654321098765432109876543210
7684e580 6163 000001x00111xxxx111x11xxxxxxxxxx
c0890d26 6164 uqdech. */
fb3265b3 6165 return 1979;
c0890d26
RS
6166 }
6167 else
6168 {
6169 /* 33222222222211111111110000000000
6170 10987654321098765432109876543210
7684e580 6171 000001x01111xxxx111x11xxxxxxxxxx
c0890d26 6172 uqdecd. */
fb3265b3 6173 return 1976;
c0890d26
RS
6174 }
6175 }
6176 }
6177 }
6178 }
6179 }
6180 }
6181 else
6182 {
6183 if (((word >> 22) & 0x1) == 0)
6184 {
582e12bf
RS
6185 if (((word >> 21) & 0x1) == 0)
6186 {
6187 if (((word >> 23) & 0x1) == 0)
6188 {
6189 /* 33222222222211111111110000000000
6190 10987654321098765432109876543210
7684e580 6191 100001x0000xxxxx111xxxxxxxxxxxxx
582e12bf 6192 prfb. */
fb3265b3 6193 return 1758;
582e12bf
RS
6194 }
6195 else
6196 {
6197 /* 33222222222211111111110000000000
6198 10987654321098765432109876543210
7684e580 6199 100001x0100xxxxx111xxxxxxxxxxxxx
582e12bf 6200 prfh. */
fb3265b3 6201 return 1772;
582e12bf
RS
6202 }
6203 }
6204 else
6205 {
6206 if (((word >> 23) & 0x1) == 0)
6207 {
6208 /* 33222222222211111111110000000000
6209 10987654321098765432109876543210
7684e580 6210 100001x0001xxxxx111xxxxxxxxxxxxx
582e12bf 6211 ldff1b. */
fb3265b3 6212 return 1626;
582e12bf
RS
6213 }
6214 else
6215 {
6216 /* 33222222222211111111110000000000
6217 10987654321098765432109876543210
7684e580 6218 100001x0101xxxxx111xxxxxxxxxxxxx
582e12bf 6219 ldff1h. */
fb3265b3 6220 return 1647;
582e12bf
RS
6221 }
6222 }
6223 }
6224 else
6225 {
6226 if (((word >> 23) & 0x1) == 0)
6227 {
6228 /* 33222222222211111111110000000000
6229 10987654321098765432109876543210
7684e580 6230 100001x001xxxxxx111xxxxxxxxxxxxx
582e12bf 6231 ld1rb. */
fb3265b3 6232 return 1528;
582e12bf
RS
6233 }
6234 else
6235 {
6236 /* 33222222222211111111110000000000
6237 10987654321098765432109876543210
7684e580 6238 100001x011xxxxxx111xxxxxxxxxxxxx
582e12bf 6239 ld1rh. */
fb3265b3 6240 return 1532;
582e12bf
RS
6241 }
6242 }
6243 }
6244 }
6245 else
6246 {
6247 if (((word >> 21) & 0x1) == 0)
6248 {
6249 if (((word >> 22) & 0x1) == 0)
6250 {
6251 if (((word >> 23) & 0x1) == 0)
6252 {
6253 /* 33222222222211111111110000000000
6254 10987654321098765432109876543210
7684e580 6255 x10001x0000xxxxx111xxxxxxxxxxxxx
582e12bf 6256 prfb. */
fb3265b3 6257 return 1760;
582e12bf
RS
6258 }
6259 else
6260 {
6261 /* 33222222222211111111110000000000
6262 10987654321098765432109876543210
7684e580 6263 x10001x0100xxxxx111xxxxxxxxxxxxx
582e12bf 6264 prfh. */
fb3265b3 6265 return 1774;
582e12bf
RS
6266 }
6267 }
6268 else
6269 {
6270 if (((word >> 23) & 0x1) == 0)
6271 {
6272 /* 33222222222211111111110000000000
6273 10987654321098765432109876543210
7684e580 6274 x10001x0010xxxxx111xxxxxxxxxxxxx
582e12bf 6275 ldff1b. */
fb3265b3 6276 return 1625;
582e12bf
RS
6277 }
6278 else
6279 {
6280 /* 33222222222211111111110000000000
6281 10987654321098765432109876543210
7684e580 6282 x10001x0110xxxxx111xxxxxxxxxxxxx
582e12bf 6283 ldff1h. */
fb3265b3 6284 return 1645;
582e12bf
RS
6285 }
6286 }
6287 }
6288 else
6289 {
6290 if (((word >> 22) & 0x1) == 0)
6291 {
6292 if (((word >> 23) & 0x1) == 0)
6293 {
6294 /* 33222222222211111111110000000000
6295 10987654321098765432109876543210
7684e580 6296 x10001x0001xxxxx111xxxxxxxxxxxxx
582e12bf 6297 ldff1b. */
fb3265b3 6298 return 1627;
582e12bf
RS
6299 }
6300 else
6301 {
6302 /* 33222222222211111111110000000000
6303 10987654321098765432109876543210
7684e580 6304 x10001x0101xxxxx111xxxxxxxxxxxxx
582e12bf 6305 ldff1h. */
fb3265b3 6306 return 1648;
582e12bf
RS
6307 }
6308 }
6309 else
6310 {
6311 if (((word >> 23) & 0x1) == 0)
6312 {
6313 /* 33222222222211111111110000000000
6314 10987654321098765432109876543210
7684e580 6315 x10001x0011xxxxx111xxxxxxxxxxxxx
582e12bf 6316 prfd. */
fb3265b3 6317 return 1764;
582e12bf
RS
6318 }
6319 else
6320 {
6321 /* 33222222222211111111110000000000
6322 10987654321098765432109876543210
7684e580 6323 x10001x0111xxxxx111xxxxxxxxxxxxx
582e12bf 6324 ldff1h. */
fb3265b3 6325 return 1646;
582e12bf
RS
6326 }
6327 }
6328 }
6329 }
6330 }
6331 }
6332 }
6333 }
6334 else
6335 {
6336 if (((word >> 21) & 0x1) == 0)
6337 {
6338 if (((word >> 15) & 0x1) == 0)
6339 {
6340 if (((word >> 30) & 0x1) == 0)
6341 {
6342 if (((word >> 13) & 0x1) == 0)
6343 {
6344 if (((word >> 14) & 0x1) == 0)
6345 {
6346 if (((word >> 31) & 0x1) == 0)
6347 {
6348 if (((word >> 4) & 0x1) == 0)
6349 {
6350 /* 33222222222211111111110000000000
6351 10987654321098765432109876543210
7684e580 6352 001001x0xx0xxxxx000xxxxxxxx0xxxx
582e12bf 6353 cmphs. */
fb3265b3 6354 return 1320;
582e12bf
RS
6355 }
6356 else
6357 {
6358 /* 33222222222211111111110000000000
6359 10987654321098765432109876543210
7684e580 6360 001001x0xx0xxxxx000xxxxxxxx1xxxx
582e12bf 6361 cmphi. */
fb3265b3 6362 return 1317;
582e12bf
RS
6363 }
6364 }
6365 else
6366 {
6367 if (((word >> 23) & 0x1) == 0)
6368 {
6369 /* 33222222222211111111110000000000
6370 10987654321098765432109876543210
7684e580 6371 101001x00x0xxxxx000xxxxxxxxxxxxx
582e12bf 6372 ld1rqb. */
fb3265b3 6373 return 1534;
582e12bf
RS
6374 }
6375 else
6376 {
6377 /* 33222222222211111111110000000000
6378 10987654321098765432109876543210
7684e580 6379 101001x01x0xxxxx000xxxxxxxxxxxxx
582e12bf 6380 ld1rqh. */
fb3265b3 6381 return 1538;
582e12bf
RS
6382 }
6383 }
6384 }
6385 else
6386 {
6387 if (((word >> 31) & 0x1) == 0)
6388 {
6389 if (((word >> 4) & 0x1) == 0)
6390 {
6391 /* 33222222222211111111110000000000
6392 10987654321098765432109876543210
7684e580 6393 001001x0xx0xxxxx010xxxxxxxx0xxxx
582e12bf 6394 cmpge. */
fb3265b3 6395 return 1311;
582e12bf
RS
6396 }
6397 else
6398 {
6399 /* 33222222222211111111110000000000
6400 10987654321098765432109876543210
7684e580 6401 001001x0xx0xxxxx010xxxxxxxx1xxxx
582e12bf 6402 cmpgt. */
fb3265b3 6403 return 1314;
582e12bf
RS
6404 }
6405 }
6406 else
6407 {
6408 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
6409 {
6410 if (((word >> 23) & 0x1) == 0)
6411 {
6412 /* 33222222222211111111110000000000
6413 10987654321098765432109876543210
7684e580 6414 101001x0000xxxxx010xxxxxxxxxxxxx
582e12bf 6415 ld1b. */
fb3265b3 6416 return 1492;
c0890d26
RS
6417 }
6418 else
6419 {
6420 /* 33222222222211111111110000000000
6421 10987654321098765432109876543210
7684e580 6422 101001x0100xxxxx010xxxxxxxxxxxxx
582e12bf 6423 ld1sw. */
fb3265b3 6424 return 1572;
c0890d26
RS
6425 }
6426 }
6427 else
6428 {
6429 if (((word >> 23) & 0x1) == 0)
6430 {
6431 /* 33222222222211111111110000000000
6432 10987654321098765432109876543210
7684e580 6433 101001x0010xxxxx010xxxxxxxxxxxxx
582e12bf 6434 ld1b. */
fb3265b3 6435 return 1494;
c0890d26
RS
6436 }
6437 else
6438 {
6439 /* 33222222222211111111110000000000
6440 10987654321098765432109876543210
7684e580 6441 101001x0110xxxxx010xxxxxxxxxxxxx
582e12bf 6442 ld1h. */
fb3265b3 6443 return 1514;
c0890d26
RS
6444 }
6445 }
6446 }
582e12bf
RS
6447 }
6448 }
6449 else
6450 {
6451 if (((word >> 14) & 0x1) == 0)
6452 {
6453 if (((word >> 31) & 0x1) == 0)
6454 {
6455 if (((word >> 4) & 0x1) == 0)
6456 {
6457 /* 33222222222211111111110000000000
6458 10987654321098765432109876543210
7684e580 6459 001001x0xx0xxxxx001xxxxxxxx0xxxx
582e12bf 6460 cmpeq. */
fb3265b3 6461 return 1308;
582e12bf
RS
6462 }
6463 else
6464 {
6465 /* 33222222222211111111110000000000
6466 10987654321098765432109876543210
7684e580 6467 001001x0xx0xxxxx001xxxxxxxx1xxxx
582e12bf 6468 cmpne. */
fb3265b3 6469 return 1331;
582e12bf
RS
6470 }
6471 }
c0890d26
RS
6472 else
6473 {
6474 if (((word >> 23) & 0x1) == 0)
6475 {
6476 /* 33222222222211111111110000000000
6477 10987654321098765432109876543210
7684e580 6478 101001x00x0xxxxx001xxxxxxxxxxxxx
582e12bf 6479 ld1rqb. */
fb3265b3 6480 return 1533;
c0890d26
RS
6481 }
6482 else
6483 {
6484 /* 33222222222211111111110000000000
6485 10987654321098765432109876543210
7684e580 6486 101001x01x0xxxxx001xxxxxxxxxxxxx
582e12bf 6487 ld1rqh. */
fb3265b3 6488 return 1537;
582e12bf
RS
6489 }
6490 }
6491 }
6492 else
6493 {
6494 if (((word >> 31) & 0x1) == 0)
6495 {
6496 if (((word >> 4) & 0x1) == 0)
6497 {
6498 /* 33222222222211111111110000000000
6499 10987654321098765432109876543210
7684e580 6500 001001x0xx0xxxxx011xxxxxxxx0xxxx
582e12bf 6501 cmplt. */
fb3265b3 6502 return 1329;
582e12bf
RS
6503 }
6504 else
6505 {
6506 /* 33222222222211111111110000000000
6507 10987654321098765432109876543210
7684e580 6508 001001x0xx0xxxxx011xxxxxxxx1xxxx
582e12bf 6509 cmple. */
fb3265b3 6510 return 1323;
582e12bf
RS
6511 }
6512 }
6513 else
6514 {
6515 if (((word >> 22) & 0x1) == 0)
6516 {
6517 if (((word >> 23) & 0x1) == 0)
6518 {
6519 /* 33222222222211111111110000000000
6520 10987654321098765432109876543210
7684e580 6521 101001x0000xxxxx011xxxxxxxxxxxxx
582e12bf 6522 ldff1b. */
fb3265b3 6523 return 1616;
582e12bf
RS
6524 }
6525 else
6526 {
6527 /* 33222222222211111111110000000000
6528 10987654321098765432109876543210
7684e580 6529 101001x0100xxxxx011xxxxxxxxxxxxx
582e12bf 6530 ldff1sw. */
fb3265b3 6531 return 1672;
582e12bf
RS
6532 }
6533 }
6534 else
6535 {
6536 if (((word >> 23) & 0x1) == 0)
6537 {
6538 /* 33222222222211111111110000000000
6539 10987654321098765432109876543210
7684e580 6540 101001x0010xxxxx011xxxxxxxxxxxxx
582e12bf 6541 ldff1b. */
fb3265b3 6542 return 1620;
582e12bf
RS
6543 }
6544 else
6545 {
6546 /* 33222222222211111111110000000000
6547 10987654321098765432109876543210
7684e580 6548 101001x0110xxxxx011xxxxxxxxxxxxx
582e12bf 6549 ldff1h. */
fb3265b3 6550 return 1639;
582e12bf 6551 }
c0890d26
RS
6552 }
6553 }
6554 }
6555 }
582e12bf
RS
6556 }
6557 else
6558 {
6559 if (((word >> 31) & 0x1) == 0)
6560 {
6561 /* 33222222222211111111110000000000
6562 10987654321098765432109876543210
7684e580 6563 011001x0xx0xxxxx0xxxxxxxxxxxxxxx
582e12bf 6564 fcmla. */
fb3265b3 6565 return 1377;
582e12bf 6566 }
c0890d26
RS
6567 else
6568 {
582e12bf 6569 if (((word >> 13) & 0x1) == 0)
c0890d26
RS
6570 {
6571 if (((word >> 22) & 0x1) == 0)
6572 {
582e12bf
RS
6573 /* 33222222222211111111110000000000
6574 10987654321098765432109876543210
7684e580 6575 111001x0x00xxxxx0x0xxxxxxxxxxxxx
582e12bf 6576 st1b. */
fb3265b3 6577 return 1854;
c0890d26
RS
6578 }
6579 else
6580 {
6581 if (((word >> 23) & 0x1) == 0)
6582 {
6583 /* 33222222222211111111110000000000
6584 10987654321098765432109876543210
7684e580 6585 111001x0010xxxxx0x0xxxxxxxxxxxxx
582e12bf 6586 st1b. */
fb3265b3 6587 return 1858;
c0890d26
RS
6588 }
6589 else
6590 {
6591 /* 33222222222211111111110000000000
6592 10987654321098765432109876543210
7684e580 6593 111001x0110xxxxx0x0xxxxxxxxxxxxx
582e12bf 6594 st1h. */
fb3265b3 6595 return 1879;
c0890d26
RS
6596 }
6597 }
6598 }
6599 else
6600 {
6601 if (((word >> 22) & 0x1) == 0)
6602 {
6603 if (((word >> 23) & 0x1) == 0)
6604 {
6605 /* 33222222222211111111110000000000
6606 10987654321098765432109876543210
7684e580 6607 111001x0000xxxxx0x1xxxxxxxxxxxxx
582e12bf 6608 stnt1b. */
fb3265b3 6609 return 1924;
c0890d26
RS
6610 }
6611 else
6612 {
6613 /* 33222222222211111111110000000000
6614 10987654321098765432109876543210
7684e580 6615 111001x0100xxxxx0x1xxxxxxxxxxxxx
582e12bf 6616 stnt1h. */
fb3265b3 6617 return 1928;
c0890d26
RS
6618 }
6619 }
6620 else
6621 {
6622 if (((word >> 23) & 0x1) == 0)
6623 {
6624 /* 33222222222211111111110000000000
6625 10987654321098765432109876543210
7684e580 6626 111001x0010xxxxx0x1xxxxxxxxxxxxx
582e12bf 6627 st3b. */
fb3265b3 6628 return 1908;
c0890d26
RS
6629 }
6630 else
6631 {
6632 /* 33222222222211111111110000000000
6633 10987654321098765432109876543210
7684e580 6634 111001x0110xxxxx0x1xxxxxxxxxxxxx
582e12bf 6635 st3h. */
fb3265b3 6636 return 1912;
c0890d26
RS
6637 }
6638 }
6639 }
6640 }
6641 }
6642 }
582e12bf 6643 else
c0890d26 6644 {
582e12bf 6645 if (((word >> 13) & 0x1) == 0)
c0890d26 6646 {
582e12bf 6647 if (((word >> 30) & 0x1) == 0)
c0890d26 6648 {
582e12bf 6649 if (((word >> 14) & 0x1) == 0)
c0890d26 6650 {
582e12bf
RS
6651 if (((word >> 4) & 0x1) == 0)
6652 {
6653 /* 33222222222211111111110000000000
6654 10987654321098765432109876543210
7684e580 6655 x01001x0xx0xxxxx100xxxxxxxx0xxxx
582e12bf 6656 cmpge. */
fb3265b3 6657 return 1312;
582e12bf
RS
6658 }
6659 else
6660 {
6661 /* 33222222222211111111110000000000
6662 10987654321098765432109876543210
7684e580 6663 x01001x0xx0xxxxx100xxxxxxxx1xxxx
582e12bf 6664 cmpgt. */
fb3265b3 6665 return 1315;
582e12bf 6666 }
c0890d26
RS
6667 }
6668 else
c0890d26
RS
6669 {
6670 if (((word >> 31) & 0x1) == 0)
6671 {
6672 if (((word >> 4) & 0x1) == 0)
6673 {
6674 /* 33222222222211111111110000000000
6675 10987654321098765432109876543210
7684e580 6676 001001x0xx0xxxxx110xxxxxxxx0xxxx
582e12bf 6677 cmphs. */
fb3265b3 6678 return 1321;
c0890d26
RS
6679 }
6680 else
6681 {
6682 /* 33222222222211111111110000000000
6683 10987654321098765432109876543210
7684e580 6684 001001x0xx0xxxxx110xxxxxxxx1xxxx
582e12bf 6685 cmphi. */
fb3265b3 6686 return 1318;
c0890d26
RS
6687 }
6688 }
6689 else
6690 {
582e12bf 6691 if (((word >> 22) & 0x1) == 0)
c0890d26 6692 {
582e12bf 6693 if (((word >> 23) & 0x1) == 0)
c0890d26 6694 {
582e12bf
RS
6695 /* 33222222222211111111110000000000
6696 10987654321098765432109876543210
7684e580 6697 101001x0000xxxxx110xxxxxxxxxxxxx
582e12bf 6698 ldnt1b. */
fb3265b3 6699 return 1707;
c0890d26
RS
6700 }
6701 else
6702 {
582e12bf
RS
6703 /* 33222222222211111111110000000000
6704 10987654321098765432109876543210
7684e580 6705 101001x0100xxxxx110xxxxxxxxxxxxx
582e12bf 6706 ldnt1h. */
fb3265b3 6707 return 1711;
c0890d26
RS
6708 }
6709 }
6710 else
6711 {
582e12bf 6712 if (((word >> 23) & 0x1) == 0)
c0890d26 6713 {
582e12bf
RS
6714 /* 33222222222211111111110000000000
6715 10987654321098765432109876543210
7684e580 6716 101001x0010xxxxx110xxxxxxxxxxxxx
582e12bf 6717 ld3b. */
fb3265b3 6718 return 1599;
c0890d26
RS
6719 }
6720 else
6721 {
582e12bf
RS
6722 /* 33222222222211111111110000000000
6723 10987654321098765432109876543210
7684e580 6724 101001x0110xxxxx110xxxxxxxxxxxxx
582e12bf 6725 ld3h. */
fb3265b3 6726 return 1603;
c0890d26
RS
6727 }
6728 }
6729 }
6730 }
582e12bf
RS
6731 }
6732 else
6733 {
6734 if (((word >> 31) & 0x1) == 0)
6735 {
6736 /* 33222222222211111111110000000000
6737 10987654321098765432109876543210
7684e580 6738 011001x0xx0xxxxx1x0xxxxxxxxxxxxx
582e12bf 6739 fcadd. */
fb3265b3 6740 return 1376;
582e12bf 6741 }
c0890d26
RS
6742 else
6743 {
6744 if (((word >> 22) & 0x1) == 0)
6745 {
6746 if (((word >> 23) & 0x1) == 0)
6747 {
6748 /* 33222222222211111111110000000000
6749 10987654321098765432109876543210
7684e580 6750 111001x0000xxxxx1x0xxxxxxxxxxxxx
c0890d26 6751 st1b. */
fb3265b3 6752 return 1855;
c0890d26
RS
6753 }
6754 else
6755 {
6756 /* 33222222222211111111110000000000
6757 10987654321098765432109876543210
7684e580 6758 111001x0100xxxxx1x0xxxxxxxxxxxxx
c0890d26 6759 st1h. */
fb3265b3 6760 return 1874;
c0890d26
RS
6761 }
6762 }
6763 else
6764 {
6765 if (((word >> 23) & 0x1) == 0)
6766 {
6767 /* 33222222222211111111110000000000
6768 10987654321098765432109876543210
7684e580 6769 111001x0010xxxxx1x0xxxxxxxxxxxxx
c0890d26 6770 st1b. */
fb3265b3 6771 return 1859;
c0890d26
RS
6772 }
6773 else
6774 {
6775 /* 33222222222211111111110000000000
6776 10987654321098765432109876543210
7684e580 6777 111001x0110xxxxx1x0xxxxxxxxxxxxx
c0890d26 6778 st1h. */
fb3265b3 6779 return 1880;
c0890d26
RS
6780 }
6781 }
6782 }
6783 }
6784 }
6785 else
6786 {
582e12bf 6787 if (((word >> 14) & 0x1) == 0)
c0890d26
RS
6788 {
6789 if (((word >> 30) & 0x1) == 0)
6790 {
6791 if (((word >> 31) & 0x1) == 0)
6792 {
6793 if (((word >> 4) & 0x1) == 0)
6794 {
6795 /* 33222222222211111111110000000000
6796 10987654321098765432109876543210
7684e580 6797 001001x0xx0xxxxx101xxxxxxxx0xxxx
582e12bf 6798 cmpeq. */
fb3265b3 6799 return 1309;
c0890d26
RS
6800 }
6801 else
6802 {
6803 /* 33222222222211111111110000000000
6804 10987654321098765432109876543210
7684e580 6805 001001x0xx0xxxxx101xxxxxxxx1xxxx
582e12bf 6806 cmpne. */
fb3265b3 6807 return 1332;
c0890d26
RS
6808 }
6809 }
6810 else
6811 {
582e12bf 6812 if (((word >> 20) & 0x1) == 0)
c0890d26 6813 {
582e12bf 6814 if (((word >> 22) & 0x1) == 0)
c0890d26 6815 {
582e12bf
RS
6816 if (((word >> 23) & 0x1) == 0)
6817 {
6818 /* 33222222222211111111110000000000
6819 10987654321098765432109876543210
7684e580 6820 101001x00000xxxx101xxxxxxxxxxxxx
582e12bf 6821 ld1b. */
fb3265b3 6822 return 1499;
582e12bf
RS
6823 }
6824 else
6825 {
6826 /* 33222222222211111111110000000000
6827 10987654321098765432109876543210
7684e580 6828 101001x01000xxxx101xxxxxxxxxxxxx
582e12bf 6829 ld1sw. */
fb3265b3 6830 return 1577;
582e12bf 6831 }
c0890d26
RS
6832 }
6833 else
6834 {
582e12bf
RS
6835 if (((word >> 23) & 0x1) == 0)
6836 {
6837 /* 33222222222211111111110000000000
6838 10987654321098765432109876543210
7684e580 6839 101001x00100xxxx101xxxxxxxxxxxxx
582e12bf 6840 ld1b. */
fb3265b3 6841 return 1501;
582e12bf
RS
6842 }
6843 else
6844 {
6845 /* 33222222222211111111110000000000
6846 10987654321098765432109876543210
7684e580 6847 101001x01100xxxx101xxxxxxxxxxxxx
582e12bf 6848 ld1h. */
fb3265b3 6849 return 1522;
582e12bf 6850 }
c0890d26
RS
6851 }
6852 }
6853 else
6854 {
582e12bf 6855 if (((word >> 22) & 0x1) == 0)
c0890d26 6856 {
582e12bf
RS
6857 if (((word >> 23) & 0x1) == 0)
6858 {
6859 /* 33222222222211111111110000000000
6860 10987654321098765432109876543210
7684e580 6861 101001x00001xxxx101xxxxxxxxxxxxx
582e12bf 6862 ldnf1b. */
fb3265b3 6863 return 1691;
582e12bf
RS
6864 }
6865 else
6866 {
6867 /* 33222222222211111111110000000000
6868 10987654321098765432109876543210
7684e580 6869 101001x01001xxxx101xxxxxxxxxxxxx
582e12bf 6870 ldnf1sw. */
fb3265b3 6871 return 1704;
582e12bf 6872 }
c0890d26
RS
6873 }
6874 else
6875 {
582e12bf
RS
6876 if (((word >> 23) & 0x1) == 0)
6877 {
6878 /* 33222222222211111111110000000000
6879 10987654321098765432109876543210
7684e580 6880 101001x00101xxxx101xxxxxxxxxxxxx
582e12bf 6881 ldnf1b. */
fb3265b3 6882 return 1693;
582e12bf
RS
6883 }
6884 else
6885 {
6886 /* 33222222222211111111110000000000
6887 10987654321098765432109876543210
7684e580 6888 101001x01101xxxx101xxxxxxxxxxxxx
582e12bf 6889 ldnf1h. */
fb3265b3 6890 return 1697;
582e12bf 6891 }
c0890d26
RS
6892 }
6893 }
6894 }
6895 }
6896 else
6897 {
6898 if (((word >> 22) & 0x1) == 0)
6899 {
6900 if (((word >> 23) & 0x1) == 0)
6901 {
6902 /* 33222222222211111111110000000000
6903 10987654321098765432109876543210
7684e580 6904 x11001x0000xxxxx101xxxxxxxxxxxxx
582e12bf 6905 st1b. */
fb3265b3 6906 return 1856;
c0890d26
RS
6907 }
6908 else
6909 {
6910 /* 33222222222211111111110000000000
6911 10987654321098765432109876543210
7684e580 6912 x11001x0100xxxxx101xxxxxxxxxxxxx
582e12bf 6913 st1h. */
fb3265b3 6914 return 1875;
c0890d26
RS
6915 }
6916 }
6917 else
6918 {
6919 if (((word >> 23) & 0x1) == 0)
6920 {
6921 /* 33222222222211111111110000000000
6922 10987654321098765432109876543210
7684e580 6923 x11001x0010xxxxx101xxxxxxxxxxxxx
582e12bf 6924 st1b. */
fb3265b3 6925 return 1863;
c0890d26
RS
6926 }
6927 else
6928 {
6929 /* 33222222222211111111110000000000
6930 10987654321098765432109876543210
7684e580 6931 x11001x0110xxxxx101xxxxxxxxxxxxx
582e12bf 6932 st1h. */
fb3265b3 6933 return 1884;
c0890d26
RS
6934 }
6935 }
6936 }
6937 }
6938 else
6939 {
6940 if (((word >> 30) & 0x1) == 0)
6941 {
6942 if (((word >> 31) & 0x1) == 0)
6943 {
6944 if (((word >> 4) & 0x1) == 0)
6945 {
6946 /* 33222222222211111111110000000000
6947 10987654321098765432109876543210
7684e580 6948 001001x0xx0xxxxx111xxxxxxxx0xxxx
c0890d26 6949 cmplo. */
fb3265b3 6950 return 1325;
c0890d26
RS
6951 }
6952 else
6953 {
6954 /* 33222222222211111111110000000000
6955 10987654321098765432109876543210
7684e580 6956 001001x0xx0xxxxx111xxxxxxxx1xxxx
c0890d26 6957 cmpls. */
fb3265b3 6958 return 1327;
c0890d26
RS
6959 }
6960 }
6961 else
6962 {
6963 if (((word >> 22) & 0x1) == 0)
6964 {
6965 if (((word >> 23) & 0x1) == 0)
6966 {
6967 /* 33222222222211111111110000000000
6968 10987654321098765432109876543210
7684e580 6969 101001x0000xxxxx111xxxxxxxxxxxxx
c0890d26 6970 ldnt1b. */
fb3265b3 6971 return 1708;
c0890d26
RS
6972 }
6973 else
6974 {
6975 /* 33222222222211111111110000000000
6976 10987654321098765432109876543210
7684e580 6977 101001x0100xxxxx111xxxxxxxxxxxxx
c0890d26 6978 ldnt1h. */
fb3265b3 6979 return 1712;
c0890d26
RS
6980 }
6981 }
6982 else
6983 {
6984 if (((word >> 23) & 0x1) == 0)
6985 {
6986 /* 33222222222211111111110000000000
6987 10987654321098765432109876543210
7684e580 6988 101001x0010xxxxx111xxxxxxxxxxxxx
c0890d26 6989 ld3b. */
fb3265b3 6990 return 1600;
c0890d26
RS
6991 }
6992 else
6993 {
6994 /* 33222222222211111111110000000000
6995 10987654321098765432109876543210
7684e580 6996 101001x0110xxxxx111xxxxxxxxxxxxx
c0890d26 6997 ld3h. */
fb3265b3 6998 return 1604;
c0890d26
RS
6999 }
7000 }
7001 }
7002 }
7003 else
7004 {
7005 if (((word >> 20) & 0x1) == 0)
7006 {
7007 if (((word >> 22) & 0x1) == 0)
7008 {
7009 /* 33222222222211111111110000000000
7010 10987654321098765432109876543210
7684e580 7011 x11001x0x000xxxx111xxxxxxxxxxxxx
c0890d26 7012 st1b. */
fb3265b3 7013 return 1861;
c0890d26
RS
7014 }
7015 else
7016 {
7017 if (((word >> 23) & 0x1) == 0)
7018 {
7019 /* 33222222222211111111110000000000
7020 10987654321098765432109876543210
7684e580 7021 x11001x00100xxxx111xxxxxxxxxxxxx
c0890d26 7022 st1b. */
fb3265b3 7023 return 1864;
c0890d26
RS
7024 }
7025 else
7026 {
7027 /* 33222222222211111111110000000000
7028 10987654321098765432109876543210
7684e580 7029 x11001x01100xxxx111xxxxxxxxxxxxx
c0890d26 7030 st1h. */
fb3265b3 7031 return 1885;
c0890d26
RS
7032 }
7033 }
7034 }
7035 else
7036 {
7037 if (((word >> 22) & 0x1) == 0)
7038 {
7039 if (((word >> 23) & 0x1) == 0)
7040 {
7041 /* 33222222222211111111110000000000
7042 10987654321098765432109876543210
7684e580 7043 x11001x00001xxxx111xxxxxxxxxxxxx
c0890d26 7044 stnt1b. */
fb3265b3 7045 return 1925;
c0890d26
RS
7046 }
7047 else
7048 {
7049 /* 33222222222211111111110000000000
7050 10987654321098765432109876543210
7684e580 7051 x11001x01001xxxx111xxxxxxxxxxxxx
c0890d26 7052 stnt1h. */
fb3265b3 7053 return 1929;
c0890d26
RS
7054 }
7055 }
7056 else
7057 {
7058 if (((word >> 23) & 0x1) == 0)
7059 {
7060 /* 33222222222211111111110000000000
7061 10987654321098765432109876543210
7684e580 7062 x11001x00101xxxx111xxxxxxxxxxxxx
c0890d26 7063 st3b. */
fb3265b3 7064 return 1909;
c0890d26
RS
7065 }
7066 else
7067 {
7068 /* 33222222222211111111110000000000
7069 10987654321098765432109876543210
7684e580 7070 x11001x01101xxxx111xxxxxxxxxxxxx
c0890d26 7071 st3h. */
fb3265b3 7072 return 1913;
582e12bf
RS
7073 }
7074 }
7075 }
7076 }
7077 }
7078 }
7079 }
7080 }
7081 else
7082 {
7083 if (((word >> 13) & 0x1) == 0)
7084 {
7085 if (((word >> 30) & 0x1) == 0)
7086 {
7087 if (((word >> 31) & 0x1) == 0)
7088 {
7089 if (((word >> 4) & 0x1) == 0)
7090 {
7091 /* 33222222222211111111110000000000
7092 10987654321098765432109876543210
7684e580 7093 001001x0xx1xxxxxxx0xxxxxxxx0xxxx
582e12bf 7094 cmphs. */
fb3265b3 7095 return 1322;
582e12bf
RS
7096 }
7097 else
7098 {
7099 /* 33222222222211111111110000000000
7100 10987654321098765432109876543210
7684e580 7101 001001x0xx1xxxxxxx0xxxxxxxx1xxxx
582e12bf 7102 cmphi. */
fb3265b3 7103 return 1319;
582e12bf
RS
7104 }
7105 }
7106 else
7107 {
7108 if (((word >> 15) & 0x1) == 0)
7109 {
7110 if (((word >> 22) & 0x1) == 0)
7111 {
7112 if (((word >> 23) & 0x1) == 0)
7113 {
7114 /* 33222222222211111111110000000000
7115 10987654321098765432109876543210
7684e580 7116 101001x0001xxxxx0x0xxxxxxxxxxxxx
582e12bf 7117 ld1b. */
fb3265b3 7118 return 1493;
582e12bf
RS
7119 }
7120 else
7121 {
7122 /* 33222222222211111111110000000000
7123 10987654321098765432109876543210
7684e580 7124 101001x0101xxxxx0x0xxxxxxxxxxxxx
582e12bf 7125 ld1h. */
fb3265b3 7126 return 1513;
582e12bf
RS
7127 }
7128 }
7129 else
7130 {
7131 if (((word >> 23) & 0x1) == 0)
7132 {
7133 /* 33222222222211111111110000000000
7134 10987654321098765432109876543210
7684e580 7135 101001x0011xxxxx0x0xxxxxxxxxxxxx
582e12bf 7136 ld1b. */
fb3265b3 7137 return 1495;
582e12bf
RS
7138 }
7139 else
7140 {
7141 /* 33222222222211111111110000000000
7142 10987654321098765432109876543210
7684e580 7143 101001x0111xxxxx0x0xxxxxxxxxxxxx
582e12bf 7144 ld1h. */
fb3265b3 7145 return 1515;
582e12bf
RS
7146 }
7147 }
7148 }
7149 else
7150 {
7151 if (((word >> 22) & 0x1) == 0)
7152 {
7153 if (((word >> 23) & 0x1) == 0)
7154 {
7155 /* 33222222222211111111110000000000
7156 10987654321098765432109876543210
7684e580 7157 101001x0001xxxxx1x0xxxxxxxxxxxxx
582e12bf 7158 ld2b. */
fb3265b3 7159 return 1591;
582e12bf
RS
7160 }
7161 else
7162 {
7163 /* 33222222222211111111110000000000
7164 10987654321098765432109876543210
7684e580 7165 101001x0101xxxxx1x0xxxxxxxxxxxxx
582e12bf 7166 ld2h. */
fb3265b3 7167 return 1595;
582e12bf
RS
7168 }
7169 }
7170 else
7171 {
7172 if (((word >> 23) & 0x1) == 0)
7173 {
7174 /* 33222222222211111111110000000000
7175 10987654321098765432109876543210
7684e580 7176 101001x0011xxxxx1x0xxxxxxxxxxxxx
582e12bf 7177 ld4b. */
fb3265b3 7178 return 1607;
582e12bf
RS
7179 }
7180 else
7181 {
7182 /* 33222222222211111111110000000000
7183 10987654321098765432109876543210
7684e580 7184 101001x0111xxxxx1x0xxxxxxxxxxxxx
582e12bf 7185 ld4h. */
fb3265b3 7186 return 1611;
582e12bf
RS
7187 }
7188 }
7189 }
7190 }
7191 }
7192 else
7193 {
7194 if (((word >> 15) & 0x1) == 0)
7195 {
7196 if (((word >> 14) & 0x1) == 0)
7197 {
7198 if (((word >> 12) & 0x1) == 0)
7199 {
7200 if (((word >> 10) & 0x1) == 0)
7201 {
7202 if (((word >> 23) & 0x1) == 0)
7203 {
7204 /* 33222222222211111111110000000000
7205 10987654321098765432109876543210
7684e580 7206 x11001x00x1xxxxx0000x0xxxxxxxxxx
582e12bf 7207 fmla. */
fb3265b3 7208 return 1430;
582e12bf
RS
7209 }
7210 else
7211 {
7212 if (((word >> 22) & 0x1) == 0)
7213 {
7214 /* 33222222222211111111110000000000
7215 10987654321098765432109876543210
7684e580 7216 x11001x0101xxxxx0000x0xxxxxxxxxx
582e12bf 7217 fmla. */
fb3265b3 7218 return 1431;
582e12bf
RS
7219 }
7220 else
7221 {
7222 /* 33222222222211111111110000000000
7223 10987654321098765432109876543210
7684e580 7224 x11001x0111xxxxx0000x0xxxxxxxxxx
582e12bf 7225 fmla. */
fb3265b3 7226 return 1432;
582e12bf
RS
7227 }
7228 }
7229 }
7230 else
7231 {
7232 if (((word >> 23) & 0x1) == 0)
7233 {
7234 /* 33222222222211111111110000000000
7235 10987654321098765432109876543210
7684e580 7236 x11001x00x1xxxxx0000x1xxxxxxxxxx
582e12bf 7237 fmls. */
fb3265b3 7238 return 1434;
582e12bf
RS
7239 }
7240 else
7241 {
7242 if (((word >> 22) & 0x1) == 0)
7243 {
7244 /* 33222222222211111111110000000000
7245 10987654321098765432109876543210
7684e580 7246 x11001x0101xxxxx0000x1xxxxxxxxxx
582e12bf 7247 fmls. */
fb3265b3 7248 return 1435;
582e12bf
RS
7249 }
7250 else
7251 {
7252 /* 33222222222211111111110000000000
7253 10987654321098765432109876543210
7684e580 7254 x11001x0111xxxxx0000x1xxxxxxxxxx
582e12bf 7255 fmls. */
fb3265b3 7256 return 1436;
582e12bf 7257 }
c0890d26
RS
7258 }
7259 }
7260 }
582e12bf
RS
7261 else
7262 {
7263 if (((word >> 22) & 0x1) == 0)
7264 {
7265 /* 33222222222211111111110000000000
7266 10987654321098765432109876543210
7684e580 7267 x11001x0x01xxxxx0001xxxxxxxxxxxx
582e12bf 7268 fcmla. */
fb3265b3 7269 return 1378;
582e12bf
RS
7270 }
7271 else
7272 {
7273 /* 33222222222211111111110000000000
7274 10987654321098765432109876543210
7684e580 7275 x11001x0x11xxxxx0001xxxxxxxxxxxx
582e12bf 7276 fcmla. */
fb3265b3 7277 return 1379;
582e12bf
RS
7278 }
7279 }
7280 }
7281 else
7282 {
7283 if (((word >> 22) & 0x1) == 0)
7284 {
7285 if (((word >> 23) & 0x1) == 0)
7286 {
7287 /* 33222222222211111111110000000000
7288 10987654321098765432109876543210
7684e580 7289 x11001x0001xxxxx010xxxxxxxxxxxxx
582e12bf 7290 st1b. */
fb3265b3 7291 return 1857;
582e12bf
RS
7292 }
7293 else
7294 {
7295 /* 33222222222211111111110000000000
7296 10987654321098765432109876543210
7684e580 7297 x11001x0101xxxxx010xxxxxxxxxxxxx
582e12bf 7298 st1h. */
fb3265b3 7299 return 1876;
582e12bf
RS
7300 }
7301 }
7302 else
7303 {
7304 if (((word >> 23) & 0x1) == 0)
7305 {
7306 /* 33222222222211111111110000000000
7307 10987654321098765432109876543210
7684e580 7308 x11001x0011xxxxx010xxxxxxxxxxxxx
582e12bf 7309 st1b. */
fb3265b3 7310 return 1860;
582e12bf
RS
7311 }
7312 else
7313 {
7314 /* 33222222222211111111110000000000
7315 10987654321098765432109876543210
7684e580 7316 x11001x0111xxxxx010xxxxxxxxxxxxx
582e12bf 7317 st1h. */
fb3265b3 7318 return 1881;
582e12bf
RS
7319 }
7320 }
7321 }
7322 }
7323 else
7324 {
7325 if (((word >> 22) & 0x1) == 0)
7326 {
7327 /* 33222222222211111111110000000000
7328 10987654321098765432109876543210
7684e580 7329 x11001x0x01xxxxx1x0xxxxxxxxxxxxx
582e12bf 7330 st1h. */
fb3265b3 7331 return 1877;
582e12bf
RS
7332 }
7333 else
7334 {
7335 /* 33222222222211111111110000000000
7336 10987654321098765432109876543210
7684e580 7337 x11001x0x11xxxxx1x0xxxxxxxxxxxxx
582e12bf 7338 st1h. */
fb3265b3 7339 return 1882;
c0890d26
RS
7340 }
7341 }
7342 }
7343 }
7344 else
7345 {
7346 if (((word >> 30) & 0x1) == 0)
7347 {
7348 if (((word >> 31) & 0x1) == 0)
7349 {
7350 if (((word >> 4) & 0x1) == 0)
7351 {
7352 /* 33222222222211111111110000000000
7353 10987654321098765432109876543210
7684e580 7354 001001x0xx1xxxxxxx1xxxxxxxx0xxxx
c0890d26 7355 cmplo. */
fb3265b3 7356 return 1326;
c0890d26
RS
7357 }
7358 else
7359 {
7360 /* 33222222222211111111110000000000
7361 10987654321098765432109876543210
7684e580 7362 001001x0xx1xxxxxxx1xxxxxxxx1xxxx
c0890d26 7363 cmpls. */
fb3265b3 7364 return 1328;
c0890d26
RS
7365 }
7366 }
7367 else
7368 {
7369 if (((word >> 14) & 0x1) == 0)
7370 {
7371 if (((word >> 20) & 0x1) == 0)
7372 {
7373 if (((word >> 22) & 0x1) == 0)
7374 {
7375 if (((word >> 23) & 0x1) == 0)
7376 {
7377 /* 33222222222211111111110000000000
7378 10987654321098765432109876543210
7684e580 7379 101001x00010xxxxx01xxxxxxxxxxxxx
c0890d26 7380 ld1b. */
fb3265b3 7381 return 1500;
c0890d26
RS
7382 }
7383 else
7384 {
7385 /* 33222222222211111111110000000000
7386 10987654321098765432109876543210
7684e580 7387 101001x01010xxxxx01xxxxxxxxxxxxx
c0890d26 7388 ld1h. */
fb3265b3 7389 return 1521;
c0890d26
RS
7390 }
7391 }
7392 else
7393 {
7394 if (((word >> 23) & 0x1) == 0)
7395 {
7396 /* 33222222222211111111110000000000
7397 10987654321098765432109876543210
7684e580 7398 101001x00110xxxxx01xxxxxxxxxxxxx
c0890d26 7399 ld1b. */
fb3265b3 7400 return 1502;
c0890d26
RS
7401 }
7402 else
7403 {
7404 /* 33222222222211111111110000000000
7405 10987654321098765432109876543210
7684e580 7406 101001x01110xxxxx01xxxxxxxxxxxxx
c0890d26 7407 ld1h. */
fb3265b3 7408 return 1523;
c0890d26
RS
7409 }
7410 }
7411 }
7412 else
7413 {
7414 if (((word >> 22) & 0x1) == 0)
7415 {
7416 if (((word >> 23) & 0x1) == 0)
7417 {
7418 /* 33222222222211111111110000000000
7419 10987654321098765432109876543210
7684e580 7420 101001x00011xxxxx01xxxxxxxxxxxxx
c0890d26 7421 ldnf1b. */
fb3265b3 7422 return 1692;
c0890d26
RS
7423 }
7424 else
7425 {
7426 /* 33222222222211111111110000000000
7427 10987654321098765432109876543210
7684e580 7428 101001x01011xxxxx01xxxxxxxxxxxxx
c0890d26 7429 ldnf1h. */
fb3265b3 7430 return 1696;
c0890d26
RS
7431 }
7432 }
7433 else
7434 {
7435 if (((word >> 23) & 0x1) == 0)
7436 {
7437 /* 33222222222211111111110000000000
7438 10987654321098765432109876543210
7684e580 7439 101001x00111xxxxx01xxxxxxxxxxxxx
c0890d26 7440 ldnf1b. */
fb3265b3 7441 return 1694;
c0890d26
RS
7442 }
7443 else
7444 {
7445 /* 33222222222211111111110000000000
7446 10987654321098765432109876543210
7684e580 7447 101001x01111xxxxx01xxxxxxxxxxxxx
c0890d26 7448 ldnf1h. */
fb3265b3 7449 return 1698;
c0890d26
RS
7450 }
7451 }
7452 }
7453 }
7454 else
7455 {
7456 if (((word >> 15) & 0x1) == 0)
7457 {
7458 if (((word >> 22) & 0x1) == 0)
7459 {
7460 if (((word >> 23) & 0x1) == 0)
7461 {
7462 /* 33222222222211111111110000000000
7463 10987654321098765432109876543210
7684e580 7464 101001x0001xxxxx011xxxxxxxxxxxxx
c0890d26 7465 ldff1b. */
fb3265b3 7466 return 1618;
c0890d26
RS
7467 }
7468 else
7469 {
7470 /* 33222222222211111111110000000000
7471 10987654321098765432109876543210
7684e580 7472 101001x0101xxxxx011xxxxxxxxxxxxx
c0890d26 7473 ldff1h. */
fb3265b3 7474 return 1637;
c0890d26
RS
7475 }
7476 }
7477 else
7478 {
7479 if (((word >> 23) & 0x1) == 0)
7480 {
7481 /* 33222222222211111111110000000000
7482 10987654321098765432109876543210
7684e580 7483 101001x0011xxxxx011xxxxxxxxxxxxx
c0890d26 7484 ldff1b. */
fb3265b3 7485 return 1622;
c0890d26
RS
7486 }
7487 else
7488 {
7489 /* 33222222222211111111110000000000
7490 10987654321098765432109876543210
7684e580 7491 101001x0111xxxxx011xxxxxxxxxxxxx
c0890d26 7492 ldff1h. */
fb3265b3 7493 return 1641;
c0890d26
RS
7494 }
7495 }
7496 }
7497 else
7498 {
7499 if (((word >> 22) & 0x1) == 0)
7500 {
7501 if (((word >> 23) & 0x1) == 0)
7502 {
7503 /* 33222222222211111111110000000000
7504 10987654321098765432109876543210
7684e580 7505 101001x0001xxxxx111xxxxxxxxxxxxx
c0890d26 7506 ld2b. */
fb3265b3 7507 return 1592;
c0890d26
RS
7508 }
7509 else
7510 {
7511 /* 33222222222211111111110000000000
7512 10987654321098765432109876543210
7684e580 7513 101001x0101xxxxx111xxxxxxxxxxxxx
c0890d26 7514 ld2h. */
fb3265b3 7515 return 1596;
c0890d26
RS
7516 }
7517 }
7518 else
7519 {
7520 if (((word >> 23) & 0x1) == 0)
7521 {
7522 /* 33222222222211111111110000000000
7523 10987654321098765432109876543210
7684e580 7524 101001x0011xxxxx111xxxxxxxxxxxxx
c0890d26 7525 ld4b. */
fb3265b3 7526 return 1608;
c0890d26
RS
7527 }
7528 else
7529 {
7530 /* 33222222222211111111110000000000
7531 10987654321098765432109876543210
7684e580 7532 101001x0111xxxxx111xxxxxxxxxxxxx
c0890d26 7533 ld4h. */
fb3265b3 7534 return 1612;
c0890d26
RS
7535 }
7536 }
7537 }
7538 }
7539 }
7540 }
7541 else
7542 {
7543 if (((word >> 14) & 0x1) == 0)
7544 {
582e12bf 7545 if (((word >> 15) & 0x1) == 0)
c0890d26
RS
7546 {
7547 if (((word >> 23) & 0x1) == 0)
7548 {
7549 /* 33222222222211111111110000000000
7550 10987654321098765432109876543210
7684e580 7551 x11001x00x1xxxxx001xxxxxxxxxxxxx
582e12bf 7552 fmul. */
fb3265b3 7553 return 1441;
c0890d26
RS
7554 }
7555 else
582e12bf
RS
7556 {
7557 if (((word >> 22) & 0x1) == 0)
7558 {
7559 /* 33222222222211111111110000000000
7560 10987654321098765432109876543210
7684e580 7561 x11001x0101xxxxx001xxxxxxxxxxxxx
582e12bf 7562 fmul. */
fb3265b3 7563 return 1442;
582e12bf
RS
7564 }
7565 else
7566 {
7567 /* 33222222222211111111110000000000
7568 10987654321098765432109876543210
7684e580 7569 x11001x0111xxxxx001xxxxxxxxxxxxx
582e12bf 7570 fmul. */
fb3265b3 7571 return 1443;
582e12bf
RS
7572 }
7573 }
7574 }
7575 else
7576 {
7577 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
7578 {
7579 /* 33222222222211111111110000000000
7580 10987654321098765432109876543210
7684e580 7581 x11001x0x01xxxxx101xxxxxxxxxxxxx
c0890d26 7582 st1h. */
fb3265b3 7583 return 1878;
582e12bf
RS
7584 }
7585 else
7586 {
7587 if (((word >> 23) & 0x1) == 0)
7588 {
7589 /* 33222222222211111111110000000000
7590 10987654321098765432109876543210
7684e580 7591 x11001x0011xxxxx101xxxxxxxxxxxxx
582e12bf 7592 st1b. */
fb3265b3 7593 return 1865;
582e12bf
RS
7594 }
7595 else
7596 {
7597 /* 33222222222211111111110000000000
7598 10987654321098765432109876543210
7684e580 7599 x11001x0111xxxxx101xxxxxxxxxxxxx
582e12bf 7600 st1h. */
fb3265b3 7601 return 1886;
582e12bf 7602 }
c0890d26
RS
7603 }
7604 }
7605 }
7606 else
7607 {
7608 if (((word >> 15) & 0x1) == 0)
7609 {
7610 if (((word >> 22) & 0x1) == 0)
7611 {
7612 if (((word >> 23) & 0x1) == 0)
7613 {
7614 /* 33222222222211111111110000000000
7615 10987654321098765432109876543210
7684e580 7616 x11001x0001xxxxx011xxxxxxxxxxxxx
c0890d26 7617 st2b. */
fb3265b3 7618 return 1900;
c0890d26
RS
7619 }
7620 else
7621 {
7622 /* 33222222222211111111110000000000
7623 10987654321098765432109876543210
7684e580 7624 x11001x0101xxxxx011xxxxxxxxxxxxx
c0890d26 7625 st2h. */
fb3265b3 7626 return 1904;
c0890d26
RS
7627 }
7628 }
7629 else
7630 {
7631 if (((word >> 23) & 0x1) == 0)
7632 {
7633 /* 33222222222211111111110000000000
7634 10987654321098765432109876543210
7684e580 7635 x11001x0011xxxxx011xxxxxxxxxxxxx
c0890d26 7636 st4b. */
fb3265b3 7637 return 1916;
c0890d26
RS
7638 }
7639 else
7640 {
7641 /* 33222222222211111111110000000000
7642 10987654321098765432109876543210
7684e580 7643 x11001x0111xxxxx011xxxxxxxxxxxxx
c0890d26 7644 st4h. */
fb3265b3 7645 return 1920;
c0890d26
RS
7646 }
7647 }
7648 }
7649 else
7650 {
7651 if (((word >> 20) & 0x1) == 0)
7652 {
7653 if (((word >> 22) & 0x1) == 0)
7654 {
7655 if (((word >> 23) & 0x1) == 0)
7656 {
7657 /* 33222222222211111111110000000000
7658 10987654321098765432109876543210
7684e580 7659 x11001x00010xxxx111xxxxxxxxxxxxx
c0890d26 7660 st1b. */
fb3265b3 7661 return 1862;
c0890d26
RS
7662 }
7663 else
7664 {
7665 /* 33222222222211111111110000000000
7666 10987654321098765432109876543210
7684e580 7667 x11001x01010xxxx111xxxxxxxxxxxxx
c0890d26 7668 st1h. */
fb3265b3 7669 return 1883;
c0890d26
RS
7670 }
7671 }
7672 else
7673 {
7674 if (((word >> 23) & 0x1) == 0)
7675 {
7676 /* 33222222222211111111110000000000
7677 10987654321098765432109876543210
7684e580 7678 x11001x00110xxxx111xxxxxxxxxxxxx
c0890d26 7679 st1b. */
fb3265b3 7680 return 1866;
c0890d26
RS
7681 }
7682 else
7683 {
7684 /* 33222222222211111111110000000000
7685 10987654321098765432109876543210
7684e580 7686 x11001x01110xxxx111xxxxxxxxxxxxx
c0890d26 7687 st1h. */
fb3265b3 7688 return 1887;
c0890d26
RS
7689 }
7690 }
7691 }
7692 else
7693 {
7694 if (((word >> 22) & 0x1) == 0)
7695 {
7696 if (((word >> 23) & 0x1) == 0)
7697 {
7698 /* 33222222222211111111110000000000
7699 10987654321098765432109876543210
7684e580 7700 x11001x00011xxxx111xxxxxxxxxxxxx
c0890d26 7701 st2b. */
fb3265b3 7702 return 1901;
c0890d26
RS
7703 }
7704 else
7705 {
7706 /* 33222222222211111111110000000000
7707 10987654321098765432109876543210
7684e580 7708 x11001x01011xxxx111xxxxxxxxxxxxx
c0890d26 7709 st2h. */
fb3265b3 7710 return 1905;
c0890d26
RS
7711 }
7712 }
7713 else
7714 {
7715 if (((word >> 23) & 0x1) == 0)
7716 {
7717 /* 33222222222211111111110000000000
7718 10987654321098765432109876543210
7684e580 7719 x11001x00111xxxx111xxxxxxxxxxxxx
c0890d26 7720 st4b. */
fb3265b3 7721 return 1917;
c0890d26
RS
7722 }
7723 else
7724 {
7725 /* 33222222222211111111110000000000
7726 10987654321098765432109876543210
7684e580 7727 x11001x01111xxxx111xxxxxxxxxxxxx
c0890d26 7728 st4h. */
fb3265b3 7729 return 1921;
c0890d26
RS
7730 }
7731 }
7732 }
7733 }
7734 }
7735 }
7736 }
7737 }
7738 }
7739 }
7740 else
7741 {
7742 if (((word >> 29) & 0x1) == 0)
7743 {
7744 if (((word >> 30) & 0x1) == 0)
7745 {
7746 if (((word >> 31) & 0x1) == 0)
7747 {
7748 if (((word >> 21) & 0x1) == 0)
7749 {
7750 if (((word >> 20) & 0x1) == 0)
7751 {
7752 if (((word >> 22) & 0x1) == 0)
7753 {
7754 if (((word >> 23) & 0x1) == 0)
7755 {
7756 /* 33222222222211111111110000000000
7757 10987654321098765432109876543210
7684e580 7758 000001x10000xxxxxxxxxxxxxxxxxxxx
c0890d26 7759 orr. */
fb3265b3 7760 return 1746;
c0890d26
RS
7761 }
7762 else
7763 {
7764 /* 33222222222211111111110000000000
7765 10987654321098765432109876543210
7684e580 7766 000001x11000xxxxxxxxxxxxxxxxxxxx
c0890d26 7767 and. */
fb3265b3 7768 return 1274;
c0890d26
RS
7769 }
7770 }
7771 else
7772 {
7773 if (((word >> 23) & 0x1) == 0)
7774 {
7775 /* 33222222222211111111110000000000
7776 10987654321098765432109876543210
7684e580 7777 000001x10100xxxxxxxxxxxxxxxxxxxx
c0890d26 7778 eor. */
fb3265b3 7779 return 1361;
c0890d26
RS
7780 }
7781 else
7782 {
7783 /* 33222222222211111111110000000000
7784 10987654321098765432109876543210
7684e580 7785 000001x11100xxxxxxxxxxxxxxxxxxxx
c0890d26 7786 dupm. */
fb3265b3 7787 return 1359;
c0890d26
RS
7788 }
7789 }
7790 }
7791 else
7792 {
7793 if (((word >> 15) & 0x1) == 0)
7794 {
7795 /* 33222222222211111111110000000000
7796 10987654321098765432109876543210
7684e580 7797 000001x1xx01xxxx0xxxxxxxxxxxxxxx
c0890d26 7798 cpy. */
fb3265b3 7799 return 1344;
c0890d26
RS
7800 }
7801 else
7802 {
7803 /* 33222222222211111111110000000000
7804 10987654321098765432109876543210
7684e580 7805 000001x1xx01xxxx1xxxxxxxxxxxxxxx
c0890d26 7806 fcpy. */
fb3265b3 7807 return 1391;
c0890d26
RS
7808 }
7809 }
7810 }
7811 else
7812 {
7813 if (((word >> 14) & 0x1) == 0)
7814 {
7815 if (((word >> 13) & 0x1) == 0)
7816 {
7817 if (((word >> 15) & 0x1) == 0)
7818 {
7819 /* 33222222222211111111110000000000
7820 10987654321098765432109876543210
7684e580 7821 000001x1xx1xxxxx000xxxxxxxxxxxxx
c0890d26 7822 ext. */
fb3265b3 7823 return 1366;
c0890d26
RS
7824 }
7825 else
7826 {
7827 if (((word >> 16) & 0x1) == 0)
7828 {
7829 if (((word >> 17) & 0x1) == 0)
7830 {
7831 if (((word >> 18) & 0x1) == 0)
7832 {
7833 if (((word >> 19) & 0x1) == 0)
7834 {
7835 /* 33222222222211111111110000000000
7836 10987654321098765432109876543210
7684e580 7837 000001x1xx1x0000100xxxxxxxxxxxxx
c0890d26 7838 cpy. */
fb3265b3 7839 return 1342;
c0890d26
RS
7840 }
7841 else
7842 {
7843 /* 33222222222211111111110000000000
7844 10987654321098765432109876543210
7684e580 7845 000001x1xx1x1000100xxxxxxxxxxxxx
c0890d26 7846 clasta. */
fb3265b3 7847 return 1300;
c0890d26
RS
7848 }
7849 }
7850 else
7851 {
7852 if (((word >> 19) & 0x1) == 0)
7853 {
7854 /* 33222222222211111111110000000000
7855 10987654321098765432109876543210
7684e580 7856 000001x1xx1x0100100xxxxxxxxxxxxx
c0890d26 7857 revb. */
fb3265b3 7858 return 1794;
c0890d26
RS
7859 }
7860 else
7861 {
7862 /* 33222222222211111111110000000000
7863 10987654321098765432109876543210
7684e580 7864 000001x1xx1x1100100xxxxxxxxxxxxx
c0890d26 7865 splice. */
fb3265b3 7866 return 1821;
c0890d26
RS
7867 }
7868 }
7869 }
7870 else
7871 {
7872 if (((word >> 18) & 0x1) == 0)
7873 {
7874 if (((word >> 19) & 0x1) == 0)
7875 {
7876 /* 33222222222211111111110000000000
7877 10987654321098765432109876543210
7684e580 7878 000001x1xx1x0010100xxxxxxxxxxxxx
c0890d26 7879 lasta. */
fb3265b3 7880 return 1488;
c0890d26
RS
7881 }
7882 else
7883 {
7884 /* 33222222222211111111110000000000
7885 10987654321098765432109876543210
7684e580 7886 000001x1xx1x1010100xxxxxxxxxxxxx
c0890d26 7887 clasta. */
fb3265b3 7888 return 1301;
c0890d26
RS
7889 }
7890 }
7891 else
7892 {
7893 /* 33222222222211111111110000000000
7894 10987654321098765432109876543210
7684e580 7895 000001x1xx1xx110100xxxxxxxxxxxxx
c0890d26 7896 revw. */
fb3265b3 7897 return 1796;
c0890d26
RS
7898 }
7899 }
7900 }
7901 else
7902 {
7903 if (((word >> 17) & 0x1) == 0)
7904 {
7905 if (((word >> 18) & 0x1) == 0)
7906 {
7907 if (((word >> 19) & 0x1) == 0)
7908 {
7909 /* 33222222222211111111110000000000
7910 10987654321098765432109876543210
7684e580 7911 000001x1xx1x0001100xxxxxxxxxxxxx
c0890d26 7912 compact. */
fb3265b3 7913 return 1341;
c0890d26
RS
7914 }
7915 else
7916 {
7917 /* 33222222222211111111110000000000
7918 10987654321098765432109876543210
7684e580 7919 000001x1xx1x1001100xxxxxxxxxxxxx
c0890d26 7920 clastb. */
fb3265b3 7921 return 1303;
c0890d26
RS
7922 }
7923 }
7924 else
7925 {
7926 /* 33222222222211111111110000000000
7927 10987654321098765432109876543210
7684e580 7928 000001x1xx1xx101100xxxxxxxxxxxxx
c0890d26 7929 revh. */
fb3265b3 7930 return 1795;
c0890d26
RS
7931 }
7932 }
7933 else
7934 {
7935 if (((word >> 18) & 0x1) == 0)
7936 {
7937 if (((word >> 19) & 0x1) == 0)
7938 {
7939 /* 33222222222211111111110000000000
7940 10987654321098765432109876543210
7684e580 7941 000001x1xx1x0011100xxxxxxxxxxxxx
c0890d26 7942 lastb. */
fb3265b3 7943 return 1490;
c0890d26
RS
7944 }
7945 else
7946 {
7947 /* 33222222222211111111110000000000
7948 10987654321098765432109876543210
7684e580 7949 000001x1xx1x1011100xxxxxxxxxxxxx
c0890d26 7950 clastb. */
fb3265b3 7951 return 1304;
c0890d26
RS
7952 }
7953 }
7954 else
7955 {
7956 /* 33222222222211111111110000000000
7957 10987654321098765432109876543210
7684e580 7958 000001x1xx1xx111100xxxxxxxxxxxxx
c0890d26 7959 rbit. */
fb3265b3 7960 return 1787;
c0890d26
RS
7961 }
7962 }
7963 }
7964 }
7965 }
7966 else
7967 {
7968 if (((word >> 15) & 0x1) == 0)
7969 {
7970 if (((word >> 11) & 0x1) == 0)
7971 {
7972 if (((word >> 12) & 0x1) == 0)
7973 {
7974 /* 33222222222211111111110000000000
7975 10987654321098765432109876543210
7684e580 7976 000001x1xx1xxxxx00100xxxxxxxxxxx
c0890d26 7977 dup. */
fb3265b3 7978 return 1357;
c0890d26
RS
7979 }
7980 else
7981 {
7982 /* 33222222222211111111110000000000
7983 10987654321098765432109876543210
7684e580 7984 000001x1xx1xxxxx00110xxxxxxxxxxx
c0890d26 7985 tbl. */
fb3265b3 7986 return 1944;
c0890d26
RS
7987 }
7988 }
7989 else
7990 {
7991 if (((word >> 16) & 0x1) == 0)
7992 {
7993 if (((word >> 17) & 0x1) == 0)
7994 {
7995 if (((word >> 18) & 0x1) == 0)
7996 {
7997 if (((word >> 19) & 0x1) == 0)
7998 {
7999 if (((word >> 20) & 0x1) == 0)
8000 {
8001 /* 33222222222211111111110000000000
8002 10987654321098765432109876543210
7684e580 8003 000001x1xx100000001x1xxxxxxxxxxx
c0890d26 8004 dup. */
fb3265b3 8005 return 1356;
c0890d26
RS
8006 }
8007 else
8008 {
8009 /* 33222222222211111111110000000000
8010 10987654321098765432109876543210
7684e580 8011 000001x1xx110000001x1xxxxxxxxxxx
c0890d26 8012 sunpklo. */
fb3265b3 8013 return 1940;
c0890d26
RS
8014 }
8015 }
8016 else
8017 {
8018 /* 33222222222211111111110000000000
8019 10987654321098765432109876543210
7684e580 8020 000001x1xx1x1000001x1xxxxxxxxxxx
c0890d26 8021 rev. */
fb3265b3 8022 return 1793;
c0890d26
RS
8023 }
8024 }
8025 else
8026 {
8027 if (((word >> 20) & 0x1) == 0)
8028 {
8029 /* 33222222222211111111110000000000
8030 10987654321098765432109876543210
7684e580 8031 000001x1xx10x100001x1xxxxxxxxxxx
c0890d26 8032 insr. */
fb3265b3 8033 return 1485;
c0890d26
RS
8034 }
8035 else
8036 {
8037 /* 33222222222211111111110000000000
8038 10987654321098765432109876543210
7684e580 8039 000001x1xx11x100001x1xxxxxxxxxxx
c0890d26 8040 insr. */
fb3265b3 8041 return 1486;
c0890d26
RS
8042 }
8043 }
8044 }
8045 else
8046 {
8047 /* 33222222222211111111110000000000
8048 10987654321098765432109876543210
7684e580 8049 000001x1xx1xxx10001x1xxxxxxxxxxx
c0890d26 8050 uunpklo. */
fb3265b3 8051 return 2003;
c0890d26
RS
8052 }
8053 }
8054 else
8055 {
8056 if (((word >> 17) & 0x1) == 0)
8057 {
8058 /* 33222222222211111111110000000000
8059 10987654321098765432109876543210
7684e580 8060 000001x1xx1xxx01001x1xxxxxxxxxxx
c0890d26 8061 sunpkhi. */
fb3265b3 8062 return 1939;
c0890d26
RS
8063 }
8064 else
8065 {
8066 /* 33222222222211111111110000000000
8067 10987654321098765432109876543210
7684e580 8068 000001x1xx1xxx11001x1xxxxxxxxxxx
c0890d26 8069 uunpkhi. */
fb3265b3 8070 return 2002;
c0890d26
RS
8071 }
8072 }
8073 }
8074 }
8075 else
8076 {
8077 if (((word >> 16) & 0x1) == 0)
8078 {
8079 if (((word >> 19) & 0x1) == 0)
8080 {
8081 if (((word >> 20) & 0x1) == 0)
8082 {
8083 /* 33222222222211111111110000000000
8084 10987654321098765432109876543210
7684e580 8085 000001x1xx100xx0101xxxxxxxxxxxxx
c0890d26 8086 lasta. */
fb3265b3 8087 return 1487;
c0890d26
RS
8088 }
8089 else
8090 {
8091 /* 33222222222211111111110000000000
8092 10987654321098765432109876543210
7684e580 8093 000001x1xx110xx0101xxxxxxxxxxxxx
c0890d26 8094 clasta. */
fb3265b3 8095 return 1302;
c0890d26
RS
8096 }
8097 }
8098 else
8099 {
8100 /* 33222222222211111111110000000000
8101 10987654321098765432109876543210
7684e580 8102 000001x1xx1x1xx0101xxxxxxxxxxxxx
c0890d26 8103 cpy. */
fb3265b3 8104 return 1343;
c0890d26
RS
8105 }
8106 }
8107 else
8108 {
8109 if (((word >> 20) & 0x1) == 0)
8110 {
8111 /* 33222222222211111111110000000000
8112 10987654321098765432109876543210
7684e580 8113 000001x1xx10xxx1101xxxxxxxxxxxxx
c0890d26 8114 lastb. */
fb3265b3 8115 return 1489;
c0890d26
RS
8116 }
8117 else
8118 {
8119 /* 33222222222211111111110000000000
8120 10987654321098765432109876543210
7684e580 8121 000001x1xx11xxx1101xxxxxxxxxxxxx
c0890d26 8122 clastb. */
fb3265b3 8123 return 1305;
c0890d26
RS
8124 }
8125 }
8126 }
8127 }
8128 }
8129 else
8130 {
8131 if (((word >> 15) & 0x1) == 0)
8132 {
8133 if (((word >> 10) & 0x1) == 0)
8134 {
8135 if (((word >> 11) & 0x1) == 0)
8136 {
8137 if (((word >> 12) & 0x1) == 0)
8138 {
8139 if (((word >> 13) & 0x1) == 0)
8140 {
8141 if (((word >> 20) & 0x1) == 0)
8142 {
8143 /* 33222222222211111111110000000000
8144 10987654321098765432109876543210
7684e580 8145 000001x1xx10xxxx010000xxxxxxxxxx
c0890d26 8146 zip1. */
fb3265b3 8147 return 2020;
c0890d26
RS
8148 }
8149 else
8150 {
8151 if (((word >> 16) & 0x1) == 0)
8152 {
8153 if (((word >> 18) & 0x1) == 0)
8154 {
8155 /* 33222222222211111111110000000000
8156 10987654321098765432109876543210
7684e580 8157 000001x1xx11x0x0010000xxxxxxxxxx
c0890d26 8158 punpklo. */
fb3265b3 8159 return 1786;
c0890d26
RS
8160 }
8161 else
8162 {
8163 /* 33222222222211111111110000000000
8164 10987654321098765432109876543210
7684e580 8165 000001x1xx11x1x0010000xxxxxxxxxx
c0890d26 8166 rev. */
fb3265b3 8167 return 1792;
c0890d26
RS
8168 }
8169 }
8170 else
8171 {
8172 /* 33222222222211111111110000000000
8173 10987654321098765432109876543210
7684e580 8174 000001x1xx11xxx1010000xxxxxxxxxx
c0890d26 8175 punpkhi. */
fb3265b3 8176 return 1785;
c0890d26
RS
8177 }
8178 }
8179 }
8180 else
8181 {
8182 /* 33222222222211111111110000000000
8183 10987654321098765432109876543210
7684e580 8184 000001x1xx1xxxxx011000xxxxxxxxxx
c0890d26 8185 zip1. */
fb3265b3 8186 return 2021;
c0890d26
RS
8187 }
8188 }
8189 else
8190 {
8191 if (((word >> 13) & 0x1) == 0)
8192 {
8193 /* 33222222222211111111110000000000
8194 10987654321098765432109876543210
7684e580 8195 000001x1xx1xxxxx010100xxxxxxxxxx
c0890d26 8196 trn1. */
fb3265b3 8197 return 1945;
c0890d26
RS
8198 }
8199 else
8200 {
8201 /* 33222222222211111111110000000000
8202 10987654321098765432109876543210
7684e580 8203 000001x1xx1xxxxx011100xxxxxxxxxx
c0890d26 8204 trn1. */
fb3265b3 8205 return 1946;
c0890d26
RS
8206 }
8207 }
8208 }
8209 else
8210 {
8211 if (((word >> 13) & 0x1) == 0)
8212 {
8213 /* 33222222222211111111110000000000
8214 10987654321098765432109876543210
7684e580 8215 000001x1xx1xxxxx010x10xxxxxxxxxx
c0890d26 8216 uzp1. */
fb3265b3 8217 return 2007;
c0890d26
RS
8218 }
8219 else
8220 {
8221 /* 33222222222211111111110000000000
8222 10987654321098765432109876543210
7684e580 8223 000001x1xx1xxxxx011x10xxxxxxxxxx
c0890d26 8224 uzp1. */
fb3265b3 8225 return 2008;
c0890d26
RS
8226 }
8227 }
8228 }
8229 else
8230 {
8231 if (((word >> 11) & 0x1) == 0)
8232 {
8233 if (((word >> 12) & 0x1) == 0)
8234 {
8235 if (((word >> 13) & 0x1) == 0)
8236 {
8237 /* 33222222222211111111110000000000
8238 10987654321098765432109876543210
7684e580 8239 000001x1xx1xxxxx010001xxxxxxxxxx
c0890d26 8240 zip2. */
fb3265b3 8241 return 2022;
c0890d26
RS
8242 }
8243 else
8244 {
8245 /* 33222222222211111111110000000000
8246 10987654321098765432109876543210
7684e580 8247 000001x1xx1xxxxx011001xxxxxxxxxx
c0890d26 8248 zip2. */
fb3265b3 8249 return 2023;
c0890d26
RS
8250 }
8251 }
8252 else
8253 {
8254 if (((word >> 13) & 0x1) == 0)
8255 {
8256 /* 33222222222211111111110000000000
8257 10987654321098765432109876543210
7684e580 8258 000001x1xx1xxxxx010101xxxxxxxxxx
c0890d26 8259 trn2. */
fb3265b3 8260 return 1947;
c0890d26
RS
8261 }
8262 else
8263 {
8264 /* 33222222222211111111110000000000
8265 10987654321098765432109876543210
7684e580 8266 000001x1xx1xxxxx011101xxxxxxxxxx
c0890d26 8267 trn2. */
fb3265b3 8268 return 1948;
c0890d26
RS
8269 }
8270 }
8271 }
8272 else
8273 {
8274 if (((word >> 13) & 0x1) == 0)
8275 {
8276 /* 33222222222211111111110000000000
8277 10987654321098765432109876543210
7684e580 8278 000001x1xx1xxxxx010x11xxxxxxxxxx
c0890d26 8279 uzp2. */
fb3265b3 8280 return 2009;
c0890d26
RS
8281 }
8282 else
8283 {
8284 /* 33222222222211111111110000000000
8285 10987654321098765432109876543210
7684e580 8286 000001x1xx1xxxxx011x11xxxxxxxxxx
c0890d26 8287 uzp2. */
fb3265b3 8288 return 2010;
c0890d26
RS
8289 }
8290 }
8291 }
8292 }
8293 else
8294 {
8295 /* 33222222222211111111110000000000
8296 10987654321098765432109876543210
7684e580 8297 000001x1xx1xxxxx11xxxxxxxxxxxxxx
c0890d26 8298 sel. */
fb3265b3 8299 return 1811;
c0890d26
RS
8300 }
8301 }
8302 }
8303 }
8304 else
8305 {
8306 if (((word >> 13) & 0x1) == 0)
8307 {
8308 if (((word >> 14) & 0x1) == 0)
8309 {
8310 if (((word >> 15) & 0x1) == 0)
8311 {
8312 if (((word >> 22) & 0x1) == 0)
8313 {
8314 /* 33222222222211111111110000000000
8315 10987654321098765432109876543210
7684e580 8316 100001x1x0xxxxxx000xxxxxxxxxxxxx
c0890d26 8317 ldr. */
fb3265b3 8318 return 1715;
c0890d26
RS
8319 }
8320 else
8321 {
8322 /* 33222222222211111111110000000000
8323 10987654321098765432109876543210
7684e580 8324 100001x1x1xxxxxx000xxxxxxxxxxxxx
c0890d26 8325 prfb. */
fb3265b3 8326 return 1759;
c0890d26
RS
8327 }
8328 }
8329 else
8330 {
8331 if (((word >> 23) & 0x1) == 0)
8332 {
8333 /* 33222222222211111111110000000000
8334 10987654321098765432109876543210
7684e580 8335 100001x10xxxxxxx100xxxxxxxxxxxxx
c0890d26 8336 ld1rsh. */
fb3265b3 8337 return 1544;
c0890d26
RS
8338 }
8339 else
8340 {
8341 /* 33222222222211111111110000000000
8342 10987654321098765432109876543210
7684e580 8343 100001x11xxxxxxx100xxxxxxxxxxxxx
c0890d26 8344 ld1rsb. */
fb3265b3 8345 return 1541;
c0890d26
RS
8346 }
8347 }
8348 }
8349 else
8350 {
8351 if (((word >> 15) & 0x1) == 0)
8352 {
8353 if (((word >> 23) & 0x1) == 0)
8354 {
8355 if (((word >> 21) & 0x1) == 0)
8356 {
8357 /* 33222222222211111111110000000000
8358 10987654321098765432109876543210
7684e580 8359 100001x10x0xxxxx010xxxxxxxxxxxxx
c0890d26 8360 ld1w. */
fb3265b3 8361 return 1579;
c0890d26
RS
8362 }
8363 else
8364 {
8365 /* 33222222222211111111110000000000
8366 10987654321098765432109876543210
7684e580 8367 100001x10x1xxxxx010xxxxxxxxxxxxx
c0890d26 8368 ld1w. */
fb3265b3 8369 return 1580;
c0890d26
RS
8370 }
8371 }
8372 else
8373 {
8374 if (((word >> 22) & 0x1) == 0)
8375 {
8376 /* 33222222222211111111110000000000
8377 10987654321098765432109876543210
7684e580 8378 100001x110xxxxxx010xxxxxxxxxxxxx
c0890d26 8379 ldr. */
fb3265b3 8380 return 1716;
c0890d26
RS
8381 }
8382 else
8383 {
8384 /* 33222222222211111111110000000000
8385 10987654321098765432109876543210
7684e580 8386 100001x111xxxxxx010xxxxxxxxxxxxx
c0890d26 8387 prfw. */
fb3265b3 8388 return 1780;
c0890d26
RS
8389 }
8390 }
8391 }
8392 else
8393 {
8394 if (((word >> 22) & 0x1) == 0)
8395 {
8396 if (((word >> 21) & 0x1) == 0)
8397 {
8398 if (((word >> 23) & 0x1) == 0)
8399 {
8400 /* 33222222222211111111110000000000
8401 10987654321098765432109876543210
7684e580 8402 100001x1000xxxxx110xxxxxxxxxxxxx
c0890d26 8403 prfw. */
fb3265b3 8404 return 1776;
c0890d26
RS
8405 }
8406 else
8407 {
8408 /* 33222222222211111111110000000000
8409 10987654321098765432109876543210
7684e580 8410 100001x1100xxxxx110xxxxxxxxxxxxx
c0890d26 8411 prfd. */
fb3265b3 8412 return 1762;
c0890d26
RS
8413 }
8414 }
8415 else
8416 {
8417 /* 33222222222211111111110000000000
8418 10987654321098765432109876543210
7684e580 8419 100001x1x01xxxxx110xxxxxxxxxxxxx
c0890d26 8420 ld1w. */
fb3265b3 8421 return 1587;
c0890d26
RS
8422 }
8423 }
8424 else
8425 {
8426 if (((word >> 23) & 0x1) == 0)
8427 {
8428 /* 33222222222211111111110000000000
8429 10987654321098765432109876543210
7684e580 8430 100001x101xxxxxx110xxxxxxxxxxxxx
c0890d26 8431 ld1rw. */
fb3265b3 8432 return 1547;
c0890d26
RS
8433 }
8434 else
8435 {
8436 /* 33222222222211111111110000000000
8437 10987654321098765432109876543210
7684e580 8438 100001x111xxxxxx110xxxxxxxxxxxxx
c0890d26 8439 ld1rsb. */
fb3265b3 8440 return 1543;
c0890d26
RS
8441 }
8442 }
8443 }
8444 }
8445 }
8446 else
8447 {
8448 if (((word >> 14) & 0x1) == 0)
8449 {
8450 if (((word >> 15) & 0x1) == 0)
8451 {
8452 /* 33222222222211111111110000000000
8453 10987654321098765432109876543210
7684e580 8454 100001x1xxxxxxxx001xxxxxxxxxxxxx
c0890d26 8455 prfh. */
fb3265b3 8456 return 1773;
c0890d26
RS
8457 }
8458 else
8459 {
8460 if (((word >> 23) & 0x1) == 0)
8461 {
8462 /* 33222222222211111111110000000000
8463 10987654321098765432109876543210
7684e580 8464 100001x10xxxxxxx101xxxxxxxxxxxxx
c0890d26 8465 ld1rsh. */
fb3265b3 8466 return 1545;
c0890d26
RS
8467 }
8468 else
8469 {
8470 /* 33222222222211111111110000000000
8471 10987654321098765432109876543210
7684e580 8472 100001x11xxxxxxx101xxxxxxxxxxxxx
c0890d26 8473 ld1rsb. */
fb3265b3 8474 return 1542;
c0890d26
RS
8475 }
8476 }
8477 }
8478 else
8479 {
8480 if (((word >> 15) & 0x1) == 0)
8481 {
8482 if (((word >> 23) & 0x1) == 0)
8483 {
8484 if (((word >> 21) & 0x1) == 0)
8485 {
8486 /* 33222222222211111111110000000000
8487 10987654321098765432109876543210
7684e580 8488 100001x10x0xxxxx011xxxxxxxxxxxxx
c0890d26 8489 ldff1w. */
fb3265b3 8490 return 1679;
c0890d26
RS
8491 }
8492 else
8493 {
8494 /* 33222222222211111111110000000000
8495 10987654321098765432109876543210
7684e580 8496 100001x10x1xxxxx011xxxxxxxxxxxxx
c0890d26 8497 ldff1w. */
fb3265b3 8498 return 1680;
c0890d26
RS
8499 }
8500 }
8501 else
8502 {
8503 /* 33222222222211111111110000000000
8504 10987654321098765432109876543210
7684e580 8505 100001x11xxxxxxx011xxxxxxxxxxxxx
c0890d26 8506 prfd. */
fb3265b3 8507 return 1766;
c0890d26
RS
8508 }
8509 }
8510 else
8511 {
8512 if (((word >> 22) & 0x1) == 0)
8513 {
8514 if (((word >> 21) & 0x1) == 0)
8515 {
8516 if (((word >> 23) & 0x1) == 0)
8517 {
8518 /* 33222222222211111111110000000000
8519 10987654321098765432109876543210
7684e580 8520 100001x1000xxxxx111xxxxxxxxxxxxx
c0890d26 8521 prfw. */
fb3265b3 8522 return 1779;
c0890d26
RS
8523 }
8524 else
8525 {
8526 /* 33222222222211111111110000000000
8527 10987654321098765432109876543210
7684e580 8528 100001x1100xxxxx111xxxxxxxxxxxxx
c0890d26 8529 prfd. */
fb3265b3 8530 return 1765;
c0890d26
RS
8531 }
8532 }
8533 else
8534 {
8535 /* 33222222222211111111110000000000
8536 10987654321098765432109876543210
7684e580 8537 100001x1x01xxxxx111xxxxxxxxxxxxx
c0890d26 8538 ldff1w. */
fb3265b3 8539 return 1689;
c0890d26
RS
8540 }
8541 }
8542 else
8543 {
8544 if (((word >> 23) & 0x1) == 0)
8545 {
8546 /* 33222222222211111111110000000000
8547 10987654321098765432109876543210
7684e580 8548 100001x101xxxxxx111xxxxxxxxxxxxx
c0890d26 8549 ld1rw. */
fb3265b3 8550 return 1548;
c0890d26
RS
8551 }
8552 else
8553 {
8554 /* 33222222222211111111110000000000
8555 10987654321098765432109876543210
7684e580 8556 100001x111xxxxxx111xxxxxxxxxxxxx
c0890d26 8557 ld1rd. */
fb3265b3 8558 return 1529;
c0890d26
RS
8559 }
8560 }
8561 }
8562 }
8563 }
8564 }
8565 }
8566 else
8567 {
8568 if (((word >> 13) & 0x1) == 0)
8569 {
8570 if (((word >> 14) & 0x1) == 0)
8571 {
8572 if (((word >> 15) & 0x1) == 0)
8573 {
8574 if (((word >> 21) & 0x1) == 0)
8575 {
8576 /* 33222222222211111111110000000000
8577 10987654321098765432109876543210
7684e580 8578 x10001x1xx0xxxxx000xxxxxxxxxxxxx
c0890d26 8579 ld1sw. */
fb3265b3 8580 return 1573;
c0890d26
RS
8581 }
8582 else
8583 {
8584 /* 33222222222211111111110000000000
8585 10987654321098765432109876543210
7684e580 8586 x10001x1xx1xxxxx000xxxxxxxxxxxxx
c0890d26 8587 ld1sw. */
fb3265b3 8588 return 1574;
c0890d26
RS
8589 }
8590 }
8591 else
8592 {
8593 if (((word >> 21) & 0x1) == 0)
8594 {
8595 /* 33222222222211111111110000000000
8596 10987654321098765432109876543210
7684e580 8597 x10001x1xx0xxxxx100xxxxxxxxxxxxx
c0890d26 8598 ld1sw. */
fb3265b3 8599 return 1575;
c0890d26
RS
8600 }
8601 else
8602 {
8603 if (((word >> 22) & 0x1) == 0)
8604 {
8605 /* 33222222222211111111110000000000
8606 10987654321098765432109876543210
7684e580 8607 x10001x1x01xxxxx100xxxxxxxxxxxxx
c0890d26 8608 ld1sw. */
fb3265b3 8609 return 1578;
c0890d26
RS
8610 }
8611 else
8612 {
8613 /* 33222222222211111111110000000000
8614 10987654321098765432109876543210
7684e580 8615 x10001x1x11xxxxx100xxxxxxxxxxxxx
c0890d26 8616 ld1sw. */
fb3265b3 8617 return 1576;
c0890d26
RS
8618 }
8619 }
8620 }
8621 }
8622 else
8623 {
8624 if (((word >> 15) & 0x1) == 0)
8625 {
8626 if (((word >> 21) & 0x1) == 0)
8627 {
8628 if (((word >> 23) & 0x1) == 0)
8629 {
8630 /* 33222222222211111111110000000000
8631 10987654321098765432109876543210
7684e580 8632 x10001x10x0xxxxx010xxxxxxxxxxxxx
c0890d26 8633 ld1w. */
fb3265b3 8634 return 1583;
c0890d26
RS
8635 }
8636 else
8637 {
8638 /* 33222222222211111111110000000000
8639 10987654321098765432109876543210
7684e580 8640 x10001x11x0xxxxx010xxxxxxxxxxxxx
c0890d26 8641 ld1d. */
fb3265b3 8642 return 1505;
c0890d26
RS
8643 }
8644 }
8645 else
8646 {
8647 if (((word >> 23) & 0x1) == 0)
8648 {
8649 /* 33222222222211111111110000000000
8650 10987654321098765432109876543210
7684e580 8651 x10001x10x1xxxxx010xxxxxxxxxxxxx
c0890d26 8652 ld1w. */
fb3265b3 8653 return 1584;
c0890d26
RS
8654 }
8655 else
8656 {
8657 /* 33222222222211111111110000000000
8658 10987654321098765432109876543210
7684e580 8659 x10001x11x1xxxxx010xxxxxxxxxxxxx
c0890d26 8660 ld1d. */
fb3265b3 8661 return 1506;
c0890d26
RS
8662 }
8663 }
8664 }
8665 else
8666 {
8667 if (((word >> 21) & 0x1) == 0)
8668 {
8669 if (((word >> 23) & 0x1) == 0)
8670 {
8671 /* 33222222222211111111110000000000
8672 10987654321098765432109876543210
7684e580 8673 x10001x10x0xxxxx110xxxxxxxxxxxxx
c0890d26 8674 ld1w. */
fb3265b3 8675 return 1585;
c0890d26
RS
8676 }
8677 else
8678 {
8679 /* 33222222222211111111110000000000
8680 10987654321098765432109876543210
7684e580 8681 x10001x11x0xxxxx110xxxxxxxxxxxxx
c0890d26 8682 ld1d. */
fb3265b3 8683 return 1507;
c0890d26
RS
8684 }
8685 }
8686 else
8687 {
8688 if (((word >> 22) & 0x1) == 0)
8689 {
8690 if (((word >> 23) & 0x1) == 0)
8691 {
8692 /* 33222222222211111111110000000000
8693 10987654321098765432109876543210
7684e580 8694 x10001x1001xxxxx110xxxxxxxxxxxxx
c0890d26 8695 ld1w. */
fb3265b3 8696 return 1590;
c0890d26
RS
8697 }
8698 else
8699 {
8700 /* 33222222222211111111110000000000
8701 10987654321098765432109876543210
7684e580 8702 x10001x1101xxxxx110xxxxxxxxxxxxx
c0890d26 8703 ld1d. */
fb3265b3 8704 return 1510;
c0890d26
RS
8705 }
8706 }
8707 else
8708 {
8709 if (((word >> 23) & 0x1) == 0)
8710 {
8711 /* 33222222222211111111110000000000
8712 10987654321098765432109876543210
7684e580 8713 x10001x1011xxxxx110xxxxxxxxxxxxx
c0890d26 8714 ld1w. */
fb3265b3 8715 return 1586;
c0890d26
RS
8716 }
8717 else
8718 {
8719 /* 33222222222211111111110000000000
8720 10987654321098765432109876543210
7684e580 8721 x10001x1111xxxxx110xxxxxxxxxxxxx
c0890d26 8722 ld1d. */
fb3265b3 8723 return 1508;
c0890d26
RS
8724 }
8725 }
8726 }
8727 }
8728 }
8729 }
8730 else
8731 {
8732 if (((word >> 14) & 0x1) == 0)
8733 {
8734 if (((word >> 15) & 0x1) == 0)
8735 {
8736 if (((word >> 21) & 0x1) == 0)
8737 {
8738 /* 33222222222211111111110000000000
8739 10987654321098765432109876543210
7684e580 8740 x10001x1xx0xxxxx001xxxxxxxxxxxxx
c0890d26 8741 ldff1sw. */
fb3265b3 8742 return 1674;
c0890d26
RS
8743 }
8744 else
8745 {
8746 /* 33222222222211111111110000000000
8747 10987654321098765432109876543210
7684e580 8748 x10001x1xx1xxxxx001xxxxxxxxxxxxx
c0890d26 8749 ldff1sw. */
fb3265b3 8750 return 1675;
c0890d26
RS
8751 }
8752 }
8753 else
8754 {
8755 if (((word >> 21) & 0x1) == 0)
8756 {
8757 /* 33222222222211111111110000000000
8758 10987654321098765432109876543210
7684e580 8759 x10001x1xx0xxxxx101xxxxxxxxxxxxx
c0890d26 8760 ldff1sw. */
fb3265b3 8761 return 1676;
c0890d26
RS
8762 }
8763 else
8764 {
8765 if (((word >> 22) & 0x1) == 0)
8766 {
8767 /* 33222222222211111111110000000000
8768 10987654321098765432109876543210
7684e580 8769 x10001x1x01xxxxx101xxxxxxxxxxxxx
c0890d26 8770 ldff1sw. */
fb3265b3 8771 return 1678;
c0890d26
RS
8772 }
8773 else
8774 {
8775 /* 33222222222211111111110000000000
8776 10987654321098765432109876543210
7684e580 8777 x10001x1x11xxxxx101xxxxxxxxxxxxx
c0890d26 8778 ldff1sw. */
fb3265b3 8779 return 1677;
c0890d26
RS
8780 }
8781 }
8782 }
8783 }
8784 else
8785 {
8786 if (((word >> 15) & 0x1) == 0)
8787 {
8788 if (((word >> 21) & 0x1) == 0)
8789 {
8790 if (((word >> 23) & 0x1) == 0)
8791 {
8792 /* 33222222222211111111110000000000
8793 10987654321098765432109876543210
7684e580 8794 x10001x10x0xxxxx011xxxxxxxxxxxxx
c0890d26 8795 ldff1w. */
fb3265b3 8796 return 1685;
c0890d26
RS
8797 }
8798 else
8799 {
8800 /* 33222222222211111111110000000000
8801 10987654321098765432109876543210
7684e580 8802 x10001x11x0xxxxx011xxxxxxxxxxxxx
c0890d26 8803 ldff1d. */
fb3265b3 8804 return 1630;
c0890d26
RS
8805 }
8806 }
8807 else
8808 {
8809 if (((word >> 23) & 0x1) == 0)
8810 {
8811 /* 33222222222211111111110000000000
8812 10987654321098765432109876543210
7684e580 8813 x10001x10x1xxxxx011xxxxxxxxxxxxx
c0890d26 8814 ldff1w. */
fb3265b3 8815 return 1686;
c0890d26
RS
8816 }
8817 else
8818 {
8819 /* 33222222222211111111110000000000
8820 10987654321098765432109876543210
7684e580 8821 x10001x11x1xxxxx011xxxxxxxxxxxxx
c0890d26 8822 ldff1d. */
fb3265b3 8823 return 1631;
c0890d26
RS
8824 }
8825 }
8826 }
8827 else
8828 {
8829 if (((word >> 21) & 0x1) == 0)
8830 {
8831 if (((word >> 22) & 0x1) == 0)
8832 {
8833 if (((word >> 23) & 0x1) == 0)
8834 {
8835 /* 33222222222211111111110000000000
8836 10987654321098765432109876543210
7684e580 8837 x10001x1000xxxxx111xxxxxxxxxxxxx
c0890d26 8838 prfw. */
fb3265b3 8839 return 1781;
c0890d26
RS
8840 }
8841 else
8842 {
8843 /* 33222222222211111111110000000000
8844 10987654321098765432109876543210
7684e580 8845 x10001x1100xxxxx111xxxxxxxxxxxxx
c0890d26 8846 prfd. */
fb3265b3 8847 return 1767;
c0890d26
RS
8848 }
8849 }
8850 else
8851 {
8852 if (((word >> 23) & 0x1) == 0)
8853 {
8854 /* 33222222222211111111110000000000
8855 10987654321098765432109876543210
7684e580 8856 x10001x1010xxxxx111xxxxxxxxxxxxx
c0890d26 8857 ldff1w. */
fb3265b3 8858 return 1687;
c0890d26
RS
8859 }
8860 else
8861 {
8862 /* 33222222222211111111110000000000
8863 10987654321098765432109876543210
7684e580 8864 x10001x1110xxxxx111xxxxxxxxxxxxx
c0890d26 8865 ldff1d. */
fb3265b3 8866 return 1632;
c0890d26
RS
8867 }
8868 }
8869 }
8870 else
8871 {
8872 if (((word >> 22) & 0x1) == 0)
8873 {
8874 if (((word >> 23) & 0x1) == 0)
8875 {
8876 /* 33222222222211111111110000000000
8877 10987654321098765432109876543210
7684e580 8878 x10001x1001xxxxx111xxxxxxxxxxxxx
c0890d26 8879 ldff1w. */
fb3265b3 8880 return 1690;
c0890d26
RS
8881 }
8882 else
8883 {
8884 /* 33222222222211111111110000000000
8885 10987654321098765432109876543210
7684e580 8886 x10001x1101xxxxx111xxxxxxxxxxxxx
c0890d26 8887 ldff1d. */
fb3265b3 8888 return 1634;
c0890d26
RS
8889 }
8890 }
8891 else
8892 {
8893 if (((word >> 23) & 0x1) == 0)
8894 {
8895 /* 33222222222211111111110000000000
8896 10987654321098765432109876543210
7684e580 8897 x10001x1011xxxxx111xxxxxxxxxxxxx
c0890d26 8898 ldff1w. */
fb3265b3 8899 return 1688;
c0890d26
RS
8900 }
8901 else
8902 {
8903 /* 33222222222211111111110000000000
8904 10987654321098765432109876543210
7684e580 8905 x10001x1111xxxxx111xxxxxxxxxxxxx
c0890d26 8906 ldff1d. */
fb3265b3 8907 return 1633;
c0890d26
RS
8908 }
8909 }
8910 }
8911 }
8912 }
8913 }
8914 }
8915 }
8916 else
8917 {
8918 if (((word >> 15) & 0x1) == 0)
8919 {
8920 if (((word >> 14) & 0x1) == 0)
8921 {
8922 if (((word >> 13) & 0x1) == 0)
8923 {
8924 if (((word >> 30) & 0x1) == 0)
8925 {
582e12bf 8926 if (((word >> 21) & 0x1) == 0)
c0890d26 8927 {
582e12bf 8928 if (((word >> 31) & 0x1) == 0)
c0890d26 8929 {
582e12bf
RS
8930 if (((word >> 4) & 0x1) == 0)
8931 {
8932 /* 33222222222211111111110000000000
8933 10987654321098765432109876543210
7684e580 8934 001001x1xx0xxxxx000xxxxxxxx0xxxx
582e12bf 8935 cmpge. */
fb3265b3 8936 return 1313;
582e12bf
RS
8937 }
8938 else
8939 {
8940 /* 33222222222211111111110000000000
8941 10987654321098765432109876543210
7684e580 8942 001001x1xx0xxxxx000xxxxxxxx1xxxx
582e12bf 8943 cmpgt. */
fb3265b3 8944 return 1316;
582e12bf 8945 }
c0890d26
RS
8946 }
8947 else
582e12bf
RS
8948 {
8949 if (((word >> 23) & 0x1) == 0)
8950 {
8951 /* 33222222222211111111110000000000
8952 10987654321098765432109876543210
7684e580 8953 101001x10x0xxxxx000xxxxxxxxxxxxx
582e12bf 8954 ld1rqw. */
fb3265b3 8955 return 1540;
582e12bf
RS
8956 }
8957 else
8958 {
8959 /* 33222222222211111111110000000000
8960 10987654321098765432109876543210
7684e580 8961 101001x11x0xxxxx000xxxxxxxxxxxxx
582e12bf 8962 ld1rqd. */
fb3265b3 8963 return 1536;
582e12bf
RS
8964 }
8965 }
8966 }
8967 else
8968 {
8969 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
8970 {
8971 if (((word >> 11) & 0x1) == 0)
8972 {
8973 if (((word >> 12) & 0x1) == 0)
8974 {
8975 /* 33222222222211111111110000000000
8976 10987654321098765432109876543210
7684e580 8977 x01001x1xx1xxxxx00000xxxxxx0xxxx
c0890d26 8978 whilelt. */
fb3265b3 8979 return 2017;
c0890d26
RS
8980 }
8981 else
8982 {
8983 /* 33222222222211111111110000000000
8984 10987654321098765432109876543210
7684e580 8985 x01001x1xx1xxxxx00010xxxxxx0xxxx
c0890d26 8986 whilelt. */
fb3265b3 8987 return 2018;
c0890d26
RS
8988 }
8989 }
8990 else
8991 {
8992 if (((word >> 12) & 0x1) == 0)
8993 {
8994 /* 33222222222211111111110000000000
8995 10987654321098765432109876543210
7684e580 8996 x01001x1xx1xxxxx00001xxxxxx0xxxx
c0890d26 8997 whilelo. */
fb3265b3 8998 return 2013;
c0890d26
RS
8999 }
9000 else
9001 {
9002 /* 33222222222211111111110000000000
9003 10987654321098765432109876543210
7684e580 9004 x01001x1xx1xxxxx00011xxxxxx0xxxx
c0890d26 9005 whilelo. */
fb3265b3 9006 return 2014;
c0890d26
RS
9007 }
9008 }
9009 }
c0890d26
RS
9010 else
9011 {
9012 if (((word >> 11) & 0x1) == 0)
9013 {
9014 if (((word >> 12) & 0x1) == 0)
9015 {
9016 /* 33222222222211111111110000000000
9017 10987654321098765432109876543210
7684e580 9018 x01001x1xx1xxxxx00000xxxxxx1xxxx
c0890d26 9019 whilele. */
fb3265b3 9020 return 2011;
c0890d26
RS
9021 }
9022 else
9023 {
9024 /* 33222222222211111111110000000000
9025 10987654321098765432109876543210
7684e580 9026 x01001x1xx1xxxxx00010xxxxxx1xxxx
c0890d26 9027 whilele. */
fb3265b3 9028 return 2012;
c0890d26
RS
9029 }
9030 }
9031 else
9032 {
9033 if (((word >> 12) & 0x1) == 0)
9034 {
9035 /* 33222222222211111111110000000000
9036 10987654321098765432109876543210
7684e580 9037 x01001x1xx1xxxxx00001xxxxxx1xxxx
c0890d26 9038 whilels. */
fb3265b3 9039 return 2015;
c0890d26
RS
9040 }
9041 else
9042 {
9043 /* 33222222222211111111110000000000
9044 10987654321098765432109876543210
7684e580 9045 x01001x1xx1xxxxx00011xxxxxx1xxxx
c0890d26 9046 whilels. */
fb3265b3 9047 return 2016;
c0890d26
RS
9048 }
9049 }
9050 }
9051 }
9052 }
9053 else
9054 {
9055 if (((word >> 31) & 0x1) == 0)
9056 {
9057 if (((word >> 21) & 0x1) == 0)
9058 {
9059 if (((word >> 10) & 0x1) == 0)
9060 {
9061 if (((word >> 11) & 0x1) == 0)
9062 {
9063 /* 33222222222211111111110000000000
9064 10987654321098765432109876543210
7684e580 9065 011001x1xx0xxxxx000x00xxxxxxxxxx
c0890d26 9066 fadd. */
fb3265b3 9067 return 1371;
c0890d26
RS
9068 }
9069 else
9070 {
9071 if (((word >> 12) & 0x1) == 0)
9072 {
9073 /* 33222222222211111111110000000000
9074 10987654321098765432109876543210
7684e580 9075 011001x1xx0xxxxx000010xxxxxxxxxx
c0890d26 9076 fmul. */
fb3265b3 9077 return 1438;
c0890d26
RS
9078 }
9079 else
9080 {
9081 /* 33222222222211111111110000000000
9082 10987654321098765432109876543210
7684e580 9083 011001x1xx0xxxxx000110xxxxxxxxxx
c0890d26 9084 frecps. */
fb3265b3 9085 return 1451;
c0890d26
RS
9086 }
9087 }
9088 }
9089 else
9090 {
9091 if (((word >> 11) & 0x1) == 0)
9092 {
9093 /* 33222222222211111111110000000000
9094 10987654321098765432109876543210
7684e580 9095 011001x1xx0xxxxx000x01xxxxxxxxxx
c0890d26 9096 fsub. */
fb3265b3 9097 return 1464;
c0890d26
RS
9098 }
9099 else
9100 {
9101 if (((word >> 12) & 0x1) == 0)
9102 {
9103 /* 33222222222211111111110000000000
9104 10987654321098765432109876543210
7684e580 9105 011001x1xx0xxxxx000011xxxxxxxxxx
c0890d26 9106 ftsmul. */
fb3265b3 9107 return 1470;
c0890d26
RS
9108 }
9109 else
9110 {
9111 /* 33222222222211111111110000000000
9112 10987654321098765432109876543210
7684e580 9113 011001x1xx0xxxxx000111xxxxxxxxxx
c0890d26 9114 frsqrts. */
fb3265b3 9115 return 1461;
c0890d26
RS
9116 }
9117 }
9118 }
9119 }
9120 else
9121 {
9122 /* 33222222222211111111110000000000
9123 10987654321098765432109876543210
7684e580 9124 011001x1xx1xxxxx000xxxxxxxxxxxxx
c0890d26 9125 fmla. */
fb3265b3 9126 return 1429;
c0890d26
RS
9127 }
9128 }
9129 else
9130 {
9131 /* 33222222222211111111110000000000
9132 10987654321098765432109876543210
7684e580 9133 111001x1xxxxxxxx000xxxxxxxxxxxxx
c0890d26 9134 str. */
fb3265b3 9135 return 1932;
c0890d26
RS
9136 }
9137 }
9138 }
9139 else
9140 {
9141 if (((word >> 21) & 0x1) == 0)
9142 {
9143 if (((word >> 30) & 0x1) == 0)
9144 {
582e12bf 9145 if (((word >> 31) & 0x1) == 0)
c0890d26 9146 {
582e12bf
RS
9147 if (((word >> 4) & 0x1) == 0)
9148 {
9149 /* 33222222222211111111110000000000
9150 10987654321098765432109876543210
7684e580 9151 001001x1xx0xxxxx001xxxxxxxx0xxxx
582e12bf 9152 cmplt. */
fb3265b3 9153 return 1330;
582e12bf
RS
9154 }
9155 else
9156 {
9157 /* 33222222222211111111110000000000
9158 10987654321098765432109876543210
7684e580 9159 001001x1xx0xxxxx001xxxxxxxx1xxxx
582e12bf 9160 cmple. */
fb3265b3 9161 return 1324;
582e12bf 9162 }
c0890d26
RS
9163 }
9164 else
9165 {
582e12bf
RS
9166 if (((word >> 23) & 0x1) == 0)
9167 {
9168 /* 33222222222211111111110000000000
9169 10987654321098765432109876543210
7684e580 9170 101001x10x0xxxxx001xxxxxxxxxxxxx
582e12bf 9171 ld1rqw. */
fb3265b3 9172 return 1539;
582e12bf
RS
9173 }
9174 else
9175 {
9176 /* 33222222222211111111110000000000
9177 10987654321098765432109876543210
7684e580 9178 101001x11x0xxxxx001xxxxxxxxxxxxx
582e12bf 9179 ld1rqd. */
fb3265b3 9180 return 1535;
582e12bf 9181 }
c0890d26
RS
9182 }
9183 }
9184 else
9185 {
9186 if (((word >> 16) & 0x1) == 0)
9187 {
9188 if (((word >> 17) & 0x1) == 0)
9189 {
9190 if (((word >> 18) & 0x1) == 0)
9191 {
9192 if (((word >> 19) & 0x1) == 0)
9193 {
9194 if (((word >> 20) & 0x1) == 0)
9195 {
9196 /* 33222222222211111111110000000000
9197 10987654321098765432109876543210
7684e580 9198 x11001x1xx000000001xxxxxxxxxxxxx
c0890d26 9199 faddv. */
fb3265b3 9200 return 1375;
c0890d26
RS
9201 }
9202 else
9203 {
9204 if (((word >> 4) & 0x1) == 0)
9205 {
9206 /* 33222222222211111111110000000000
9207 10987654321098765432109876543210
7684e580 9208 x11001x1xx010000001xxxxxxxx0xxxx
c0890d26 9209 fcmge. */
fb3265b3 9210 return 1382;
c0890d26
RS
9211 }
9212 else
9213 {
9214 /* 33222222222211111111110000000000
9215 10987654321098765432109876543210
7684e580 9216 x11001x1xx010000001xxxxxxxx1xxxx
c0890d26 9217 fcmgt. */
fb3265b3 9218 return 1384;
c0890d26
RS
9219 }
9220 }
9221 }
9222 else
9223 {
9224 /* 33222222222211111111110000000000
9225 10987654321098765432109876543210
7684e580 9226 x11001x1xx0x1000001xxxxxxxxxxxxx
c0890d26 9227 fadda. */
fb3265b3 9228 return 1374;
c0890d26
RS
9229 }
9230 }
9231 else
9232 {
9233 /* 33222222222211111111110000000000
9234 10987654321098765432109876543210
7684e580 9235 x11001x1xx0xx100001xxxxxxxxxxxxx
c0890d26 9236 fmaxnmv. */
fb3265b3 9237 return 1421;
c0890d26
RS
9238 }
9239 }
9240 else
9241 {
9242 if (((word >> 18) & 0x1) == 0)
9243 {
9244 /* 33222222222211111111110000000000
9245 10987654321098765432109876543210
7684e580 9246 x11001x1xx0xx010001xxxxxxxxxxxxx
c0890d26 9247 fcmeq. */
fb3265b3 9248 return 1380;
c0890d26
RS
9249 }
9250 else
9251 {
9252 if (((word >> 19) & 0x1) == 0)
9253 {
9254 /* 33222222222211111111110000000000
9255 10987654321098765432109876543210
7684e580 9256 x11001x1xx0x0110001xxxxxxxxxxxxx
c0890d26 9257 fmaxv. */
fb3265b3 9258 return 1422;
c0890d26
RS
9259 }
9260 else
9261 {
9262 /* 33222222222211111111110000000000
9263 10987654321098765432109876543210
7684e580 9264 x11001x1xx0x1110001xxxxxxxxxxxxx
c0890d26 9265 frecpe. */
fb3265b3 9266 return 1450;
c0890d26
RS
9267 }
9268 }
9269 }
9270 }
9271 else
9272 {
9273 if (((word >> 17) & 0x1) == 0)
9274 {
9275 if (((word >> 18) & 0x1) == 0)
9276 {
9277 if (((word >> 4) & 0x1) == 0)
9278 {
9279 /* 33222222222211111111110000000000
9280 10987654321098765432109876543210
7684e580 9281 x11001x1xx0xx001001xxxxxxxx0xxxx
c0890d26 9282 fcmlt. */
fb3265b3 9283 return 1387;
c0890d26
RS
9284 }
9285 else
9286 {
9287 /* 33222222222211111111110000000000
9288 10987654321098765432109876543210
7684e580 9289 x11001x1xx0xx001001xxxxxxxx1xxxx
c0890d26 9290 fcmle. */
fb3265b3 9291 return 1386;
c0890d26
RS
9292 }
9293 }
9294 else
9295 {
9296 /* 33222222222211111111110000000000
9297 10987654321098765432109876543210
7684e580 9298 x11001x1xx0xx101001xxxxxxxxxxxxx
c0890d26 9299 fminnmv. */
fb3265b3 9300 return 1427;
c0890d26
RS
9301 }
9302 }
9303 else
9304 {
9305 if (((word >> 18) & 0x1) == 0)
9306 {
9307 /* 33222222222211111111110000000000
9308 10987654321098765432109876543210
7684e580 9309 x11001x1xx0xx011001xxxxxxxxxxxxx
c0890d26 9310 fcmne. */
fb3265b3 9311 return 1388;
c0890d26
RS
9312 }
9313 else
9314 {
9315 if (((word >> 19) & 0x1) == 0)
9316 {
9317 /* 33222222222211111111110000000000
9318 10987654321098765432109876543210
7684e580 9319 x11001x1xx0x0111001xxxxxxxxxxxxx
c0890d26 9320 fminv. */
fb3265b3 9321 return 1428;
c0890d26
RS
9322 }
9323 else
9324 {
9325 /* 33222222222211111111110000000000
9326 10987654321098765432109876543210
7684e580 9327 x11001x1xx0x1111001xxxxxxxxxxxxx
c0890d26 9328 frsqrte. */
fb3265b3 9329 return 1460;
c0890d26
RS
9330 }
9331 }
9332 }
9333 }
9334 }
9335 }
9336 else
9337 {
9338 if (((word >> 30) & 0x1) == 0)
9339 {
9340 if (((word >> 4) & 0x1) == 0)
9341 {
9342 /* 33222222222211111111110000000000
9343 10987654321098765432109876543210
7684e580 9344 x01001x1xx1xxxxx001xxxxxxxx0xxxx
c0890d26 9345 ctermeq. */
fb3265b3 9346 return 1345;
c0890d26
RS
9347 }
9348 else
9349 {
9350 /* 33222222222211111111110000000000
9351 10987654321098765432109876543210
7684e580 9352 x01001x1xx1xxxxx001xxxxxxxx1xxxx
c0890d26 9353 ctermne. */
fb3265b3 9354 return 1346;
c0890d26
RS
9355 }
9356 }
9357 else
9358 {
9359 /* 33222222222211111111110000000000
9360 10987654321098765432109876543210
7684e580 9361 x11001x1xx1xxxxx001xxxxxxxxxxxxx
c0890d26 9362 fmls. */
fb3265b3 9363 return 1433;
c0890d26
RS
9364 }
9365 }
9366 }
9367 }
9368 else
9369 {
582e12bf 9370 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
9371 {
9372 if (((word >> 21) & 0x1) == 0)
9373 {
9374 if (((word >> 22) & 0x1) == 0)
9375 {
582e12bf 9376 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9377 {
9378 if (((word >> 31) & 0x1) == 0)
9379 {
9380 if (((word >> 9) & 0x1) == 0)
9381 {
9382 if (((word >> 20) & 0x1) == 0)
9383 {
9384 if (((word >> 4) & 0x1) == 0)
9385 {
9386 /* 33222222222211111111110000000000
9387 10987654321098765432109876543210
7684e580 9388 001001x10000xxxx01xxxx0xxxx0xxxx
c0890d26 9389 and. */
fb3265b3 9390 return 1276;
c0890d26
RS
9391 }
9392 else
9393 {
9394 /* 33222222222211111111110000000000
9395 10987654321098765432109876543210
7684e580 9396 001001x10000xxxx01xxxx0xxxx1xxxx
c0890d26 9397 bic. */
fb3265b3 9398 return 1288;
c0890d26
RS
9399 }
9400 }
9401 else
9402 {
9403 if (((word >> 19) & 0x1) == 0)
9404 {
9405 /* 33222222222211111111110000000000
9406 10987654321098765432109876543210
7684e580 9407 001001x100010xxx01xxxx0xxxxxxxxx
c0890d26 9408 brka. */
fb3265b3 9409 return 1290;
c0890d26
RS
9410 }
9411 else
9412 {
9413 /* 33222222222211111111110000000000
9414 10987654321098765432109876543210
7684e580 9415 001001x100011xxx01xxxx0xxxxxxxxx
c0890d26 9416 brkn. */
fb3265b3 9417 return 1294;
c0890d26
RS
9418 }
9419 }
9420 }
9421 else
9422 {
9423 if (((word >> 4) & 0x1) == 0)
9424 {
9425 /* 33222222222211111111110000000000
9426 10987654321098765432109876543210
7684e580 9427 001001x1000xxxxx01xxxx1xxxx0xxxx
582e12bf 9428 eor. */
fb3265b3 9429 return 1363;
582e12bf
RS
9430 }
9431 else
9432 {
9433 /* 33222222222211111111110000000000
9434 10987654321098765432109876543210
7684e580 9435 001001x1000xxxxx01xxxx1xxxx1xxxx
582e12bf 9436 sel. */
fb3265b3 9437 return 1812;
582e12bf
RS
9438 }
9439 }
9440 }
9441 else
9442 {
9443 if (((word >> 13) & 0x1) == 0)
9444 {
9445 /* 33222222222211111111110000000000
9446 10987654321098765432109876543210
7684e580 9447 101001x1000xxxxx010xxxxxxxxxxxxx
582e12bf 9448 ld1sh. */
fb3265b3 9449 return 1562;
582e12bf
RS
9450 }
9451 else
9452 {
9453 /* 33222222222211111111110000000000
9454 10987654321098765432109876543210
7684e580 9455 101001x1000xxxxx011xxxxxxxxxxxxx
582e12bf 9456 ldff1sh. */
fb3265b3 9457 return 1662;
582e12bf
RS
9458 }
9459 }
9460 }
9461 else
9462 {
9463 if (((word >> 31) & 0x1) == 0)
9464 {
9465 if (((word >> 9) & 0x1) == 0)
9466 {
9467 if (((word >> 20) & 0x1) == 0)
9468 {
9469 if (((word >> 4) & 0x1) == 0)
9470 {
9471 /* 33222222222211111111110000000000
9472 10987654321098765432109876543210
7684e580 9473 001001x11000xxxx01xxxx0xxxx0xxxx
582e12bf 9474 orr. */
fb3265b3 9475 return 1748;
582e12bf
RS
9476 }
9477 else
9478 {
9479 /* 33222222222211111111110000000000
9480 10987654321098765432109876543210
7684e580 9481 001001x11000xxxx01xxxx0xxxx1xxxx
582e12bf 9482 orn. */
fb3265b3 9483 return 1743;
582e12bf
RS
9484 }
9485 }
9486 else
9487 {
9488 /* 33222222222211111111110000000000
9489 10987654321098765432109876543210
7684e580 9490 001001x11001xxxx01xxxx0xxxxxxxxx
582e12bf 9491 brkb. */
fb3265b3 9492 return 1292;
582e12bf
RS
9493 }
9494 }
9495 else
9496 {
9497 if (((word >> 4) & 0x1) == 0)
9498 {
9499 /* 33222222222211111111110000000000
9500 10987654321098765432109876543210
7684e580 9501 001001x1100xxxxx01xxxx1xxxx0xxxx
582e12bf 9502 nor. */
fb3265b3 9503 return 1740;
c0890d26
RS
9504 }
9505 else
9506 {
9507 /* 33222222222211111111110000000000
9508 10987654321098765432109876543210
7684e580 9509 001001x1100xxxxx01xxxx1xxxx1xxxx
582e12bf 9510 nand. */
fb3265b3 9511 return 1737;
c0890d26
RS
9512 }
9513 }
9514 }
9515 else
9516 {
9517 if (((word >> 13) & 0x1) == 0)
9518 {
9519 /* 33222222222211111111110000000000
9520 10987654321098765432109876543210
7684e580 9521 101001x1100xxxxx010xxxxxxxxxxxxx
582e12bf 9522 ld1sb. */
fb3265b3 9523 return 1550;
c0890d26
RS
9524 }
9525 else
9526 {
9527 /* 33222222222211111111110000000000
9528 10987654321098765432109876543210
7684e580 9529 101001x1100xxxxx011xxxxxxxxxxxxx
582e12bf 9530 ldff1sb. */
fb3265b3 9531 return 1650;
c0890d26
RS
9532 }
9533 }
9534 }
c0890d26
RS
9535 }
9536 else
9537 {
582e12bf 9538 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9539 {
9540 if (((word >> 31) & 0x1) == 0)
9541 {
9542 if (((word >> 4) & 0x1) == 0)
9543 {
9544 if (((word >> 9) & 0x1) == 0)
9545 {
9546 if (((word >> 20) & 0x1) == 0)
9547 {
9548 /* 33222222222211111111110000000000
9549 10987654321098765432109876543210
7684e580 9550 001001x10100xxxx01xxxx0xxxx0xxxx
c0890d26 9551 ands. */
fb3265b3 9552 return 1277;
c0890d26
RS
9553 }
9554 else
9555 {
9556 if (((word >> 19) & 0x1) == 0)
9557 {
9558 /* 33222222222211111111110000000000
9559 10987654321098765432109876543210
7684e580 9560 001001x101010xxx01xxxx0xxxx0xxxx
c0890d26 9561 brkas. */
fb3265b3 9562 return 1291;
c0890d26
RS
9563 }
9564 else
9565 {
9566 /* 33222222222211111111110000000000
9567 10987654321098765432109876543210
7684e580 9568 001001x101011xxx01xxxx0xxxx0xxxx
c0890d26 9569 brkns. */
fb3265b3 9570 return 1295;
c0890d26
RS
9571 }
9572 }
9573 }
9574 else
9575 {
9576 /* 33222222222211111111110000000000
9577 10987654321098765432109876543210
7684e580 9578 001001x1010xxxxx01xxxx1xxxx0xxxx
c0890d26 9579 eors. */
fb3265b3 9580 return 1364;
c0890d26
RS
9581 }
9582 }
9583 else
9584 {
9585 /* 33222222222211111111110000000000
9586 10987654321098765432109876543210
7684e580 9587 001001x1010xxxxx01xxxxxxxxx1xxxx
c0890d26 9588 bics. */
fb3265b3 9589 return 1289;
c0890d26
RS
9590 }
9591 }
9592 else
9593 {
9594 if (((word >> 13) & 0x1) == 0)
9595 {
9596 /* 33222222222211111111110000000000
9597 10987654321098765432109876543210
7684e580 9598 101001x1010xxxxx010xxxxxxxxxxxxx
c0890d26 9599 ld1w. */
fb3265b3 9600 return 1581;
c0890d26
RS
9601 }
9602 else
9603 {
9604 /* 33222222222211111111110000000000
9605 10987654321098765432109876543210
7684e580 9606 101001x1010xxxxx011xxxxxxxxxxxxx
c0890d26 9607 ldff1w. */
fb3265b3 9608 return 1681;
c0890d26
RS
9609 }
9610 }
9611 }
9612 else
9613 {
9614 if (((word >> 31) & 0x1) == 0)
9615 {
9616 if (((word >> 4) & 0x1) == 0)
9617 {
9618 if (((word >> 9) & 0x1) == 0)
9619 {
9620 if (((word >> 20) & 0x1) == 0)
9621 {
9622 /* 33222222222211111111110000000000
9623 10987654321098765432109876543210
7684e580 9624 001001x11100xxxx01xxxx0xxxx0xxxx
c0890d26 9625 orrs. */
fb3265b3 9626 return 1749;
c0890d26
RS
9627 }
9628 else
9629 {
9630 /* 33222222222211111111110000000000
9631 10987654321098765432109876543210
7684e580 9632 001001x11101xxxx01xxxx0xxxx0xxxx
c0890d26 9633 brkbs. */
fb3265b3 9634 return 1293;
c0890d26
RS
9635 }
9636 }
9637 else
9638 {
9639 /* 33222222222211111111110000000000
9640 10987654321098765432109876543210
7684e580 9641 001001x1110xxxxx01xxxx1xxxx0xxxx
c0890d26 9642 nors. */
fb3265b3 9643 return 1741;
c0890d26
RS
9644 }
9645 }
9646 else
9647 {
9648 if (((word >> 9) & 0x1) == 0)
9649 {
9650 /* 33222222222211111111110000000000
9651 10987654321098765432109876543210
7684e580 9652 001001x1110xxxxx01xxxx0xxxx1xxxx
c0890d26 9653 orns. */
fb3265b3 9654 return 1744;
c0890d26
RS
9655 }
9656 else
9657 {
9658 /* 33222222222211111111110000000000
9659 10987654321098765432109876543210
7684e580 9660 001001x1110xxxxx01xxxx1xxxx1xxxx
c0890d26 9661 nands. */
fb3265b3 9662 return 1738;
c0890d26
RS
9663 }
9664 }
9665 }
9666 else
9667 {
9668 if (((word >> 13) & 0x1) == 0)
9669 {
9670 /* 33222222222211111111110000000000
9671 10987654321098765432109876543210
7684e580 9672 101001x1110xxxxx010xxxxxxxxxxxxx
c0890d26 9673 ld1sb. */
fb3265b3 9674 return 1552;
c0890d26
RS
9675 }
9676 else
9677 {
9678 /* 33222222222211111111110000000000
9679 10987654321098765432109876543210
7684e580 9680 101001x1110xxxxx011xxxxxxxxxxxxx
c0890d26 9681 ldff1sb. */
fb3265b3 9682 return 1654;
c0890d26
RS
9683 }
9684 }
9685 }
9686 }
582e12bf
RS
9687 }
9688 else
9689 {
9690 if (((word >> 13) & 0x1) == 0)
c0890d26 9691 {
582e12bf 9692 if (((word >> 22) & 0x1) == 0)
c0890d26 9693 {
582e12bf
RS
9694 if (((word >> 23) & 0x1) == 0)
9695 {
9696 /* 33222222222211111111110000000000
9697 10987654321098765432109876543210
7684e580 9698 x01001x1001xxxxx010xxxxxxxxxxxxx
582e12bf 9699 ld1sh. */
fb3265b3 9700 return 1563;
582e12bf
RS
9701 }
9702 else
c0890d26
RS
9703 {
9704 /* 33222222222211111111110000000000
9705 10987654321098765432109876543210
7684e580 9706 x01001x1101xxxxx010xxxxxxxxxxxxx
c0890d26 9707 ld1sb. */
fb3265b3 9708 return 1551;
582e12bf
RS
9709 }
9710 }
9711 else
9712 {
9713 if (((word >> 23) & 0x1) == 0)
9714 {
9715 /* 33222222222211111111110000000000
9716 10987654321098765432109876543210
7684e580 9717 x01001x1011xxxxx010xxxxxxxxxxxxx
582e12bf 9718 ld1w. */
fb3265b3 9719 return 1582;
582e12bf
RS
9720 }
9721 else
9722 {
9723 /* 33222222222211111111110000000000
9724 10987654321098765432109876543210
7684e580 9725 x01001x1111xxxxx010xxxxxxxxxxxxx
582e12bf 9726 ld1d. */
fb3265b3 9727 return 1504;
582e12bf
RS
9728 }
9729 }
9730 }
9731 else
9732 {
9733 if (((word >> 22) & 0x1) == 0)
9734 {
9735 if (((word >> 23) & 0x1) == 0)
9736 {
9737 /* 33222222222211111111110000000000
9738 10987654321098765432109876543210
7684e580 9739 x01001x1001xxxxx011xxxxxxxxxxxxx
582e12bf 9740 ldff1sh. */
fb3265b3 9741 return 1664;
582e12bf
RS
9742 }
9743 else
9744 {
9745 /* 33222222222211111111110000000000
9746 10987654321098765432109876543210
7684e580 9747 x01001x1101xxxxx011xxxxxxxxxxxxx
582e12bf 9748 ldff1sb. */
fb3265b3 9749 return 1652;
582e12bf
RS
9750 }
9751 }
9752 else
9753 {
9754 if (((word >> 23) & 0x1) == 0)
9755 {
9756 /* 33222222222211111111110000000000
9757 10987654321098765432109876543210
7684e580 9758 x01001x1011xxxxx011xxxxxxxxxxxxx
582e12bf 9759 ldff1w. */
fb3265b3 9760 return 1683;
c0890d26
RS
9761 }
9762 else
9763 {
9764 /* 33222222222211111111110000000000
9765 10987654321098765432109876543210
7684e580 9766 x01001x1111xxxxx011xxxxxxxxxxxxx
582e12bf 9767 ldff1d. */
fb3265b3 9768 return 1628;
c0890d26
RS
9769 }
9770 }
582e12bf
RS
9771 }
9772 }
9773 }
9774 else
9775 {
9776 if (((word >> 13) & 0x1) == 0)
9777 {
9778 if (((word >> 31) & 0x1) == 0)
9779 {
9780 if (((word >> 21) & 0x1) == 0)
c0890d26 9781 {
582e12bf 9782 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
9783 {
9784 /* 33222222222211111111110000000000
9785 10987654321098765432109876543210
7684e580 9786 011001x1xx0xxxxx010xxxxxxxx0xxxx
582e12bf 9787 fcmge. */
fb3265b3 9788 return 1383;
c0890d26
RS
9789 }
9790 else
9791 {
9792 /* 33222222222211111111110000000000
9793 10987654321098765432109876543210
7684e580 9794 011001x1xx0xxxxx010xxxxxxxx1xxxx
582e12bf 9795 fcmgt. */
fb3265b3 9796 return 1385;
c0890d26
RS
9797 }
9798 }
582e12bf
RS
9799 else
9800 {
9801 /* 33222222222211111111110000000000
9802 10987654321098765432109876543210
7684e580 9803 011001x1xx1xxxxx010xxxxxxxxxxxxx
582e12bf 9804 fnmla. */
fb3265b3 9805 return 1447;
582e12bf 9806 }
c0890d26 9807 }
582e12bf 9808 else
c0890d26 9809 {
582e12bf
RS
9810 if (((word >> 22) & 0x1) == 0)
9811 {
9812 /* 33222222222211111111110000000000
9813 10987654321098765432109876543210
7684e580 9814 111001x1x0xxxxxx010xxxxxxxxxxxxx
582e12bf 9815 str. */
fb3265b3 9816 return 1933;
582e12bf
RS
9817 }
9818 else
c0890d26
RS
9819 {
9820 if (((word >> 21) & 0x1) == 0)
9821 {
582e12bf
RS
9822 /* 33222222222211111111110000000000
9823 10987654321098765432109876543210
7684e580 9824 111001x1x10xxxxx010xxxxxxxxxxxxx
582e12bf 9825 st1w. */
fb3265b3 9826 return 1892;
582e12bf
RS
9827 }
9828 else
9829 {
9830 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9831 {
9832 /* 33222222222211111111110000000000
9833 10987654321098765432109876543210
7684e580 9834 111001x1011xxxxx010xxxxxxxxxxxxx
582e12bf 9835 st1w. */
fb3265b3 9836 return 1894;
c0890d26
RS
9837 }
9838 else
9839 {
9840 /* 33222222222211111111110000000000
9841 10987654321098765432109876543210
7684e580 9842 111001x1111xxxxx010xxxxxxxxxxxxx
582e12bf 9843 st1d. */
fb3265b3 9844 return 1871;
c0890d26
RS
9845 }
9846 }
c0890d26 9847 }
582e12bf
RS
9848 }
9849 }
9850 else
9851 {
9852 if (((word >> 21) & 0x1) == 0)
9853 {
9854 if (((word >> 31) & 0x1) == 0)
c0890d26 9855 {
582e12bf 9856 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
9857 {
9858 /* 33222222222211111111110000000000
9859 10987654321098765432109876543210
7684e580 9860 011001x1xx0xxxxx011xxxxxxxx0xxxx
582e12bf 9861 fcmeq. */
fb3265b3 9862 return 1381;
c0890d26
RS
9863 }
9864 else
9865 {
9866 /* 33222222222211111111110000000000
9867 10987654321098765432109876543210
7684e580 9868 011001x1xx0xxxxx011xxxxxxxx1xxxx
582e12bf 9869 fcmne. */
fb3265b3 9870 return 1389;
c0890d26
RS
9871 }
9872 }
582e12bf 9873 else
c0890d26 9874 {
582e12bf 9875 if (((word >> 22) & 0x1) == 0)
c0890d26 9876 {
582e12bf 9877 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9878 {
9879 /* 33222222222211111111110000000000
9880 10987654321098765432109876543210
7684e580 9881 111001x1000xxxxx011xxxxxxxxxxxxx
582e12bf 9882 stnt1w. */
fb3265b3 9883 return 1930;
c0890d26
RS
9884 }
9885 else
9886 {
9887 /* 33222222222211111111110000000000
9888 10987654321098765432109876543210
7684e580 9889 111001x1100xxxxx011xxxxxxxxxxxxx
582e12bf 9890 stnt1d. */
fb3265b3 9891 return 1926;
c0890d26
RS
9892 }
9893 }
9894 else
9895 {
582e12bf 9896 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9897 {
9898 /* 33222222222211111111110000000000
9899 10987654321098765432109876543210
7684e580 9900 111001x1010xxxxx011xxxxxxxxxxxxx
582e12bf 9901 st3w. */
fb3265b3 9902 return 1914;
c0890d26
RS
9903 }
9904 else
9905 {
9906 /* 33222222222211111111110000000000
9907 10987654321098765432109876543210
7684e580 9908 111001x1110xxxxx011xxxxxxxxxxxxx
c0890d26 9909 st3d. */
fb3265b3 9910 return 1910;
c0890d26
RS
9911 }
9912 }
9913 }
582e12bf
RS
9914 }
9915 else
9916 {
9917 if (((word >> 31) & 0x1) == 0)
9918 {
9919 /* 33222222222211111111110000000000
9920 10987654321098765432109876543210
7684e580 9921 011001x1xx1xxxxx011xxxxxxxxxxxxx
582e12bf 9922 fnmls. */
fb3265b3 9923 return 1448;
582e12bf 9924 }
c0890d26
RS
9925 else
9926 {
582e12bf 9927 if (((word >> 22) & 0x1) == 0)
c0890d26 9928 {
582e12bf
RS
9929 if (((word >> 23) & 0x1) == 0)
9930 {
9931 /* 33222222222211111111110000000000
9932 10987654321098765432109876543210
7684e580 9933 111001x1001xxxxx011xxxxxxxxxxxxx
582e12bf 9934 st2w. */
fb3265b3 9935 return 1906;
582e12bf
RS
9936 }
9937 else
9938 {
9939 /* 33222222222211111111110000000000
9940 10987654321098765432109876543210
7684e580 9941 111001x1101xxxxx011xxxxxxxxxxxxx
582e12bf 9942 st2d. */
fb3265b3 9943 return 1902;
582e12bf 9944 }
c0890d26
RS
9945 }
9946 else
9947 {
582e12bf 9948 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9949 {
9950 /* 33222222222211111111110000000000
9951 10987654321098765432109876543210
7684e580 9952 111001x1011xxxxx011xxxxxxxxxxxxx
582e12bf 9953 st4w. */
fb3265b3 9954 return 1922;
c0890d26
RS
9955 }
9956 else
9957 {
9958 /* 33222222222211111111110000000000
9959 10987654321098765432109876543210
7684e580 9960 111001x1111xxxxx011xxxxxxxxxxxxx
c0890d26 9961 st4d. */
fb3265b3 9962 return 1918;
c0890d26
RS
9963 }
9964 }
9965 }
9966 }
9967 }
9968 }
9969 }
9970 }
9971 else
9972 {
9973 if (((word >> 21) & 0x1) == 0)
9974 {
9975 if (((word >> 30) & 0x1) == 0)
9976 {
9977 if (((word >> 14) & 0x1) == 0)
9978 {
9979 if (((word >> 13) & 0x1) == 0)
9980 {
9981 if (((word >> 4) & 0x1) == 0)
9982 {
9983 /* 33222222222211111111110000000000
9984 10987654321098765432109876543210
7684e580 9985 x01001x1xx0xxxxx100xxxxxxxx0xxxx
c0890d26 9986 cmpeq. */
fb3265b3 9987 return 1310;
c0890d26
RS
9988 }
9989 else
9990 {
9991 /* 33222222222211111111110000000000
9992 10987654321098765432109876543210
7684e580 9993 x01001x1xx0xxxxx100xxxxxxxx1xxxx
c0890d26 9994 cmpne. */
fb3265b3 9995 return 1333;
c0890d26
RS
9996 }
9997 }
9998 else
9999 {
10000 if (((word >> 20) & 0x1) == 0)
10001 {
10002 if (((word >> 22) & 0x1) == 0)
10003 {
10004 if (((word >> 23) & 0x1) == 0)
10005 {
10006 /* 33222222222211111111110000000000
10007 10987654321098765432109876543210
7684e580 10008 x01001x10000xxxx101xxxxxxxxxxxxx
c0890d26 10009 ld1sh. */
fb3265b3 10010 return 1569;
c0890d26
RS
10011 }
10012 else
10013 {
10014 /* 33222222222211111111110000000000
10015 10987654321098765432109876543210
7684e580 10016 x01001x11000xxxx101xxxxxxxxxxxxx
c0890d26 10017 ld1sb. */
fb3265b3 10018 return 1556;
c0890d26
RS
10019 }
10020 }
10021 else
10022 {
10023 if (((word >> 23) & 0x1) == 0)
10024 {
10025 /* 33222222222211111111110000000000
10026 10987654321098765432109876543210
7684e580 10027 x01001x10100xxxx101xxxxxxxxxxxxx
c0890d26 10028 ld1w. */
fb3265b3 10029 return 1588;
c0890d26
RS
10030 }
10031 else
10032 {
10033 /* 33222222222211111111110000000000
10034 10987654321098765432109876543210
7684e580 10035 x01001x11100xxxx101xxxxxxxxxxxxx
c0890d26 10036 ld1sb. */
fb3265b3 10037 return 1558;
c0890d26
RS
10038 }
10039 }
10040 }
10041 else
10042 {
10043 if (((word >> 22) & 0x1) == 0)
10044 {
10045 if (((word >> 23) & 0x1) == 0)
10046 {
10047 /* 33222222222211111111110000000000
10048 10987654321098765432109876543210
7684e580 10049 x01001x10001xxxx101xxxxxxxxxxxxx
c0890d26 10050 ldnf1sh. */
fb3265b3 10051 return 1702;
c0890d26
RS
10052 }
10053 else
10054 {
10055 /* 33222222222211111111110000000000
10056 10987654321098765432109876543210
7684e580 10057 x01001x11001xxxx101xxxxxxxxxxxxx
c0890d26 10058 ldnf1sb. */
fb3265b3 10059 return 1699;
c0890d26
RS
10060 }
10061 }
10062 else
10063 {
10064 if (((word >> 23) & 0x1) == 0)
10065 {
10066 /* 33222222222211111111110000000000
10067 10987654321098765432109876543210
7684e580 10068 x01001x10101xxxx101xxxxxxxxxxxxx
c0890d26 10069 ldnf1w. */
fb3265b3 10070 return 1705;
c0890d26
RS
10071 }
10072 else
10073 {
10074 /* 33222222222211111111110000000000
10075 10987654321098765432109876543210
7684e580 10076 x01001x11101xxxx101xxxxxxxxxxxxx
c0890d26 10077 ldnf1sb. */
fb3265b3 10078 return 1701;
c0890d26
RS
10079 }
10080 }
10081 }
10082 }
10083 }
10084 else
10085 {
10086 if (((word >> 31) & 0x1) == 0)
10087 {
10088 if (((word >> 4) & 0x1) == 0)
10089 {
10090 if (((word >> 20) & 0x1) == 0)
10091 {
10092 if (((word >> 22) & 0x1) == 0)
10093 {
10094 /* 33222222222211111111110000000000
10095 10987654321098765432109876543210
7684e580 10096 001001x1x000xxxx11xxxxxxxxx0xxxx
c0890d26 10097 brkpa. */
fb3265b3 10098 return 1296;
c0890d26
RS
10099 }
10100 else
10101 {
10102 /* 33222222222211111111110000000000
10103 10987654321098765432109876543210
7684e580 10104 001001x1x100xxxx11xxxxxxxxx0xxxx
c0890d26 10105 brkpas. */
fb3265b3 10106 return 1297;
c0890d26
RS
10107 }
10108 }
10109 else
10110 {
10111 if (((word >> 16) & 0x1) == 0)
10112 {
10113 if (((word >> 19) & 0x1) == 0)
10114 {
10115 /* 33222222222211111111110000000000
10116 10987654321098765432109876543210
7684e580 10117 001001x1xx010xx011xxxxxxxxx0xxxx
c0890d26 10118 ptest. */
fb3265b3 10119 return 1782;
c0890d26
RS
10120 }
10121 else
10122 {
10123 if (((word >> 10) & 0x1) == 0)
10124 {
10125 if (((word >> 12) & 0x1) == 0)
10126 {
10127 if (((word >> 13) & 0x1) == 0)
10128 {
10129 /* 33222222222211111111110000000000
10130 10987654321098765432109876543210
7684e580 10131 001001x1xx011xx01100x0xxxxx0xxxx
c0890d26 10132 pfirst. */
fb3265b3 10133 return 1752;
c0890d26
RS
10134 }
10135 else
10136 {
10137 /* 33222222222211111111110000000000
10138 10987654321098765432109876543210
7684e580 10139 001001x1xx011xx01110x0xxxxx0xxxx
c0890d26 10140 ptrue. */
fb3265b3 10141 return 1783;
c0890d26
RS
10142 }
10143 }
10144 else
10145 {
10146 if (((word >> 22) & 0x1) == 0)
10147 {
10148 /* 33222222222211111111110000000000
10149 10987654321098765432109876543210
7684e580 10150 001001x1x0011xx011x1x0xxxxx0xxxx
c0890d26 10151 rdffr. */
fb3265b3 10152 return 1789;
c0890d26
RS
10153 }
10154 else
10155 {
10156 /* 33222222222211111111110000000000
10157 10987654321098765432109876543210
7684e580 10158 001001x1x1011xx011x1x0xxxxx0xxxx
c0890d26 10159 rdffrs. */
fb3265b3 10160 return 1790;
c0890d26
RS
10161 }
10162 }
10163 }
10164 else
10165 {
10166 /* 33222222222211111111110000000000
10167 10987654321098765432109876543210
7684e580 10168 001001x1xx011xx011xxx1xxxxx0xxxx
c0890d26 10169 pfalse. */
fb3265b3 10170 return 1751;
c0890d26
RS
10171 }
10172 }
10173 }
10174 else
10175 {
10176 if (((word >> 10) & 0x1) == 0)
10177 {
10178 if (((word >> 12) & 0x1) == 0)
10179 {
10180 /* 33222222222211111111110000000000
10181 10987654321098765432109876543210
7684e580 10182 001001x1xx01xxx111x0x0xxxxx0xxxx
c0890d26 10183 ptrues. */
fb3265b3 10184 return 1784;
c0890d26
RS
10185 }
10186 else
10187 {
10188 /* 33222222222211111111110000000000
10189 10987654321098765432109876543210
7684e580 10190 001001x1xx01xxx111x1x0xxxxx0xxxx
c0890d26 10191 rdffr. */
fb3265b3 10192 return 1788;
c0890d26
RS
10193 }
10194 }
10195 else
10196 {
10197 /* 33222222222211111111110000000000
10198 10987654321098765432109876543210
7684e580 10199 001001x1xx01xxx111xxx1xxxxx0xxxx
c0890d26 10200 pnext. */
fb3265b3 10201 return 1753;
c0890d26
RS
10202 }
10203 }
10204 }
10205 }
10206 else
10207 {
10208 if (((word >> 22) & 0x1) == 0)
10209 {
10210 /* 33222222222211111111110000000000
10211 10987654321098765432109876543210
7684e580 10212 001001x1x00xxxxx11xxxxxxxxx1xxxx
c0890d26 10213 brkpb. */
fb3265b3 10214 return 1298;
c0890d26
RS
10215 }
10216 else
10217 {
10218 /* 33222222222211111111110000000000
10219 10987654321098765432109876543210
7684e580 10220 001001x1x10xxxxx11xxxxxxxxx1xxxx
c0890d26 10221 brkpbs. */
fb3265b3 10222 return 1299;
c0890d26
RS
10223 }
10224 }
10225 }
10226 else
10227 {
10228 if (((word >> 13) & 0x1) == 0)
10229 {
10230 if (((word >> 22) & 0x1) == 0)
10231 {
10232 if (((word >> 23) & 0x1) == 0)
10233 {
10234 /* 33222222222211111111110000000000
10235 10987654321098765432109876543210
7684e580 10236 101001x1000xxxxx110xxxxxxxxxxxxx
c0890d26 10237 ldnt1w. */
fb3265b3 10238 return 1713;
c0890d26
RS
10239 }
10240 else
10241 {
10242 /* 33222222222211111111110000000000
10243 10987654321098765432109876543210
7684e580 10244 101001x1100xxxxx110xxxxxxxxxxxxx
c0890d26 10245 ldnt1d. */
fb3265b3 10246 return 1709;
c0890d26
RS
10247 }
10248 }
10249 else
10250 {
10251 if (((word >> 23) & 0x1) == 0)
10252 {
10253 /* 33222222222211111111110000000000
10254 10987654321098765432109876543210
7684e580 10255 101001x1010xxxxx110xxxxxxxxxxxxx
c0890d26 10256 ld3w. */
fb3265b3 10257 return 1605;
c0890d26
RS
10258 }
10259 else
10260 {
10261 /* 33222222222211111111110000000000
10262 10987654321098765432109876543210
7684e580 10263 101001x1110xxxxx110xxxxxxxxxxxxx
c0890d26 10264 ld3d. */
fb3265b3 10265 return 1601;
c0890d26
RS
10266 }
10267 }
10268 }
10269 else
10270 {
10271 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
10272 {
10273 if (((word >> 23) & 0x1) == 0)
10274 {
10275 /* 33222222222211111111110000000000
10276 10987654321098765432109876543210
7684e580 10277 101001x1000xxxxx111xxxxxxxxxxxxx
582e12bf 10278 ldnt1w. */
fb3265b3 10279 return 1714;
582e12bf
RS
10280 }
10281 else
10282 {
10283 /* 33222222222211111111110000000000
10284 10987654321098765432109876543210
7684e580 10285 101001x1100xxxxx111xxxxxxxxxxxxx
582e12bf 10286 ldnt1d. */
fb3265b3 10287 return 1710;
582e12bf
RS
10288 }
10289 }
10290 else
10291 {
10292 if (((word >> 23) & 0x1) == 0)
10293 {
10294 /* 33222222222211111111110000000000
10295 10987654321098765432109876543210
7684e580 10296 101001x1010xxxxx111xxxxxxxxxxxxx
582e12bf 10297 ld3w. */
fb3265b3 10298 return 1606;
c0890d26
RS
10299 }
10300 else
582e12bf
RS
10301 {
10302 /* 33222222222211111111110000000000
10303 10987654321098765432109876543210
7684e580 10304 101001x1110xxxxx111xxxxxxxxxxxxx
582e12bf 10305 ld3d. */
fb3265b3 10306 return 1602;
582e12bf
RS
10307 }
10308 }
10309 }
10310 }
10311 }
10312 }
10313 else
10314 {
10315 if (((word >> 13) & 0x1) == 0)
10316 {
10317 if (((word >> 31) & 0x1) == 0)
10318 {
10319 if (((word >> 14) & 0x1) == 0)
10320 {
10321 if (((word >> 19) & 0x1) == 0)
10322 {
10323 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
10324 {
10325 if (((word >> 16) & 0x1) == 0)
10326 {
10327 if (((word >> 17) & 0x1) == 0)
10328 {
10329 if (((word >> 18) & 0x1) == 0)
10330 {
582e12bf
RS
10331 /* 33222222222211111111110000000000
10332 10987654321098765432109876543210
7684e580 10333 011001x1xx000000100xxxxxxxxxxxxx
582e12bf 10334 fadd. */
fb3265b3 10335 return 1372;
c0890d26
RS
10336 }
10337 else
10338 {
582e12bf
RS
10339 /* 33222222222211111111110000000000
10340 10987654321098765432109876543210
7684e580 10341 011001x1xx000100100xxxxxxxxxxxxx
582e12bf 10342 fmaxnm. */
fb3265b3 10343 return 1419;
c0890d26
RS
10344 }
10345 }
10346 else
10347 {
10348 if (((word >> 18) & 0x1) == 0)
10349 {
582e12bf
RS
10350 /* 33222222222211111111110000000000
10351 10987654321098765432109876543210
7684e580 10352 011001x1xx000010100xxxxxxxxxxxxx
582e12bf 10353 fmul. */
fb3265b3 10354 return 1439;
c0890d26
RS
10355 }
10356 else
10357 {
10358 /* 33222222222211111111110000000000
10359 10987654321098765432109876543210
7684e580 10360 011001x1xx000110100xxxxxxxxxxxxx
c0890d26 10361 fmax. */
fb3265b3 10362 return 1417;
c0890d26
RS
10363 }
10364 }
10365 }
10366 else
10367 {
10368 if (((word >> 17) & 0x1) == 0)
10369 {
10370 if (((word >> 18) & 0x1) == 0)
10371 {
582e12bf
RS
10372 /* 33222222222211111111110000000000
10373 10987654321098765432109876543210
7684e580 10374 011001x1xx000001100xxxxxxxxxxxxx
582e12bf 10375 fsub. */
fb3265b3 10376 return 1465;
c0890d26
RS
10377 }
10378 else
10379 {
582e12bf
RS
10380 /* 33222222222211111111110000000000
10381 10987654321098765432109876543210
7684e580 10382 011001x1xx000101100xxxxxxxxxxxxx
582e12bf 10383 fminnm. */
fb3265b3 10384 return 1425;
c0890d26
RS
10385 }
10386 }
10387 else
10388 {
10389 if (((word >> 18) & 0x1) == 0)
10390 {
10391 /* 33222222222211111111110000000000
10392 10987654321098765432109876543210
7684e580 10393 011001x1xx000011100xxxxxxxxxxxxx
c0890d26 10394 fsubr. */
fb3265b3 10395 return 1467;
c0890d26
RS
10396 }
10397 else
10398 {
10399 /* 33222222222211111111110000000000
10400 10987654321098765432109876543210
7684e580 10401 011001x1xx000111100xxxxxxxxxxxxx
c0890d26 10402 fmin. */
fb3265b3 10403 return 1423;
c0890d26
RS
10404 }
10405 }
10406 }
10407 }
582e12bf
RS
10408 else
10409 {
10410 /* 33222222222211111111110000000000
10411 10987654321098765432109876543210
7684e580 10412 011001x1xx010xxx100xxxxxxxxxxxxx
582e12bf 10413 ftmad. */
fb3265b3 10414 return 1469;
582e12bf 10415 }
c0890d26
RS
10416 }
10417 else
10418 {
582e12bf 10419 if (((word >> 16) & 0x1) == 0)
c0890d26 10420 {
582e12bf
RS
10421 if (((word >> 17) & 0x1) == 0)
10422 {
10423 if (((word >> 18) & 0x1) == 0)
10424 {
10425 if (((word >> 20) & 0x1) == 0)
10426 {
10427 /* 33222222222211111111110000000000
10428 10987654321098765432109876543210
7684e580 10429 011001x1xx001000100xxxxxxxxxxxxx
582e12bf 10430 fabd. */
fb3265b3 10431 return 1367;
582e12bf
RS
10432 }
10433 else
10434 {
10435 /* 33222222222211111111110000000000
10436 10987654321098765432109876543210
7684e580 10437 011001x1xx011000100xxxxxxxxxxxxx
582e12bf 10438 fadd. */
fb3265b3 10439 return 1373;
582e12bf
RS
10440 }
10441 }
10442 else
10443 {
10444 if (((word >> 20) & 0x1) == 0)
10445 {
10446 /* 33222222222211111111110000000000
10447 10987654321098765432109876543210
7684e580 10448 011001x1xx001100100xxxxxxxxxxxxx
582e12bf 10449 fdivr. */
fb3265b3 10450 return 1413;
582e12bf
RS
10451 }
10452 else
10453 {
10454 /* 33222222222211111111110000000000
10455 10987654321098765432109876543210
7684e580 10456 011001x1xx011100100xxxxxxxxxxxxx
582e12bf 10457 fmaxnm. */
fb3265b3 10458 return 1420;
582e12bf
RS
10459 }
10460 }
10461 }
10462 else
10463 {
10464 if (((word >> 18) & 0x1) == 0)
10465 {
10466 if (((word >> 20) & 0x1) == 0)
10467 {
10468 /* 33222222222211111111110000000000
10469 10987654321098765432109876543210
7684e580 10470 011001x1xx001010100xxxxxxxxxxxxx
582e12bf 10471 fmulx. */
fb3265b3 10472 return 1444;
582e12bf
RS
10473 }
10474 else
10475 {
10476 /* 33222222222211111111110000000000
10477 10987654321098765432109876543210
7684e580 10478 011001x1xx011010100xxxxxxxxxxxxx
582e12bf 10479 fmul. */
fb3265b3 10480 return 1440;
582e12bf
RS
10481 }
10482 }
10483 else
10484 {
10485 /* 33222222222211111111110000000000
10486 10987654321098765432109876543210
7684e580 10487 011001x1xx0x1110100xxxxxxxxxxxxx
582e12bf 10488 fmax. */
fb3265b3 10489 return 1418;
582e12bf
RS
10490 }
10491 }
c0890d26
RS
10492 }
10493 else
10494 {
582e12bf
RS
10495 if (((word >> 17) & 0x1) == 0)
10496 {
10497 if (((word >> 18) & 0x1) == 0)
10498 {
10499 if (((word >> 20) & 0x1) == 0)
10500 {
10501 /* 33222222222211111111110000000000
10502 10987654321098765432109876543210
7684e580 10503 011001x1xx001001100xxxxxxxxxxxxx
582e12bf 10504 fscale. */
fb3265b3 10505 return 1462;
582e12bf
RS
10506 }
10507 else
10508 {
10509 /* 33222222222211111111110000000000
10510 10987654321098765432109876543210
7684e580 10511 011001x1xx011001100xxxxxxxxxxxxx
582e12bf 10512 fsub. */
fb3265b3 10513 return 1466;
582e12bf
RS
10514 }
10515 }
10516 else
10517 {
10518 if (((word >> 20) & 0x1) == 0)
10519 {
10520 /* 33222222222211111111110000000000
10521 10987654321098765432109876543210
7684e580 10522 011001x1xx001101100xxxxxxxxxxxxx
582e12bf 10523 fdiv. */
fb3265b3 10524 return 1412;
582e12bf
RS
10525 }
10526 else
10527 {
10528 /* 33222222222211111111110000000000
10529 10987654321098765432109876543210
7684e580 10530 011001x1xx011101100xxxxxxxxxxxxx
582e12bf 10531 fminnm. */
fb3265b3 10532 return 1426;
582e12bf
RS
10533 }
10534 }
10535 }
10536 else
10537 {
10538 if (((word >> 18) & 0x1) == 0)
10539 {
10540 /* 33222222222211111111110000000000
10541 10987654321098765432109876543210
7684e580 10542 011001x1xx0x1011100xxxxxxxxxxxxx
582e12bf 10543 fsubr. */
fb3265b3 10544 return 1468;
582e12bf
RS
10545 }
10546 else
10547 {
10548 /* 33222222222211111111110000000000
10549 10987654321098765432109876543210
7684e580 10550 011001x1xx0x1111100xxxxxxxxxxxxx
582e12bf 10551 fmin. */
fb3265b3 10552 return 1424;
582e12bf
RS
10553 }
10554 }
c0890d26
RS
10555 }
10556 }
10557 }
10558 else
10559 {
582e12bf
RS
10560 if (((word >> 4) & 0x1) == 0)
10561 {
10562 /* 33222222222211111111110000000000
10563 10987654321098765432109876543210
7684e580 10564 011001x1xx0xxxxx110xxxxxxxx0xxxx
582e12bf 10565 fcmuo. */
fb3265b3 10566 return 1390;
582e12bf
RS
10567 }
10568 else
10569 {
10570 /* 33222222222211111111110000000000
10571 10987654321098765432109876543210
7684e580 10572 011001x1xx0xxxxx110xxxxxxxx1xxxx
582e12bf 10573 facge. */
fb3265b3 10574 return 1369;
582e12bf 10575 }
c0890d26
RS
10576 }
10577 }
582e12bf 10578 else
c0890d26 10579 {
582e12bf 10580 if (((word >> 22) & 0x1) == 0)
c0890d26 10581 {
582e12bf 10582 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10583 {
10584 /* 33222222222211111111110000000000
10585 10987654321098765432109876543210
7684e580 10586 111001x1000xxxxx1x0xxxxxxxxxxxxx
c0890d26 10587 st1w. */
fb3265b3 10588 return 1888;
c0890d26
RS
10589 }
10590 else
10591 {
10592 /* 33222222222211111111110000000000
10593 10987654321098765432109876543210
7684e580 10594 111001x1100xxxxx1x0xxxxxxxxxxxxx
582e12bf 10595 st1d. */
fb3265b3 10596 return 1867;
c0890d26
RS
10597 }
10598 }
10599 else
10600 {
582e12bf
RS
10601 /* 33222222222211111111110000000000
10602 10987654321098765432109876543210
7684e580 10603 111001x1x10xxxxx1x0xxxxxxxxxxxxx
582e12bf 10604 st1w. */
fb3265b3 10605 return 1893;
582e12bf
RS
10606 }
10607 }
10608 }
10609 else
10610 {
10611 if (((word >> 14) & 0x1) == 0)
10612 {
10613 if (((word >> 31) & 0x1) == 0)
10614 {
10615 if (((word >> 16) & 0x1) == 0)
c0890d26 10616 {
582e12bf 10617 if (((word >> 17) & 0x1) == 0)
c0890d26 10618 {
582e12bf 10619 if (((word >> 18) & 0x1) == 0)
c0890d26 10620 {
582e12bf 10621 if (((word >> 19) & 0x1) == 0)
c0890d26 10622 {
582e12bf 10623 if (((word >> 20) & 0x1) == 0)
c0890d26 10624 {
582e12bf
RS
10625 /* 33222222222211111111110000000000
10626 10987654321098765432109876543210
7684e580 10627 011001x1xx000000101xxxxxxxxxxxxx
582e12bf 10628 frintn. */
fb3265b3 10629 return 1456;
582e12bf
RS
10630 }
10631 else
10632 {
10633 /* 33222222222211111111110000000000
10634 10987654321098765432109876543210
7684e580 10635 011001x1xx010000101xxxxxxxxxxxxx
582e12bf 10636 scvtf. */
fb3265b3 10637 return 1802;
582e12bf
RS
10638 }
10639 }
10640 else
10641 {
10642 if (((word >> 20) & 0x1) == 0)
10643 {
10644 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10645 {
10646 /* 33222222222211111111110000000000
10647 10987654321098765432109876543210
7684e580 10648 011001x1x0001000101xxxxxxxxxxxxx
582e12bf 10649 fcvt. */
fb3265b3 10650 return 1392;
c0890d26
RS
10651 }
10652 else
10653 {
10654 /* 33222222222211111111110000000000
10655 10987654321098765432109876543210
7684e580 10656 011001x1x1001000101xxxxxxxxxxxxx
582e12bf 10657 fcvt. */
fb3265b3 10658 return 1394;
c0890d26
RS
10659 }
10660 }
10661 else
10662 {
582e12bf
RS
10663 /* 33222222222211111111110000000000
10664 10987654321098765432109876543210
7684e580 10665 011001x1xx011000101xxxxxxxxxxxxx
582e12bf 10666 fcvtzs. */
fb3265b3 10667 return 1402;
c0890d26
RS
10668 }
10669 }
582e12bf
RS
10670 }
10671 else
10672 {
10673 if (((word >> 19) & 0x1) == 0)
c0890d26 10674 {
582e12bf 10675 if (((word >> 20) & 0x1) == 0)
c0890d26 10676 {
582e12bf
RS
10677 /* 33222222222211111111110000000000
10678 10987654321098765432109876543210
7684e580 10679 011001x1xx000100101xxxxxxxxxxxxx
582e12bf 10680 frinta. */
fb3265b3 10681 return 1453;
582e12bf
RS
10682 }
10683 else
10684 {
10685 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10686 {
10687 /* 33222222222211111111110000000000
10688 10987654321098765432109876543210
7684e580 10689 011001x1x0010100101xxxxxxxxxxxxx
582e12bf 10690 scvtf. */
fb3265b3 10691 return 1801;
c0890d26
RS
10692 }
10693 else
10694 {
582e12bf 10695 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10696 {
10697 /* 33222222222211111111110000000000
10698 10987654321098765432109876543210
7684e580 10699 011001x101010100101xxxxxxxxxxxxx
c0890d26 10700 scvtf. */
fb3265b3 10701 return 1800;
c0890d26
RS
10702 }
10703 else
10704 {
10705 /* 33222222222211111111110000000000
10706 10987654321098765432109876543210
7684e580 10707 011001x111010100101xxxxxxxxxxxxx
c0890d26 10708 scvtf. */
fb3265b3 10709 return 1804;
c0890d26
RS
10710 }
10711 }
10712 }
582e12bf
RS
10713 }
10714 else
10715 {
10716 if (((word >> 20) & 0x1) == 0)
10717 {
10718 /* 33222222222211111111110000000000
10719 10987654321098765432109876543210
7684e580 10720 011001x1xx001100101xxxxxxxxxxxxx
582e12bf 10721 frecpx. */
fb3265b3 10722 return 1452;
582e12bf 10723 }
c0890d26
RS
10724 else
10725 {
582e12bf 10726 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10727 {
10728 /* 33222222222211111111110000000000
10729 10987654321098765432109876543210
7684e580 10730 011001x1x0011100101xxxxxxxxxxxxx
582e12bf 10731 fcvtzs. */
fb3265b3 10732 return 1401;
c0890d26
RS
10733 }
10734 else
10735 {
582e12bf 10736 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10737 {
10738 /* 33222222222211111111110000000000
10739 10987654321098765432109876543210
7684e580 10740 011001x101011100101xxxxxxxxxxxxx
c0890d26 10741 fcvtzs. */
fb3265b3 10742 return 1399;
c0890d26
RS
10743 }
10744 else
10745 {
10746 /* 33222222222211111111110000000000
10747 10987654321098765432109876543210
7684e580 10748 011001x111011100101xxxxxxxxxxxxx
c0890d26 10749 fcvtzs. */
fb3265b3 10750 return 1403;
c0890d26
RS
10751 }
10752 }
10753 }
10754 }
10755 }
582e12bf
RS
10756 }
10757 else
10758 {
10759 if (((word >> 18) & 0x1) == 0)
c0890d26 10760 {
582e12bf 10761 if (((word >> 19) & 0x1) == 0)
c0890d26 10762 {
582e12bf 10763 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
10764 {
10765 /* 33222222222211111111110000000000
10766 10987654321098765432109876543210
7684e580 10767 011001x1xx000010101xxxxxxxxxxxxx
c0890d26 10768 frintm. */
fb3265b3 10769 return 1455;
c0890d26
RS
10770 }
10771 else
10772 {
10773 /* 33222222222211111111110000000000
10774 10987654321098765432109876543210
7684e580 10775 011001x1xx010010101xxxxxxxxxxxxx
582e12bf 10776 scvtf. */
fb3265b3 10777 return 1799;
c0890d26
RS
10778 }
10779 }
10780 else
10781 {
582e12bf 10782 if (((word >> 20) & 0x1) == 0)
c0890d26 10783 {
582e12bf
RS
10784 /* 33222222222211111111110000000000
10785 10987654321098765432109876543210
7684e580 10786 011001x1xx001010101xxxxxxxxxxxxx
582e12bf 10787 fcvt. */
fb3265b3 10788 return 1396;
582e12bf
RS
10789 }
10790 else
10791 {
10792 /* 33222222222211111111110000000000
10793 10987654321098765432109876543210
7684e580 10794 011001x1xx011010101xxxxxxxxxxxxx
582e12bf 10795 fcvtzs. */
fb3265b3 10796 return 1398;
582e12bf
RS
10797 }
10798 }
10799 }
10800 else
10801 {
10802 if (((word >> 19) & 0x1) == 0)
10803 {
10804 if (((word >> 20) & 0x1) == 0)
10805 {
10806 /* 33222222222211111111110000000000
10807 10987654321098765432109876543210
7684e580 10808 011001x1xx000110101xxxxxxxxxxxxx
582e12bf 10809 frintx. */
fb3265b3 10810 return 1458;
582e12bf
RS
10811 }
10812 else
10813 {
10814 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10815 {
10816 /* 33222222222211111111110000000000
10817 10987654321098765432109876543210
7684e580 10818 011001x10x010110101xxxxxxxxxxxxx
582e12bf 10819 scvtf. */
fb3265b3 10820 return 1803;
c0890d26
RS
10821 }
10822 else
10823 {
10824 /* 33222222222211111111110000000000
10825 10987654321098765432109876543210
7684e580 10826 011001x11x010110101xxxxxxxxxxxxx
c0890d26 10827 scvtf. */
fb3265b3 10828 return 1805;
c0890d26
RS
10829 }
10830 }
582e12bf
RS
10831 }
10832 else
10833 {
10834 if (((word >> 23) & 0x1) == 0)
10835 {
10836 /* 33222222222211111111110000000000
10837 10987654321098765432109876543210
7684e580 10838 011001x10x0x1110101xxxxxxxxxxxxx
582e12bf 10839 fcvtzs. */
fb3265b3 10840 return 1400;
582e12bf 10841 }
c0890d26
RS
10842 else
10843 {
10844 /* 33222222222211111111110000000000
10845 10987654321098765432109876543210
7684e580 10846 011001x11x0x1110101xxxxxxxxxxxxx
c0890d26 10847 fcvtzs. */
fb3265b3 10848 return 1404;
c0890d26
RS
10849 }
10850 }
10851 }
10852 }
582e12bf
RS
10853 }
10854 else
10855 {
10856 if (((word >> 17) & 0x1) == 0)
c0890d26 10857 {
582e12bf 10858 if (((word >> 18) & 0x1) == 0)
c0890d26 10859 {
582e12bf 10860 if (((word >> 19) & 0x1) == 0)
c0890d26 10861 {
582e12bf 10862 if (((word >> 20) & 0x1) == 0)
c0890d26 10863 {
582e12bf
RS
10864 /* 33222222222211111111110000000000
10865 10987654321098765432109876543210
7684e580 10866 011001x1xx000001101xxxxxxxxxxxxx
582e12bf 10867 frintp. */
fb3265b3 10868 return 1457;
582e12bf
RS
10869 }
10870 else
10871 {
10872 /* 33222222222211111111110000000000
10873 10987654321098765432109876543210
7684e580 10874 011001x1xx010001101xxxxxxxxxxxxx
582e12bf 10875 ucvtf. */
fb3265b3 10876 return 1954;
582e12bf
RS
10877 }
10878 }
10879 else
10880 {
10881 if (((word >> 20) & 0x1) == 0)
10882 {
10883 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10884 {
10885 /* 33222222222211111111110000000000
10886 10987654321098765432109876543210
7684e580 10887 011001x1x0001001101xxxxxxxxxxxxx
582e12bf 10888 fcvt. */
fb3265b3 10889 return 1393;
c0890d26
RS
10890 }
10891 else
10892 {
10893 /* 33222222222211111111110000000000
10894 10987654321098765432109876543210
7684e580 10895 011001x1x1001001101xxxxxxxxxxxxx
582e12bf 10896 fcvt. */
fb3265b3 10897 return 1395;
c0890d26
RS
10898 }
10899 }
10900 else
10901 {
582e12bf
RS
10902 /* 33222222222211111111110000000000
10903 10987654321098765432109876543210
7684e580 10904 011001x1xx011001101xxxxxxxxxxxxx
582e12bf 10905 fcvtzu. */
fb3265b3 10906 return 1409;
c0890d26
RS
10907 }
10908 }
582e12bf
RS
10909 }
10910 else
10911 {
10912 if (((word >> 19) & 0x1) == 0)
c0890d26 10913 {
582e12bf 10914 if (((word >> 22) & 0x1) == 0)
c0890d26 10915 {
582e12bf
RS
10916 /* 33222222222211111111110000000000
10917 10987654321098765432109876543210
7684e580 10918 011001x1x00x0101101xxxxxxxxxxxxx
582e12bf 10919 ucvtf. */
fb3265b3 10920 return 1953;
582e12bf
RS
10921 }
10922 else
10923 {
10924 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10925 {
10926 /* 33222222222211111111110000000000
10927 10987654321098765432109876543210
7684e580 10928 011001x1010x0101101xxxxxxxxxxxxx
c0890d26 10929 ucvtf. */
fb3265b3 10930 return 1952;
c0890d26
RS
10931 }
10932 else
10933 {
10934 /* 33222222222211111111110000000000
10935 10987654321098765432109876543210
7684e580 10936 011001x1110x0101101xxxxxxxxxxxxx
c0890d26 10937 ucvtf. */
fb3265b3 10938 return 1956;
c0890d26
RS
10939 }
10940 }
582e12bf
RS
10941 }
10942 else
10943 {
10944 if (((word >> 20) & 0x1) == 0)
10945 {
10946 /* 33222222222211111111110000000000
10947 10987654321098765432109876543210
7684e580 10948 011001x1xx001101101xxxxxxxxxxxxx
582e12bf 10949 fsqrt. */
fb3265b3 10950 return 1463;
582e12bf 10951 }
c0890d26
RS
10952 else
10953 {
582e12bf 10954 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10955 {
10956 /* 33222222222211111111110000000000
10957 10987654321098765432109876543210
7684e580 10958 011001x1x0011101101xxxxxxxxxxxxx
582e12bf 10959 fcvtzu. */
fb3265b3 10960 return 1408;
c0890d26
RS
10961 }
10962 else
10963 {
582e12bf 10964 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10965 {
10966 /* 33222222222211111111110000000000
10967 10987654321098765432109876543210
7684e580 10968 011001x101011101101xxxxxxxxxxxxx
c0890d26 10969 fcvtzu. */
fb3265b3 10970 return 1406;
c0890d26
RS
10971 }
10972 else
10973 {
10974 /* 33222222222211111111110000000000
10975 10987654321098765432109876543210
7684e580 10976 011001x111011101101xxxxxxxxxxxxx
c0890d26 10977 fcvtzu. */
fb3265b3 10978 return 1410;
c0890d26
RS
10979 }
10980 }
10981 }
10982 }
10983 }
582e12bf
RS
10984 }
10985 else
10986 {
10987 if (((word >> 18) & 0x1) == 0)
c0890d26 10988 {
582e12bf 10989 if (((word >> 19) & 0x1) == 0)
c0890d26 10990 {
582e12bf 10991 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
10992 {
10993 /* 33222222222211111111110000000000
10994 10987654321098765432109876543210
7684e580 10995 011001x1xx000011101xxxxxxxxxxxxx
c0890d26 10996 frintz. */
fb3265b3 10997 return 1459;
c0890d26
RS
10998 }
10999 else
11000 {
11001 /* 33222222222211111111110000000000
11002 10987654321098765432109876543210
7684e580 11003 011001x1xx010011101xxxxxxxxxxxxx
582e12bf 11004 ucvtf. */
fb3265b3 11005 return 1951;
c0890d26
RS
11006 }
11007 }
11008 else
11009 {
582e12bf 11010 if (((word >> 20) & 0x1) == 0)
c0890d26 11011 {
582e12bf
RS
11012 /* 33222222222211111111110000000000
11013 10987654321098765432109876543210
7684e580 11014 011001x1xx001011101xxxxxxxxxxxxx
582e12bf 11015 fcvt. */
fb3265b3 11016 return 1397;
582e12bf
RS
11017 }
11018 else
11019 {
11020 /* 33222222222211111111110000000000
11021 10987654321098765432109876543210
7684e580 11022 011001x1xx011011101xxxxxxxxxxxxx
582e12bf 11023 fcvtzu. */
fb3265b3 11024 return 1405;
582e12bf
RS
11025 }
11026 }
11027 }
11028 else
11029 {
11030 if (((word >> 19) & 0x1) == 0)
11031 {
11032 if (((word >> 20) & 0x1) == 0)
11033 {
11034 /* 33222222222211111111110000000000
11035 10987654321098765432109876543210
7684e580 11036 011001x1xx000111101xxxxxxxxxxxxx
582e12bf 11037 frinti. */
fb3265b3 11038 return 1454;
582e12bf
RS
11039 }
11040 else
11041 {
11042 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11043 {
11044 /* 33222222222211111111110000000000
11045 10987654321098765432109876543210
7684e580 11046 011001x10x010111101xxxxxxxxxxxxx
582e12bf 11047 ucvtf. */
fb3265b3 11048 return 1955;
c0890d26
RS
11049 }
11050 else
11051 {
11052 /* 33222222222211111111110000000000
11053 10987654321098765432109876543210
7684e580 11054 011001x11x010111101xxxxxxxxxxxxx
c0890d26 11055 ucvtf. */
fb3265b3 11056 return 1957;
c0890d26
RS
11057 }
11058 }
582e12bf
RS
11059 }
11060 else
11061 {
11062 if (((word >> 23) & 0x1) == 0)
11063 {
11064 /* 33222222222211111111110000000000
11065 10987654321098765432109876543210
7684e580 11066 011001x10x0x1111101xxxxxxxxxxxxx
582e12bf 11067 fcvtzu. */
fb3265b3 11068 return 1407;
582e12bf 11069 }
c0890d26
RS
11070 else
11071 {
11072 /* 33222222222211111111110000000000
11073 10987654321098765432109876543210
7684e580 11074 011001x11x0x1111101xxxxxxxxxxxxx
c0890d26 11075 fcvtzu. */
fb3265b3 11076 return 1411;
c0890d26
RS
11077 }
11078 }
11079 }
11080 }
11081 }
582e12bf
RS
11082 }
11083 else
11084 {
11085 if (((word >> 22) & 0x1) == 0)
c0890d26 11086 {
582e12bf 11087 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11088 {
11089 /* 33222222222211111111110000000000
11090 10987654321098765432109876543210
7684e580 11091 111001x1000xxxxx101xxxxxxxxxxxxx
582e12bf 11092 st1w. */
fb3265b3 11093 return 1889;
c0890d26
RS
11094 }
11095 else
11096 {
11097 /* 33222222222211111111110000000000
11098 10987654321098765432109876543210
7684e580 11099 111001x1100xxxxx101xxxxxxxxxxxxx
c0890d26 11100 st1d. */
fb3265b3 11101 return 1868;
c0890d26
RS
11102 }
11103 }
c0890d26
RS
11104 else
11105 {
582e12bf 11106 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11107 {
11108 /* 33222222222211111111110000000000
11109 10987654321098765432109876543210
7684e580 11110 111001x1010xxxxx101xxxxxxxxxxxxx
582e12bf 11111 st1w. */
fb3265b3 11112 return 1896;
c0890d26
RS
11113 }
11114 else
11115 {
11116 /* 33222222222211111111110000000000
11117 10987654321098765432109876543210
7684e580 11118 111001x1110xxxxx101xxxxxxxxxxxxx
582e12bf 11119 st1d. */
fb3265b3 11120 return 1872;
c0890d26
RS
11121 }
11122 }
11123 }
582e12bf
RS
11124 }
11125 else
11126 {
11127 if (((word >> 31) & 0x1) == 0)
11128 {
11129 /* 33222222222211111111110000000000
11130 10987654321098765432109876543210
7684e580 11131 011001x1xx0xxxxx111xxxxxxxxxxxxx
582e12bf 11132 facgt. */
fb3265b3 11133 return 1370;
582e12bf 11134 }
c0890d26
RS
11135 else
11136 {
582e12bf 11137 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
11138 {
11139 /* 33222222222211111111110000000000
11140 10987654321098765432109876543210
7684e580 11141 111001x1xx00xxxx111xxxxxxxxxxxxx
582e12bf 11142 st1w. */
fb3265b3 11143 return 1897;
c0890d26
RS
11144 }
11145 else
11146 {
11147 if (((word >> 22) & 0x1) == 0)
11148 {
582e12bf
RS
11149 if (((word >> 23) & 0x1) == 0)
11150 {
11151 /* 33222222222211111111110000000000
11152 10987654321098765432109876543210
7684e580 11153 111001x10001xxxx111xxxxxxxxxxxxx
582e12bf 11154 stnt1w. */
fb3265b3 11155 return 1931;
582e12bf
RS
11156 }
11157 else
11158 {
11159 /* 33222222222211111111110000000000
11160 10987654321098765432109876543210
7684e580 11161 111001x11001xxxx111xxxxxxxxxxxxx
582e12bf 11162 stnt1d. */
fb3265b3 11163 return 1927;
582e12bf 11164 }
c0890d26
RS
11165 }
11166 else
11167 {
582e12bf
RS
11168 if (((word >> 23) & 0x1) == 0)
11169 {
11170 /* 33222222222211111111110000000000
11171 10987654321098765432109876543210
7684e580 11172 111001x10101xxxx111xxxxxxxxxxxxx
582e12bf 11173 st3w. */
fb3265b3 11174 return 1915;
582e12bf
RS
11175 }
11176 else
11177 {
11178 /* 33222222222211111111110000000000
11179 10987654321098765432109876543210
7684e580 11180 111001x11101xxxx111xxxxxxxxxxxxx
582e12bf 11181 st3d. */
fb3265b3 11182 return 1911;
582e12bf 11183 }
c0890d26
RS
11184 }
11185 }
11186 }
11187 }
11188 }
11189 }
11190 }
11191 else
11192 {
11193 if (((word >> 30) & 0x1) == 0)
11194 {
11195 if (((word >> 14) & 0x1) == 0)
11196 {
11197 if (((word >> 20) & 0x1) == 0)
11198 {
11199 if (((word >> 31) & 0x1) == 0)
11200 {
11201 if (((word >> 16) & 0x1) == 0)
11202 {
11203 if (((word >> 17) & 0x1) == 0)
11204 {
11205 if (((word >> 18) & 0x1) == 0)
11206 {
11207 if (((word >> 19) & 0x1) == 0)
11208 {
11209 /* 33222222222211111111110000000000
11210 10987654321098765432109876543210
7684e580 11211 001001x1xx10000010xxxxxxxxxxxxxx
c0890d26 11212 cntp. */
fb3265b3 11213 return 1339;
c0890d26
RS
11214 }
11215 else
11216 {
11217 if (((word >> 10) & 0x1) == 0)
11218 {
11219 if (((word >> 11) & 0x1) == 0)
11220 {
11221 if (((word >> 12) & 0x1) == 0)
11222 {
11223 /* 33222222222211111111110000000000
11224 10987654321098765432109876543210
7684e580 11225 001001x1xx10100010x000xxxxxxxxxx
c0890d26 11226 sqincp. */
fb3265b3 11227 return 1846;
c0890d26
RS
11228 }
11229 else
11230 {
11231 /* 33222222222211111111110000000000
11232 10987654321098765432109876543210
7684e580 11233 001001x1xx10100010x100xxxxxxxxxx
c0890d26 11234 wrffr. */
fb3265b3 11235 return 2019;
c0890d26
RS
11236 }
11237 }
11238 else
11239 {
11240 /* 33222222222211111111110000000000
11241 10987654321098765432109876543210
7684e580 11242 001001x1xx10100010xx10xxxxxxxxxx
c0890d26 11243 sqincp. */
fb3265b3 11244 return 1848;
c0890d26
RS
11245 }
11246 }
11247 else
11248 {
11249 /* 33222222222211111111110000000000
11250 10987654321098765432109876543210
7684e580 11251 001001x1xx10100010xxx1xxxxxxxxxx
c0890d26 11252 sqincp. */
fb3265b3 11253 return 1847;
c0890d26
RS
11254 }
11255 }
11256 }
11257 else
11258 {
11259 if (((word >> 11) & 0x1) == 0)
11260 {
11261 if (((word >> 12) & 0x1) == 0)
11262 {
11263 /* 33222222222211111111110000000000
11264 10987654321098765432109876543210
7684e580 11265 001001x1xx10x10010x00xxxxxxxxxxx
c0890d26 11266 incp. */
fb3265b3 11267 return 1477;
c0890d26
RS
11268 }
11269 else
11270 {
11271 /* 33222222222211111111110000000000
11272 10987654321098765432109876543210
7684e580 11273 001001x1xx10x10010x10xxxxxxxxxxx
c0890d26 11274 setffr. */
fb3265b3 11275 return 1813;
c0890d26
RS
11276 }
11277 }
11278 else
11279 {
11280 /* 33222222222211111111110000000000
11281 10987654321098765432109876543210
7684e580 11282 001001x1xx10x10010xx1xxxxxxxxxxx
c0890d26 11283 incp. */
fb3265b3 11284 return 1478;
c0890d26
RS
11285 }
11286 }
11287 }
11288 else
11289 {
11290 if (((word >> 10) & 0x1) == 0)
11291 {
11292 if (((word >> 11) & 0x1) == 0)
11293 {
11294 /* 33222222222211111111110000000000
11295 10987654321098765432109876543210
7684e580 11296 001001x1xx10xx1010xx00xxxxxxxxxx
c0890d26 11297 sqdecp. */
fb3265b3 11298 return 1832;
c0890d26
RS
11299 }
11300 else
11301 {
11302 /* 33222222222211111111110000000000
11303 10987654321098765432109876543210
7684e580 11304 001001x1xx10xx1010xx10xxxxxxxxxx
c0890d26 11305 sqdecp. */
fb3265b3 11306 return 1834;
c0890d26
RS
11307 }
11308 }
11309 else
11310 {
11311 /* 33222222222211111111110000000000
11312 10987654321098765432109876543210
7684e580 11313 001001x1xx10xx1010xxx1xxxxxxxxxx
c0890d26 11314 sqdecp. */
fb3265b3 11315 return 1833;
c0890d26
RS
11316 }
11317 }
11318 }
11319 else
11320 {
11321 if (((word >> 10) & 0x1) == 0)
11322 {
11323 if (((word >> 11) & 0x1) == 0)
11324 {
11325 if (((word >> 17) & 0x1) == 0)
11326 {
11327 if (((word >> 18) & 0x1) == 0)
11328 {
11329 /* 33222222222211111111110000000000
11330 10987654321098765432109876543210
7684e580 11331 001001x1xx10x00110xx00xxxxxxxxxx
c0890d26 11332 uqincp. */
fb3265b3 11333 return 1994;
c0890d26
RS
11334 }
11335 else
11336 {
11337 /* 33222222222211111111110000000000
11338 10987654321098765432109876543210
7684e580 11339 001001x1xx10x10110xx00xxxxxxxxxx
c0890d26 11340 decp. */
fb3265b3 11341 return 1352;
c0890d26
RS
11342 }
11343 }
11344 else
11345 {
11346 /* 33222222222211111111110000000000
11347 10987654321098765432109876543210
7684e580 11348 001001x1xx10xx1110xx00xxxxxxxxxx
c0890d26 11349 uqdecp. */
fb3265b3 11350 return 1980;
c0890d26
RS
11351 }
11352 }
11353 else
11354 {
11355 if (((word >> 17) & 0x1) == 0)
11356 {
11357 if (((word >> 18) & 0x1) == 0)
11358 {
11359 /* 33222222222211111111110000000000
11360 10987654321098765432109876543210
7684e580 11361 001001x1xx10x00110xx10xxxxxxxxxx
c0890d26 11362 uqincp. */
fb3265b3 11363 return 1995;
c0890d26
RS
11364 }
11365 else
11366 {
11367 /* 33222222222211111111110000000000
11368 10987654321098765432109876543210
7684e580 11369 001001x1xx10x10110xx10xxxxxxxxxx
c0890d26 11370 decp. */
fb3265b3 11371 return 1353;
c0890d26
RS
11372 }
11373 }
11374 else
11375 {
11376 /* 33222222222211111111110000000000
11377 10987654321098765432109876543210
7684e580 11378 001001x1xx10xx1110xx10xxxxxxxxxx
c0890d26 11379 uqdecp. */
fb3265b3 11380 return 1981;
c0890d26
RS
11381 }
11382 }
11383 }
11384 else
11385 {
11386 if (((word >> 17) & 0x1) == 0)
11387 {
11388 /* 33222222222211111111110000000000
11389 10987654321098765432109876543210
7684e580 11390 001001x1xx10xx0110xxx1xxxxxxxxxx
c0890d26 11391 uqincp. */
fb3265b3 11392 return 1996;
c0890d26
RS
11393 }
11394 else
11395 {
11396 /* 33222222222211111111110000000000
11397 10987654321098765432109876543210
7684e580 11398 001001x1xx10xx1110xxx1xxxxxxxxxx
c0890d26 11399 uqdecp. */
fb3265b3 11400 return 1982;
c0890d26
RS
11401 }
11402 }
11403 }
11404 }
11405 else
11406 {
11407 if (((word >> 22) & 0x1) == 0)
11408 {
11409 if (((word >> 23) & 0x1) == 0)
11410 {
11411 /* 33222222222211111111110000000000
11412 10987654321098765432109876543210
7684e580 11413 101001x10010xxxx10xxxxxxxxxxxxxx
c0890d26 11414 ld1sh. */
fb3265b3 11415 return 1570;
c0890d26
RS
11416 }
11417 else
11418 {
11419 /* 33222222222211111111110000000000
11420 10987654321098765432109876543210
7684e580 11421 101001x11010xxxx10xxxxxxxxxxxxxx
c0890d26 11422 ld1sb. */
fb3265b3 11423 return 1557;
c0890d26
RS
11424 }
11425 }
11426 else
11427 {
11428 if (((word >> 23) & 0x1) == 0)
11429 {
11430 /* 33222222222211111111110000000000
11431 10987654321098765432109876543210
7684e580 11432 101001x10110xxxx10xxxxxxxxxxxxxx
c0890d26 11433 ld1w. */
fb3265b3 11434 return 1589;
c0890d26
RS
11435 }
11436 else
11437 {
11438 /* 33222222222211111111110000000000
11439 10987654321098765432109876543210
7684e580 11440 101001x11110xxxx10xxxxxxxxxxxxxx
c0890d26 11441 ld1d. */
fb3265b3 11442 return 1509;
c0890d26
RS
11443 }
11444 }
11445 }
11446 }
11447 else
11448 {
11449 if (((word >> 22) & 0x1) == 0)
11450 {
11451 if (((word >> 23) & 0x1) == 0)
11452 {
11453 /* 33222222222211111111110000000000
11454 10987654321098765432109876543210
7684e580 11455 x01001x10011xxxx10xxxxxxxxxxxxxx
c0890d26 11456 ldnf1sh. */
fb3265b3 11457 return 1703;
c0890d26
RS
11458 }
11459 else
11460 {
11461 /* 33222222222211111111110000000000
11462 10987654321098765432109876543210
7684e580 11463 x01001x11011xxxx10xxxxxxxxxxxxxx
c0890d26 11464 ldnf1sb. */
fb3265b3 11465 return 1700;
c0890d26
RS
11466 }
11467 }
11468 else
11469 {
11470 if (((word >> 23) & 0x1) == 0)
11471 {
11472 /* 33222222222211111111110000000000
11473 10987654321098765432109876543210
7684e580 11474 x01001x10111xxxx10xxxxxxxxxxxxxx
c0890d26 11475 ldnf1w. */
fb3265b3 11476 return 1706;
c0890d26
RS
11477 }
11478 else
11479 {
11480 /* 33222222222211111111110000000000
11481 10987654321098765432109876543210
7684e580 11482 x01001x11111xxxx10xxxxxxxxxxxxxx
c0890d26 11483 ldnf1d. */
fb3265b3 11484 return 1695;
c0890d26
RS
11485 }
11486 }
11487 }
11488 }
11489 else
11490 {
11491 if (((word >> 31) & 0x1) == 0)
11492 {
11493 if (((word >> 16) & 0x1) == 0)
11494 {
11495 if (((word >> 17) & 0x1) == 0)
11496 {
11497 if (((word >> 18) & 0x1) == 0)
11498 {
11499 if (((word >> 19) & 0x1) == 0)
11500 {
11501 if (((word >> 20) & 0x1) == 0)
11502 {
11503 /* 33222222222211111111110000000000
11504 10987654321098765432109876543210
7684e580 11505 001001x1xx10000011xxxxxxxxxxxxxx
c0890d26 11506 add. */
fb3265b3 11507 return 1266;
c0890d26
RS
11508 }
11509 else
11510 {
11511 /* 33222222222211111111110000000000
11512 10987654321098765432109876543210
7684e580 11513 001001x1xx11000011xxxxxxxxxxxxxx
c0890d26 11514 mul. */
fb3265b3 11515 return 1735;
c0890d26
RS
11516 }
11517 }
11518 else
11519 {
11520 if (((word >> 20) & 0x1) == 0)
11521 {
11522 /* 33222222222211111111110000000000
11523 10987654321098765432109876543210
7684e580 11524 001001x1xx10100011xxxxxxxxxxxxxx
c0890d26 11525 smax. */
fb3265b3 11526 return 1814;
c0890d26
RS
11527 }
11528 else
11529 {
11530 /* 33222222222211111111110000000000
11531 10987654321098765432109876543210
7684e580 11532 001001x1xx11100011xxxxxxxxxxxxxx
c0890d26 11533 dup. */
fb3265b3 11534 return 1358;
c0890d26
RS
11535 }
11536 }
11537 }
11538 else
11539 {
11540 /* 33222222222211111111110000000000
11541 10987654321098765432109876543210
7684e580 11542 001001x1xx1xx10011xxxxxxxxxxxxxx
c0890d26 11543 sqadd. */
fb3265b3 11544 return 1823;
c0890d26
RS
11545 }
11546 }
11547 else
11548 {
11549 if (((word >> 18) & 0x1) == 0)
11550 {
11551 /* 33222222222211111111110000000000
11552 10987654321098765432109876543210
7684e580 11553 001001x1xx1xx01011xxxxxxxxxxxxxx
c0890d26 11554 smin. */
fb3265b3 11555 return 1817;
c0890d26
RS
11556 }
11557 else
11558 {
11559 /* 33222222222211111111110000000000
11560 10987654321098765432109876543210
7684e580 11561 001001x1xx1xx11011xxxxxxxxxxxxxx
c0890d26 11562 sqsub. */
fb3265b3 11563 return 1853;
c0890d26
RS
11564 }
11565 }
11566 }
11567 else
11568 {
11569 if (((word >> 17) & 0x1) == 0)
11570 {
11571 if (((word >> 18) & 0x1) == 0)
11572 {
11573 if (((word >> 19) & 0x1) == 0)
11574 {
11575 /* 33222222222211111111110000000000
11576 10987654321098765432109876543210
7684e580 11577 001001x1xx1x000111xxxxxxxxxxxxxx
c0890d26 11578 sub. */
fb3265b3 11579 return 1935;
c0890d26
RS
11580 }
11581 else
11582 {
11583 if (((word >> 20) & 0x1) == 0)
11584 {
11585 /* 33222222222211111111110000000000
11586 10987654321098765432109876543210
7684e580 11587 001001x1xx10100111xxxxxxxxxxxxxx
c0890d26 11588 umax. */
fb3265b3 11589 return 1963;
c0890d26
RS
11590 }
11591 else
11592 {
11593 /* 33222222222211111111110000000000
11594 10987654321098765432109876543210
7684e580 11595 001001x1xx11100111xxxxxxxxxxxxxx
c0890d26 11596 fdup. */
fb3265b3 11597 return 1414;
c0890d26
RS
11598 }
11599 }
11600 }
11601 else
11602 {
11603 /* 33222222222211111111110000000000
11604 10987654321098765432109876543210
7684e580 11605 001001x1xx1xx10111xxxxxxxxxxxxxx
c0890d26 11606 uqadd. */
fb3265b3 11607 return 1971;
c0890d26
RS
11608 }
11609 }
11610 else
11611 {
11612 if (((word >> 18) & 0x1) == 0)
11613 {
11614 if (((word >> 19) & 0x1) == 0)
11615 {
11616 /* 33222222222211111111110000000000
11617 10987654321098765432109876543210
7684e580 11618 001001x1xx1x001111xxxxxxxxxxxxxx
c0890d26 11619 subr. */
fb3265b3 11620 return 1937;
c0890d26
RS
11621 }
11622 else
11623 {
11624 /* 33222222222211111111110000000000
11625 10987654321098765432109876543210
7684e580 11626 001001x1xx1x101111xxxxxxxxxxxxxx
c0890d26 11627 umin. */
fb3265b3 11628 return 1966;
c0890d26
RS
11629 }
11630 }
11631 else
11632 {
11633 /* 33222222222211111111110000000000
11634 10987654321098765432109876543210
7684e580 11635 001001x1xx1xx11111xxxxxxxxxxxxxx
c0890d26 11636 uqsub. */
fb3265b3 11637 return 2001;
c0890d26
RS
11638 }
11639 }
11640 }
11641 }
11642 else
11643 {
11644 if (((word >> 13) & 0x1) == 0)
11645 {
11646 if (((word >> 22) & 0x1) == 0)
11647 {
11648 if (((word >> 23) & 0x1) == 0)
11649 {
11650 /* 33222222222211111111110000000000
11651 10987654321098765432109876543210
7684e580 11652 101001x1001xxxxx110xxxxxxxxxxxxx
c0890d26 11653 ld2w. */
fb3265b3 11654 return 1597;
c0890d26
RS
11655 }
11656 else
11657 {
11658 /* 33222222222211111111110000000000
11659 10987654321098765432109876543210
7684e580 11660 101001x1101xxxxx110xxxxxxxxxxxxx
c0890d26 11661 ld2d. */
fb3265b3 11662 return 1593;
c0890d26
RS
11663 }
11664 }
11665 else
11666 {
11667 if (((word >> 23) & 0x1) == 0)
11668 {
11669 /* 33222222222211111111110000000000
11670 10987654321098765432109876543210
7684e580 11671 101001x1011xxxxx110xxxxxxxxxxxxx
c0890d26 11672 ld4w. */
fb3265b3 11673 return 1613;
c0890d26
RS
11674 }
11675 else
11676 {
11677 /* 33222222222211111111110000000000
11678 10987654321098765432109876543210
7684e580 11679 101001x1111xxxxx110xxxxxxxxxxxxx
c0890d26 11680 ld4d. */
fb3265b3 11681 return 1609;
c0890d26
RS
11682 }
11683 }
11684 }
11685 else
11686 {
11687 if (((word >> 22) & 0x1) == 0)
11688 {
11689 if (((word >> 23) & 0x1) == 0)
11690 {
11691 /* 33222222222211111111110000000000
11692 10987654321098765432109876543210
7684e580 11693 101001x1001xxxxx111xxxxxxxxxxxxx
c0890d26 11694 ld2w. */
fb3265b3 11695 return 1598;
c0890d26
RS
11696 }
11697 else
11698 {
11699 /* 33222222222211111111110000000000
11700 10987654321098765432109876543210
7684e580 11701 101001x1101xxxxx111xxxxxxxxxxxxx
c0890d26 11702 ld2d. */
fb3265b3 11703 return 1594;
c0890d26
RS
11704 }
11705 }
11706 else
11707 {
11708 if (((word >> 23) & 0x1) == 0)
11709 {
11710 /* 33222222222211111111110000000000
11711 10987654321098765432109876543210
7684e580 11712 101001x1011xxxxx111xxxxxxxxxxxxx
c0890d26 11713 ld4w. */
fb3265b3 11714 return 1614;
c0890d26
RS
11715 }
11716 else
11717 {
11718 /* 33222222222211111111110000000000
11719 10987654321098765432109876543210
7684e580 11720 101001x1111xxxxx111xxxxxxxxxxxxx
c0890d26 11721 ld4d. */
fb3265b3 11722 return 1610;
c0890d26
RS
11723 }
11724 }
11725 }
11726 }
11727 }
11728 }
11729 else
11730 {
11731 if (((word >> 13) & 0x1) == 0)
11732 {
582e12bf 11733 if (((word >> 31) & 0x1) == 0)
c0890d26 11734 {
582e12bf 11735 if (((word >> 14) & 0x1) == 0)
c0890d26
RS
11736 {
11737 /* 33222222222211111111110000000000
11738 10987654321098765432109876543210
7684e580 11739 011001x1xx1xxxxx100xxxxxxxxxxxxx
582e12bf 11740 fmad. */
fb3265b3 11741 return 1416;
c0890d26
RS
11742 }
11743 else
11744 {
11745 /* 33222222222211111111110000000000
11746 10987654321098765432109876543210
7684e580 11747 011001x1xx1xxxxx110xxxxxxxxxxxxx
582e12bf 11748 fnmad. */
fb3265b3 11749 return 1446;
c0890d26
RS
11750 }
11751 }
11752 else
11753 {
582e12bf 11754 if (((word >> 22) & 0x1) == 0)
c0890d26 11755 {
582e12bf 11756 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11757 {
11758 /* 33222222222211111111110000000000
11759 10987654321098765432109876543210
7684e580 11760 111001x1001xxxxx1x0xxxxxxxxxxxxx
582e12bf 11761 st1w. */
fb3265b3 11762 return 1890;
c0890d26
RS
11763 }
11764 else
11765 {
11766 /* 33222222222211111111110000000000
11767 10987654321098765432109876543210
7684e580 11768 111001x1101xxxxx1x0xxxxxxxxxxxxx
582e12bf 11769 st1d. */
fb3265b3 11770 return 1869;
c0890d26
RS
11771 }
11772 }
11773 else
11774 {
11775 /* 33222222222211111111110000000000
11776 10987654321098765432109876543210
7684e580 11777 111001x1x11xxxxx1x0xxxxxxxxxxxxx
582e12bf 11778 st1w. */
fb3265b3 11779 return 1895;
c0890d26
RS
11780 }
11781 }
11782 }
11783 else
11784 {
11785 if (((word >> 14) & 0x1) == 0)
11786 {
582e12bf 11787 if (((word >> 31) & 0x1) == 0)
c0890d26 11788 {
582e12bf
RS
11789 /* 33222222222211111111110000000000
11790 10987654321098765432109876543210
7684e580 11791 011001x1xx1xxxxx101xxxxxxxxxxxxx
582e12bf 11792 fmsb. */
fb3265b3 11793 return 1437;
c0890d26
RS
11794 }
11795 else
11796 {
582e12bf 11797 if (((word >> 22) & 0x1) == 0)
c0890d26 11798 {
582e12bf
RS
11799 if (((word >> 23) & 0x1) == 0)
11800 {
11801 /* 33222222222211111111110000000000
11802 10987654321098765432109876543210
7684e580 11803 111001x1001xxxxx101xxxxxxxxxxxxx
582e12bf 11804 st1w. */
fb3265b3 11805 return 1891;
582e12bf
RS
11806 }
11807 else
11808 {
11809 /* 33222222222211111111110000000000
11810 10987654321098765432109876543210
7684e580 11811 111001x1101xxxxx101xxxxxxxxxxxxx
582e12bf 11812 st1d. */
fb3265b3 11813 return 1870;
582e12bf 11814 }
c0890d26
RS
11815 }
11816 else
11817 {
11818 /* 33222222222211111111110000000000
11819 10987654321098765432109876543210
7684e580 11820 111001x1x11xxxxx101xxxxxxxxxxxxx
582e12bf 11821 st1w. */
fb3265b3 11822 return 1898;
c0890d26
RS
11823 }
11824 }
11825 }
11826 else
11827 {
582e12bf
RS
11828 if (((word >> 31) & 0x1) == 0)
11829 {
11830 /* 33222222222211111111110000000000
11831 10987654321098765432109876543210
7684e580 11832 011001x1xx1xxxxx111xxxxxxxxxxxxx
582e12bf 11833 fnmsb. */
fb3265b3 11834 return 1449;
582e12bf
RS
11835 }
11836 else
c0890d26
RS
11837 {
11838 if (((word >> 20) & 0x1) == 0)
11839 {
582e12bf 11840 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11841 {
11842 /* 33222222222211111111110000000000
11843 10987654321098765432109876543210
7684e580 11844 111001x10x10xxxx111xxxxxxxxxxxxx
582e12bf 11845 st1w. */
fb3265b3 11846 return 1899;
c0890d26
RS
11847 }
11848 else
11849 {
11850 /* 33222222222211111111110000000000
11851 10987654321098765432109876543210
7684e580 11852 111001x11x10xxxx111xxxxxxxxxxxxx
582e12bf 11853 st1d. */
fb3265b3 11854 return 1873;
c0890d26
RS
11855 }
11856 }
c0890d26
RS
11857 else
11858 {
582e12bf 11859 if (((word >> 22) & 0x1) == 0)
c0890d26 11860 {
582e12bf
RS
11861 if (((word >> 23) & 0x1) == 0)
11862 {
11863 /* 33222222222211111111110000000000
11864 10987654321098765432109876543210
7684e580 11865 111001x10011xxxx111xxxxxxxxxxxxx
582e12bf 11866 st2w. */
fb3265b3 11867 return 1907;
582e12bf
RS
11868 }
11869 else
11870 {
11871 /* 33222222222211111111110000000000
11872 10987654321098765432109876543210
7684e580 11873 111001x11011xxxx111xxxxxxxxxxxxx
582e12bf 11874 st2d. */
fb3265b3 11875 return 1903;
582e12bf 11876 }
c0890d26
RS
11877 }
11878 else
11879 {
582e12bf 11880 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11881 {
11882 /* 33222222222211111111110000000000
11883 10987654321098765432109876543210
7684e580 11884 111001x10111xxxx111xxxxxxxxxxxxx
582e12bf 11885 st4w. */
fb3265b3 11886 return 1923;
c0890d26
RS
11887 }
11888 else
11889 {
11890 /* 33222222222211111111110000000000
11891 10987654321098765432109876543210
7684e580 11892 111001x11111xxxx111xxxxxxxxxxxxx
c0890d26 11893 st4d. */
fb3265b3 11894 return 1919;
c0890d26
RS
11895 }
11896 }
11897 }
11898 }
11899 }
11900 }
11901 }
11902 }
11903 }
11904 }
11905 }
11906 }
11907 else
11908 {
11909 if (((word >> 29) & 0x1) == 0)
a06ea964 11910 {
c0890d26 11911 if (((word >> 30) & 0x1) == 0)
a06ea964 11912 {
c0890d26
RS
11913 if (((word >> 31) & 0x1) == 0)
11914 {
11915 /* 33222222222211111111110000000000
11916 10987654321098765432109876543210
7684e580 11917 000101xxxxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 11918 b. */
193614f2 11919 return 636;
c0890d26
RS
11920 }
11921 else
11922 {
11923 /* 33222222222211111111110000000000
11924 10987654321098765432109876543210
7684e580 11925 100101xxxxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 11926 bl. */
193614f2 11927 return 637;
c0890d26 11928 }
a06ea964
NC
11929 }
11930 else
11931 {
c0890d26 11932 if (((word >> 24) & 0x1) == 0)
a06ea964 11933 {
74f5402d 11934 if (((word >> 4) & 0x1) == 0)
a06ea964 11935 {
74f5402d 11936 if (((word >> 25) & 0x1) == 0)
c0890d26 11937 {
74f5402d
SN
11938 if (((word >> 31) & 0x1) == 0)
11939 {
11940 /* 33222222222211111111110000000000
11941 10987654321098765432109876543210
7684e580 11942 01010100xxxxxxxxxxxxxxxxxxx0xxxx
74f5402d 11943 b.c. */
193614f2 11944 return 657;
74f5402d
SN
11945 }
11946 else
a06ea964 11947 {
74f5402d 11948 if (((word >> 0) & 0x1) == 0)
a06ea964 11949 {
74f5402d 11950 if (((word >> 1) & 0x1) == 0)
c0890d26 11951 {
74f5402d
SN
11952 if (((word >> 21) & 0x1) == 0)
11953 {
11954 /* 33222222222211111111110000000000
11955 10987654321098765432109876543210
7684e580 11956 11010100xx0xxxxxxxxxxxxxxxx0xx00
74f5402d 11957 hlt. */
b731bc3b 11958 return 753;
74f5402d
SN
11959 }
11960 else
11961 {
11962 /* 33222222222211111111110000000000
11963 10987654321098765432109876543210
7684e580 11964 11010100xx1xxxxxxxxxxxxxxxx0xx00
74f5402d 11965 brk. */
b731bc3b 11966 return 752;
74f5402d 11967 }
c0890d26
RS
11968 }
11969 else
11970 {
74f5402d
SN
11971 if (((word >> 21) & 0x1) == 0)
11972 {
11973 /* 33222222222211111111110000000000
11974 10987654321098765432109876543210
7684e580 11975 11010100xx0xxxxxxxxxxxxxxxx0xx10
74f5402d 11976 hvc. */
b731bc3b 11977 return 750;
74f5402d
SN
11978 }
11979 else
11980 {
11981 /* 33222222222211111111110000000000
11982 10987654321098765432109876543210
7684e580 11983 11010100xx1xxxxxxxxxxxxxxxx0xx10
74f5402d 11984 dcps2. */
b731bc3b 11985 return 755;
74f5402d 11986 }
c0890d26 11987 }
a06ea964
NC
11988 }
11989 else
11990 {
74f5402d 11991 if (((word >> 1) & 0x1) == 0)
c0890d26 11992 {
74f5402d
SN
11993 if (((word >> 21) & 0x1) == 0)
11994 {
11995 /* 33222222222211111111110000000000
11996 10987654321098765432109876543210
7684e580 11997 11010100xx0xxxxxxxxxxxxxxxx0xx01
74f5402d 11998 svc. */
b731bc3b 11999 return 749;
74f5402d
SN
12000 }
12001 else
12002 {
12003 /* 33222222222211111111110000000000
12004 10987654321098765432109876543210
7684e580 12005 11010100xx1xxxxxxxxxxxxxxxx0xx01
74f5402d 12006 dcps1. */
b731bc3b 12007 return 754;
74f5402d 12008 }
c0890d26
RS
12009 }
12010 else
12011 {
74f5402d
SN
12012 if (((word >> 21) & 0x1) == 0)
12013 {
12014 /* 33222222222211111111110000000000
12015 10987654321098765432109876543210
7684e580 12016 11010100xx0xxxxxxxxxxxxxxxx0xx11
74f5402d 12017 smc. */
b731bc3b 12018 return 751;
74f5402d
SN
12019 }
12020 else
12021 {
12022 /* 33222222222211111111110000000000
12023 10987654321098765432109876543210
7684e580 12024 11010100xx1xxxxxxxxxxxxxxxx0xx11
74f5402d 12025 dcps3. */
b731bc3b 12026 return 756;
74f5402d 12027 }
c0890d26 12028 }
a06ea964
NC
12029 }
12030 }
74f5402d
SN
12031 }
12032 else
12033 {
12034 if (((word >> 21) & 0x1) == 0)
a06ea964 12035 {
74f5402d 12036 if (((word >> 22) & 0x1) == 0)
a06ea964 12037 {
74f5402d 12038 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
12039 {
12040 /* 33222222222211111111110000000000
12041 10987654321098765432109876543210
7684e580 12042 x1010110000xxxxxxxxxxxxxxxx0xxxx
74f5402d 12043 br. */
193614f2 12044 return 638;
c0890d26
RS
12045 }
12046 else
12047 {
12048 /* 33222222222211111111110000000000
12049 10987654321098765432109876543210
7684e580 12050 x1010110100xxxxxxxxxxxxxxxx0xxxx
74f5402d 12051 eret. */
193614f2 12052 return 641;
c0890d26 12053 }
a06ea964
NC
12054 }
12055 else
12056 {
74f5402d
SN
12057 /* 33222222222211111111110000000000
12058 10987654321098765432109876543210
7684e580 12059 x1010110x10xxxxxxxxxxxxxxxx0xxxx
74f5402d 12060 ret. */
193614f2 12061 return 640;
74f5402d
SN
12062 }
12063 }
12064 else
12065 {
12066 if (((word >> 23) & 0x1) == 0)
12067 {
12068 /* 33222222222211111111110000000000
12069 10987654321098765432109876543210
7684e580 12070 x10101100x1xxxxxxxxxxxxxxxx0xxxx
74f5402d 12071 blr. */
193614f2 12072 return 639;
74f5402d
SN
12073 }
12074 else
12075 {
12076 /* 33222222222211111111110000000000
12077 10987654321098765432109876543210
7684e580 12078 x10101101x1xxxxxxxxxxxxxxxx0xxxx
74f5402d 12079 drps. */
193614f2 12080 return 642;
74f5402d
SN
12081 }
12082 }
12083 }
12084 }
12085 else
12086 {
12087 if (((word >> 10) & 0x1) == 0)
12088 {
12089 if (((word >> 21) & 0x1) == 0)
12090 {
12091 if (((word >> 22) & 0x1) == 0)
12092 {
12093 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
12094 {
12095 /* 33222222222211111111110000000000
12096 10987654321098765432109876543210
7684e580 12097 x10101x0000xxxxxxxxxx0xxxxx1xxxx
74f5402d 12098 braaz. */
193614f2 12099 return 647;
c0890d26
RS
12100 }
12101 else
12102 {
12103 /* 33222222222211111111110000000000
12104 10987654321098765432109876543210
7684e580 12105 x10101x0100xxxxxxxxxx0xxxxx1xxxx
74f5402d 12106 eretaa. */
193614f2 12107 return 653;
c0890d26 12108 }
a06ea964 12109 }
74f5402d
SN
12110 else
12111 {
12112 /* 33222222222211111111110000000000
12113 10987654321098765432109876543210
7684e580 12114 x10101x0x10xxxxxxxxxx0xxxxx1xxxx
74f5402d 12115 retaa. */
193614f2 12116 return 651;
74f5402d
SN
12117 }
12118 }
12119 else
12120 {
12121 /* 33222222222211111111110000000000
12122 10987654321098765432109876543210
7684e580 12123 x10101x0xx1xxxxxxxxxx0xxxxx1xxxx
74f5402d 12124 blraaz. */
193614f2 12125 return 649;
a06ea964
NC
12126 }
12127 }
74f5402d 12128 else
a06ea964 12129 {
74f5402d 12130 if (((word >> 21) & 0x1) == 0)
a06ea964 12131 {
74f5402d 12132 if (((word >> 22) & 0x1) == 0)
a06ea964 12133 {
74f5402d
SN
12134 if (((word >> 23) & 0x1) == 0)
12135 {
12136 /* 33222222222211111111110000000000
12137 10987654321098765432109876543210
7684e580 12138 x10101x0000xxxxxxxxxx1xxxxx1xxxx
74f5402d 12139 brabz. */
193614f2 12140 return 648;
74f5402d
SN
12141 }
12142 else
12143 {
12144 /* 33222222222211111111110000000000
12145 10987654321098765432109876543210
7684e580 12146 x10101x0100xxxxxxxxxx1xxxxx1xxxx
74f5402d 12147 eretab. */
193614f2 12148 return 654;
74f5402d 12149 }
a06ea964
NC
12150 }
12151 else
12152 {
12153 /* 33222222222211111111110000000000
12154 10987654321098765432109876543210
7684e580 12155 x10101x0x10xxxxxxxxxx1xxxxx1xxxx
74f5402d 12156 retab. */
193614f2 12157 return 652;
a06ea964
NC
12158 }
12159 }
12160 else
12161 {
c0890d26
RS
12162 /* 33222222222211111111110000000000
12163 10987654321098765432109876543210
7684e580 12164 x10101x0xx1xxxxxxxxxx1xxxxx1xxxx
74f5402d 12165 blrabz. */
193614f2 12166 return 650;
a06ea964
NC
12167 }
12168 }
74f5402d
SN
12169 }
12170 }
12171 else
12172 {
12173 if (((word >> 21) & 0x1) == 0)
12174 {
12175 if (((word >> 25) & 0x1) == 0)
12176 {
12177 /* 33222222222211111111110000000000
12178 10987654321098765432109876543210
7684e580 12179 x1010101xx0xxxxxxxxxxxxxxxxxxxxx
13c60ad7 12180 xaflag. */
b731bc3b 12181 return 810;
74f5402d 12182 }
c0890d26 12183 else
a06ea964 12184 {
74f5402d 12185 if (((word >> 10) & 0x1) == 0)
a06ea964
NC
12186 {
12187 /* 33222222222211111111110000000000
12188 10987654321098765432109876543210
7684e580 12189 x1010111xx0xxxxxxxxxx0xxxxxxxxxx
74f5402d 12190 braa. */
193614f2 12191 return 643;
a06ea964
NC
12192 }
12193 else
12194 {
12195 /* 33222222222211111111110000000000
12196 10987654321098765432109876543210
7684e580 12197 x1010111xx0xxxxxxxxxx1xxxxxxxxxx
74f5402d 12198 brab. */
193614f2 12199 return 644;
a06ea964
NC
12200 }
12201 }
c0890d26 12202 }
a06ea964
NC
12203 else
12204 {
74f5402d
SN
12205 if (((word >> 25) & 0x1) == 0)
12206 {
12207 /* 33222222222211111111110000000000
12208 10987654321098765432109876543210
7684e580 12209 x1010101xx1xxxxxxxxxxxxxxxxxxxxx
74f5402d 12210 sysl. */
fb3265b3 12211 return 1217;
74f5402d
SN
12212 }
12213 else
12214 {
12215 if (((word >> 10) & 0x1) == 0)
12216 {
12217 /* 33222222222211111111110000000000
12218 10987654321098765432109876543210
7684e580 12219 x1010111xx1xxxxxxxxxx0xxxxxxxxxx
74f5402d 12220 blraa. */
193614f2 12221 return 645;
74f5402d
SN
12222 }
12223 else
12224 {
12225 /* 33222222222211111111110000000000
12226 10987654321098765432109876543210
7684e580 12227 x1010111xx1xxxxxxxxxx1xxxxxxxxxx
74f5402d 12228 blrab. */
193614f2 12229 return 646;
74f5402d
SN
12230 }
12231 }
a06ea964
NC
12232 }
12233 }
12234 }
c0890d26
RS
12235 }
12236 else
12237 {
12238 if (((word >> 24) & 0x1) == 0)
a06ea964 12239 {
c0890d26 12240 if (((word >> 25) & 0x1) == 0)
a06ea964 12241 {
df7b4545
JW
12242 /* 33222222222211111111110000000000
12243 10987654321098765432109876543210
7684e580 12244 xx110100xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 12245 cbz. */
193614f2 12246 return 655;
a06ea964
NC
12247 }
12248 else
12249 {
df7b4545
JW
12250 /* 33222222222211111111110000000000
12251 10987654321098765432109876543210
7684e580 12252 xx110110xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 12253 tbz. */
fb3265b3 12254 return 1227;
a06ea964
NC
12255 }
12256 }
a06ea964
NC
12257 else
12258 {
c0890d26
RS
12259 if (((word >> 25) & 0x1) == 0)
12260 {
12261 /* 33222222222211111111110000000000
12262 10987654321098765432109876543210
7684e580 12263 xx110101xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 12264 cbnz. */
193614f2 12265 return 656;
c0890d26
RS
12266 }
12267 else
12268 {
12269 /* 33222222222211111111110000000000
12270 10987654321098765432109876543210
7684e580 12271 xx110111xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 12272 tbnz. */
fb3265b3 12273 return 1228;
c0890d26 12274 }
a06ea964
NC
12275 }
12276 }
12277 }
12278 }
12279 else
12280 {
12281 if (((word >> 25) & 0x1) == 0)
12282 {
12283 if (((word >> 28) & 0x1) == 0)
12284 {
12285 if (((word >> 22) & 0x1) == 0)
12286 {
12287 if (((word >> 23) & 0x1) == 0)
12288 {
12289 if (((word >> 24) & 0x1) == 0)
12290 {
12291 if (((word >> 29) & 0x1) == 0)
12292 {
12293 /* 33222222222211111111110000000000
12294 10987654321098765432109876543210
7684e580 12295 xx00110000xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12296 st4. */
193614f2 12297 return 440;
a06ea964
NC
12298 }
12299 else
12300 {
12301 /* 33222222222211111111110000000000
12302 10987654321098765432109876543210
7684e580 12303 xx10110000xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12304 stnp. */
fb3265b3 12305 return 968;
a06ea964
NC
12306 }
12307 }
12308 else
12309 {
12310 if (((word >> 29) & 0x1) == 0)
12311 {
12312 if (((word >> 13) & 0x1) == 0)
12313 {
12314 if (((word >> 21) & 0x1) == 0)
12315 {
12316 /* 33222222222211111111110000000000
12317 10987654321098765432109876543210
7684e580 12318 xx001101000xxxxxxx0xxxxxxxxxxxxx
a06ea964 12319 st1. */
193614f2 12320 return 456;
a06ea964
NC
12321 }
12322 else
12323 {
12324 /* 33222222222211111111110000000000
12325 10987654321098765432109876543210
7684e580 12326 xx001101001xxxxxxx0xxxxxxxxxxxxx
a06ea964 12327 st2. */
193614f2 12328 return 458;
a06ea964
NC
12329 }
12330 }
12331 else
12332 {
12333 if (((word >> 21) & 0x1) == 0)
12334 {
12335 /* 33222222222211111111110000000000
12336 10987654321098765432109876543210
7684e580 12337 xx001101000xxxxxxx1xxxxxxxxxxxxx
a06ea964 12338 st3. */
193614f2 12339 return 457;
a06ea964
NC
12340 }
12341 else
12342 {
12343 /* 33222222222211111111110000000000
12344 10987654321098765432109876543210
7684e580 12345 xx001101001xxxxxxx1xxxxxxxxxxxxx
a06ea964 12346 st4. */
193614f2 12347 return 459;
a06ea964
NC
12348 }
12349 }
12350 }
12351 else
12352 {
12353 /* 33222222222211111111110000000000
12354 10987654321098765432109876543210
7684e580 12355 xx10110100xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12356 stp. */
fb3265b3 12357 return 972;
a06ea964
NC
12358 }
12359 }
12360 }
12361 else
12362 {
12363 if (((word >> 29) & 0x1) == 0)
12364 {
12365 if (((word >> 21) & 0x1) == 0)
12366 {
12367 if (((word >> 24) & 0x1) == 0)
12368 {
12369 /* 33222222222211111111110000000000
12370 10987654321098765432109876543210
7684e580 12371 xx001100100xxxxxxxxxxxxxxxxxxxxx
a06ea964 12372 st4. */
193614f2 12373 return 448;
a06ea964
NC
12374 }
12375 else
12376 {
12377 if (((word >> 13) & 0x1) == 0)
12378 {
12379 /* 33222222222211111111110000000000
12380 10987654321098765432109876543210
7684e580 12381 xx001101100xxxxxxx0xxxxxxxxxxxxx
a06ea964 12382 st1. */
193614f2 12383 return 468;
a06ea964
NC
12384 }
12385 else
12386 {
12387 /* 33222222222211111111110000000000
12388 10987654321098765432109876543210
7684e580 12389 xx001101100xxxxxxx1xxxxxxxxxxxxx
a06ea964 12390 st3. */
193614f2 12391 return 469;
a06ea964
NC
12392 }
12393 }
12394 }
12395 else
12396 {
12397 if (((word >> 13) & 0x1) == 0)
12398 {
12399 /* 33222222222211111111110000000000
12400 10987654321098765432109876543210
7684e580 12401 xx00110x101xxxxxxx0xxxxxxxxxxxxx
a06ea964 12402 st2. */
193614f2 12403 return 470;
a06ea964
NC
12404 }
12405 else
12406 {
12407 /* 33222222222211111111110000000000
12408 10987654321098765432109876543210
7684e580 12409 xx00110x101xxxxxxx1xxxxxxxxxxxxx
a06ea964 12410 st4. */
193614f2 12411 return 471;
a06ea964
NC
12412 }
12413 }
12414 }
12415 else
12416 {
12417 /* 33222222222211111111110000000000
12418 10987654321098765432109876543210
7684e580 12419 xx10110x10xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12420 stp. */
fb3265b3 12421 return 978;
a06ea964
NC
12422 }
12423 }
12424 }
12425 else
12426 {
12427 if (((word >> 23) & 0x1) == 0)
12428 {
12429 if (((word >> 24) & 0x1) == 0)
12430 {
12431 if (((word >> 29) & 0x1) == 0)
12432 {
12433 /* 33222222222211111111110000000000
12434 10987654321098765432109876543210
7684e580 12435 xx00110001xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12436 ld4. */
193614f2 12437 return 444;
a06ea964
NC
12438 }
12439 else
12440 {
12441 /* 33222222222211111111110000000000
12442 10987654321098765432109876543210
7684e580 12443 xx10110001xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12444 ldnp. */
fb3265b3 12445 return 969;
a06ea964
NC
12446 }
12447 }
12448 else
12449 {
12450 if (((word >> 29) & 0x1) == 0)
12451 {
12452 if (((word >> 13) & 0x1) == 0)
12453 {
12454 if (((word >> 21) & 0x1) == 0)
12455 {
12456 /* 33222222222211111111110000000000
12457 10987654321098765432109876543210
7684e580 12458 xx001101010xxxxxxx0xxxxxxxxxxxxx
a06ea964 12459 ld1. */
193614f2 12460 return 460;
a06ea964
NC
12461 }
12462 else
12463 {
12464 /* 33222222222211111111110000000000
12465 10987654321098765432109876543210
7684e580 12466 xx001101011xxxxxxx0xxxxxxxxxxxxx
a06ea964 12467 ld2. */
193614f2 12468 return 464;
a06ea964
NC
12469 }
12470 }
12471 else
12472 {
12473 if (((word >> 21) & 0x1) == 0)
12474 {
12475 /* 33222222222211111111110000000000
12476 10987654321098765432109876543210
7684e580 12477 xx001101010xxxxxxx1xxxxxxxxxxxxx
a06ea964 12478 ld3. */
193614f2 12479 return 461;
a06ea964
NC
12480 }
12481 else
12482 {
12483 /* 33222222222211111111110000000000
12484 10987654321098765432109876543210
7684e580 12485 xx001101011xxxxxxx1xxxxxxxxxxxxx
a06ea964 12486 ld4. */
193614f2 12487 return 465;
a06ea964
NC
12488 }
12489 }
12490 }
12491 else
12492 {
12493 /* 33222222222211111111110000000000
12494 10987654321098765432109876543210
7684e580 12495 xx10110101xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12496 ldp. */
fb3265b3 12497 return 973;
a06ea964
NC
12498 }
12499 }
12500 }
12501 else
12502 {
12503 if (((word >> 29) & 0x1) == 0)
12504 {
12505 if (((word >> 21) & 0x1) == 0)
12506 {
12507 if (((word >> 24) & 0x1) == 0)
12508 {
12509 /* 33222222222211111111110000000000
12510 10987654321098765432109876543210
7684e580 12511 xx001100110xxxxxxxxxxxxxxxxxxxxx
a06ea964 12512 ld4. */
193614f2 12513 return 452;
a06ea964
NC
12514 }
12515 else
12516 {
12517 if (((word >> 13) & 0x1) == 0)
12518 {
12519 /* 33222222222211111111110000000000
12520 10987654321098765432109876543210
7684e580 12521 xx001101110xxxxxxx0xxxxxxxxxxxxx
a06ea964 12522 ld1. */
193614f2 12523 return 472;
a06ea964
NC
12524 }
12525 else
12526 {
12527 /* 33222222222211111111110000000000
12528 10987654321098765432109876543210
7684e580 12529 xx001101110xxxxxxx1xxxxxxxxxxxxx
a06ea964 12530 ld3. */
193614f2 12531 return 473;
a06ea964
NC
12532 }
12533 }
12534 }
12535 else
12536 {
12537 if (((word >> 13) & 0x1) == 0)
12538 {
12539 /* 33222222222211111111110000000000
12540 10987654321098765432109876543210
7684e580 12541 xx00110x111xxxxxxx0xxxxxxxxxxxxx
a06ea964 12542 ld2. */
193614f2 12543 return 476;
a06ea964
NC
12544 }
12545 else
12546 {
12547 /* 33222222222211111111110000000000
12548 10987654321098765432109876543210
7684e580 12549 xx00110x111xxxxxxx1xxxxxxxxxxxxx
a06ea964 12550 ld4. */
193614f2 12551 return 477;
a06ea964
NC
12552 }
12553 }
12554 }
12555 else
12556 {
12557 /* 33222222222211111111110000000000
12558 10987654321098765432109876543210
7684e580 12559 xx10110x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12560 ldp. */
fb3265b3 12561 return 979;
a06ea964
NC
12562 }
12563 }
12564 }
12565 }
12566 else
12567 {
12568 if (((word >> 24) & 0x1) == 0)
12569 {
12570 if (((word >> 29) & 0x1) == 0)
12571 {
12572 /* 33222222222211111111110000000000
12573 10987654321098765432109876543210
7684e580 12574 xx011100xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 12575 ldr. */
fb3265b3 12576 return 983;
a06ea964
NC
12577 }
12578 else
12579 {
12580 if (((word >> 10) & 0x1) == 0)
12581 {
12582 if (((word >> 11) & 0x1) == 0)
12583 {
12584 if (((word >> 22) & 0x1) == 0)
12585 {
12586 /* 33222222222211111111110000000000
12587 10987654321098765432109876543210
7684e580 12588 xx111100x0xxxxxxxxxx00xxxxxxxxxx
a06ea964 12589 stur. */
fb3265b3 12590 return 924;
a06ea964
NC
12591 }
12592 else
12593 {
12594 /* 33222222222211111111110000000000
12595 10987654321098765432109876543210
7684e580 12596 xx111100x1xxxxxxxxxx00xxxxxxxxxx
a06ea964 12597 ldur. */
fb3265b3 12598 return 925;
a06ea964
NC
12599 }
12600 }
12601 else
12602 {
12603 if (((word >> 22) & 0x1) == 0)
12604 {
12605 /* 33222222222211111111110000000000
12606 10987654321098765432109876543210
7684e580 12607 xx111100x0xxxxxxxxxx10xxxxxxxxxx
a06ea964 12608 str. */
fb3265b3 12609 return 903;
a06ea964
NC
12610 }
12611 else
12612 {
12613 /* 33222222222211111111110000000000
12614 10987654321098765432109876543210
7684e580 12615 xx111100x1xxxxxxxxxx10xxxxxxxxxx
a06ea964 12616 ldr. */
fb3265b3 12617 return 904;
a06ea964
NC
12618 }
12619 }
12620 }
12621 else
12622 {
12623 if (((word >> 22) & 0x1) == 0)
12624 {
12625 /* 33222222222211111111110000000000
12626 10987654321098765432109876543210
7684e580 12627 xx111100x0xxxxxxxxxxx1xxxxxxxxxx
a06ea964 12628 str. */
b731bc3b 12629 return 872;
a06ea964
NC
12630 }
12631 else
12632 {
12633 /* 33222222222211111111110000000000
12634 10987654321098765432109876543210
7684e580 12635 xx111100x1xxxxxxxxxxx1xxxxxxxxxx
a06ea964 12636 ldr. */
b731bc3b 12637 return 873;
a06ea964
NC
12638 }
12639 }
12640 }
12641 }
12642 else
12643 {
12644 if (((word >> 22) & 0x1) == 0)
12645 {
12646 /* 33222222222211111111110000000000
12647 10987654321098765432109876543210
7684e580 12648 xxx11101x0xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12649 str. */
fb3265b3 12650 return 891;
a06ea964
NC
12651 }
12652 else
12653 {
12654 /* 33222222222211111111110000000000
12655 10987654321098765432109876543210
7684e580 12656 xxx11101x1xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12657 ldr. */
fb3265b3 12658 return 892;
a06ea964
NC
12659 }
12660 }
12661 }
12662 }
12663 else
12664 {
12665 if (((word >> 24) & 0x1) == 0)
12666 {
12667 if (((word >> 21) & 0x1) == 0)
12668 {
12669 if (((word >> 28) & 0x1) == 0)
12670 {
e9dbdd80 12671 if (((word >> 29) & 0x1) == 0)
a06ea964 12672 {
e9dbdd80 12673 if (((word >> 31) & 0x1) == 0)
a06ea964 12674 {
e9dbdd80 12675 if (((word >> 10) & 0x1) == 0)
a06ea964 12676 {
e9dbdd80 12677 if (((word >> 11) & 0x1) == 0)
a06ea964 12678 {
e9dbdd80 12679 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
12680 {
12681 /* 33222222222211111111110000000000
12682 10987654321098765432109876543210
e9dbdd80
TC
12683 0x001110xx0xxxxxxxx000xxxxxxxxxx
12684 tbl. */
193614f2 12685 return 420;
a06ea964
NC
12686 }
12687 else
12688 {
12689 /* 33222222222211111111110000000000
12690 10987654321098765432109876543210
e9dbdd80
TC
12691 0x001110xx0xxxxxxxx100xxxxxxxxxx
12692 tbx. */
193614f2 12693 return 421;
a06ea964
NC
12694 }
12695 }
12696 else
12697 {
e9dbdd80 12698 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
12699 {
12700 if (((word >> 14) & 0x1) == 0)
12701 {
12702 /* 33222222222211111111110000000000
12703 10987654321098765432109876543210
e9dbdd80
TC
12704 0x001110xx0xxxxxx0x010xxxxxxxxxx
12705 trn1. */
193614f2 12706 return 263;
a06ea964
NC
12707 }
12708 else
12709 {
12710 /* 33222222222211111111110000000000
12711 10987654321098765432109876543210
e9dbdd80
TC
12712 0x001110xx0xxxxxx1x010xxxxxxxxxx
12713 trn2. */
193614f2 12714 return 266;
a06ea964
NC
12715 }
12716 }
51d543ed
MW
12717 else
12718 {
e9dbdd80 12719 if (((word >> 13) & 0x1) == 0)
51d543ed 12720 {
e9dbdd80 12721 if (((word >> 14) & 0x1) == 0)
51d543ed
MW
12722 {
12723 /* 33222222222211111111110000000000
12724 10987654321098765432109876543210
e9dbdd80
TC
12725 0x001110xx0xxxxxx00110xxxxxxxxxx
12726 uzp1. */
193614f2 12727 return 262;
51d543ed
MW
12728 }
12729 else
12730 {
12731 /* 33222222222211111111110000000000
12732 10987654321098765432109876543210
e9dbdd80
TC
12733 0x001110xx0xxxxxx10110xxxxxxxxxx
12734 uzp2. */
193614f2 12735 return 265;
51d543ed
MW
12736 }
12737 }
12738 else
12739 {
e9dbdd80 12740 if (((word >> 14) & 0x1) == 0)
51d543ed
MW
12741 {
12742 /* 33222222222211111111110000000000
12743 10987654321098765432109876543210
e9dbdd80
TC
12744 0x001110xx0xxxxxx01110xxxxxxxxxx
12745 zip1. */
193614f2 12746 return 264;
51d543ed
MW
12747 }
12748 else
12749 {
12750 /* 33222222222211111111110000000000
12751 10987654321098765432109876543210
e9dbdd80
TC
12752 0x001110xx0xxxxxx11110xxxxxxxxxx
12753 zip2. */
193614f2 12754 return 267;
51d543ed
MW
12755 }
12756 }
12757 }
12758 }
51d543ed
MW
12759 }
12760 else
12761 {
12762 if (((word >> 11) & 0x1) == 0)
12763 {
12764 if (((word >> 12) & 0x1) == 0)
12765 {
12766 if (((word >> 13) & 0x1) == 0)
12767 {
e9dbdd80 12768 if (((word >> 22) & 0x1) == 0)
51d543ed 12769 {
e9dbdd80
TC
12770 /* 33222222222211111111110000000000
12771 10987654321098765432109876543210
12772 0x001110x00xxxxxxx0001xxxxxxxxxx
12773 dup. */
193614f2 12774 return 149;
e9dbdd80
TC
12775 }
12776 else
12777 {
12778 if (((word >> 23) & 0x1) == 0)
51d543ed
MW
12779 {
12780 /* 33222222222211111111110000000000
12781 10987654321098765432109876543210
e9dbdd80 12782 0x001110010xxxxxxx0001xxxxxxxxxx
51d543ed 12783 fmaxnm. */
193614f2 12784 return 292;
51d543ed
MW
12785 }
12786 else
12787 {
12788 /* 33222222222211111111110000000000
12789 10987654321098765432109876543210
e9dbdd80 12790 0x001110110xxxxxxx0001xxxxxxxxxx
51d543ed 12791 fminnm. */
193614f2 12792 return 308;
51d543ed 12793 }
51d543ed
MW
12794 }
12795 }
12796 else
12797 {
e9dbdd80
TC
12798 /* 33222222222211111111110000000000
12799 10987654321098765432109876543210
12800 0x001110xx0xxxxxxx1001xxxxxxxxxx
12801 fcmeq. */
193614f2 12802 return 300;
51d543ed
MW
12803 }
12804 }
12805 else
12806 {
12807 if (((word >> 13) & 0x1) == 0)
12808 {
e9dbdd80 12809 if (((word >> 15) & 0x1) == 0)
51d543ed 12810 {
e9dbdd80 12811 if (((word >> 23) & 0x1) == 0)
51d543ed
MW
12812 {
12813 /* 33222222222211111111110000000000
12814 10987654321098765432109876543210
e9dbdd80 12815 0x0011100x0xxxxx0x0101xxxxxxxxxx
51d543ed 12816 fadd. */
193614f2 12817 return 296;
51d543ed
MW
12818 }
12819 else
12820 {
12821 /* 33222222222211111111110000000000
12822 10987654321098765432109876543210
e9dbdd80
TC
12823 0x0011101x0xxxxx0x0101xxxxxxxxxx
12824 fsub. */
193614f2 12825 return 312;
51d543ed
MW
12826 }
12827 }
12828 else
12829 {
e9dbdd80
TC
12830 /* 33222222222211111111110000000000
12831 10987654321098765432109876543210
12832 0x001110xx0xxxxx1x0101xxxxxxxxxx
12833 sdot. */
fb3265b3 12834 return 2038;
51d543ed
MW
12835 }
12836 }
12837 else
12838 {
12839 if (((word >> 23) & 0x1) == 0)
12840 {
e9dbdd80
TC
12841 /* 33222222222211111111110000000000
12842 10987654321098765432109876543210
12843 0x0011100x0xxxxxxx1101xxxxxxxxxx
12844 fmax. */
193614f2 12845 return 302;
51d543ed
MW
12846 }
12847 else
12848 {
e9dbdd80
TC
12849 /* 33222222222211111111110000000000
12850 10987654321098765432109876543210
12851 0x0011101x0xxxxxxx1101xxxxxxxxxx
12852 fmin. */
193614f2 12853 return 314;
51d543ed
MW
12854 }
12855 }
12856 }
a06ea964
NC
12857 }
12858 else
12859 {
9e1f0fa7 12860 if (((word >> 12) & 0x1) == 0)
a06ea964 12861 {
9e1f0fa7
MW
12862 if (((word >> 13) & 0x1) == 0)
12863 {
e9dbdd80 12864 if (((word >> 22) & 0x1) == 0)
51d543ed
MW
12865 {
12866 /* 33222222222211111111110000000000
12867 10987654321098765432109876543210
e9dbdd80
TC
12868 0x001110x00xxxxxxx0011xxxxxxxxxx
12869 dup. */
193614f2 12870 return 150;
51d543ed
MW
12871 }
12872 else
12873 {
e9dbdd80
TC
12874 if (((word >> 23) & 0x1) == 0)
12875 {
12876 /* 33222222222211111111110000000000
12877 10987654321098765432109876543210
12878 0x001110010xxxxxxx0011xxxxxxxxxx
12879 fmla. */
193614f2 12880 return 294;
e9dbdd80
TC
12881 }
12882 else
12883 {
12884 /* 33222222222211111111110000000000
12885 10987654321098765432109876543210
12886 0x001110110xxxxxxx0011xxxxxxxxxx
12887 fmls. */
193614f2 12888 return 310;
e9dbdd80 12889 }
51d543ed 12890 }
9e1f0fa7
MW
12891 }
12892 else
12893 {
e9dbdd80
TC
12894 /* 33222222222211111111110000000000
12895 10987654321098765432109876543210
12896 0x001110xx0xxxxxxx1011xxxxxxxxxx
12897 smov. */
193614f2 12898 return 151;
9e1f0fa7 12899 }
a06ea964
NC
12900 }
12901 else
12902 {
9e1f0fa7
MW
12903 if (((word >> 13) & 0x1) == 0)
12904 {
e9dbdd80 12905 if (((word >> 22) & 0x1) == 0)
51d543ed
MW
12906 {
12907 /* 33222222222211111111110000000000
12908 10987654321098765432109876543210
e9dbdd80
TC
12909 0x001110x00xxxxxxx0111xxxxxxxxxx
12910 ins. */
193614f2 12911 return 154;
51d543ed
MW
12912 }
12913 else
12914 {
12915 /* 33222222222211111111110000000000
12916 10987654321098765432109876543210
e9dbdd80
TC
12917 0x001110x10xxxxxxx0111xxxxxxxxxx
12918 fmulx. */
193614f2 12919 return 298;
51d543ed 12920 }
9e1f0fa7
MW
12921 }
12922 else
12923 {
e9dbdd80 12924 if (((word >> 22) & 0x1) == 0)
51d543ed 12925 {
e9dbdd80
TC
12926 /* 33222222222211111111110000000000
12927 10987654321098765432109876543210
12928 0x001110x00xxxxxxx1111xxxxxxxxxx
12929 umov. */
193614f2 12930 return 152;
e9dbdd80
TC
12931 }
12932 else
12933 {
12934 if (((word >> 23) & 0x1) == 0)
51d543ed
MW
12935 {
12936 /* 33222222222211111111110000000000
12937 10987654321098765432109876543210
e9dbdd80 12938 0x001110010xxxxxxx1111xxxxxxxxxx
51d543ed 12939 frecps. */
193614f2 12940 return 304;
51d543ed
MW
12941 }
12942 else
12943 {
12944 /* 33222222222211111111110000000000
12945 10987654321098765432109876543210
e9dbdd80
TC
12946 0x001110110xxxxxxx1111xxxxxxxxxx
12947 frsqrts. */
193614f2 12948 return 316;
51d543ed
MW
12949 }
12950 }
9e1f0fa7 12951 }
a06ea964
NC
12952 }
12953 }
12954 }
a06ea964
NC
12955 }
12956 else
12957 {
e9dbdd80 12958 if (((word >> 22) & 0x1) == 0)
a06ea964 12959 {
e9dbdd80 12960 if (((word >> 23) & 0x1) == 0)
a06ea964 12961 {
9e1f0fa7
MW
12962 /* 33222222222211111111110000000000
12963 10987654321098765432109876543210
e9dbdd80
TC
12964 1x001110000xxxxxxxxxxxxxxxxxxxxx
12965 eor3. */
fb3265b3 12966 return 2045;
a06ea964
NC
12967 }
12968 else
12969 {
9e1f0fa7
MW
12970 /* 33222222222211111111110000000000
12971 10987654321098765432109876543210
e9dbdd80
TC
12972 1x001110100xxxxxxxxxxxxxxxxxxxxx
12973 xar. */
fb3265b3 12974 return 2047;
9e1f0fa7
MW
12975 }
12976 }
12977 else
12978 {
e9dbdd80 12979 if (((word >> 15) & 0x1) == 0)
9e1f0fa7
MW
12980 {
12981 /* 33222222222211111111110000000000
12982 10987654321098765432109876543210
e9dbdd80
TC
12983 1x001110x10xxxxx0xxxxxxxxxxxxxxx
12984 sm3ss1. */
fb3265b3 12985 return 2049;
9e1f0fa7
MW
12986 }
12987 else
12988 {
e9dbdd80 12989 if (((word >> 10) & 0x1) == 0)
a06ea964 12990 {
e9dbdd80 12991 if (((word >> 11) & 0x1) == 0)
9e1f0fa7 12992 {
e9dbdd80
TC
12993 if (((word >> 23) & 0x1) == 0)
12994 {
12995 /* 33222222222211111111110000000000
12996 10987654321098765432109876543210
12997 1x001110010xxxxx1xxx00xxxxxxxxxx
12998 sm3tt1a. */
fb3265b3 12999 return 2050;
e9dbdd80
TC
13000 }
13001 else
13002 {
13003 /* 33222222222211111111110000000000
13004 10987654321098765432109876543210
13005 1x001110110xxxxx1xxx00xxxxxxxxxx
13006 sha512su0. */
fb3265b3 13007 return 2043;
e9dbdd80 13008 }
9e1f0fa7
MW
13009 }
13010 else
13011 {
13012 /* 33222222222211111111110000000000
13013 10987654321098765432109876543210
e9dbdd80
TC
13014 1x001110x10xxxxx1xxx10xxxxxxxxxx
13015 sm3tt2a. */
fb3265b3 13016 return 2052;
9e1f0fa7 13017 }
a06ea964
NC
13018 }
13019 else
13020 {
e9dbdd80 13021 if (((word >> 11) & 0x1) == 0)
9e1f0fa7 13022 {
e9dbdd80
TC
13023 if (((word >> 23) & 0x1) == 0)
13024 {
13025 /* 33222222222211111111110000000000
13026 10987654321098765432109876543210
13027 1x001110010xxxxx1xxx01xxxxxxxxxx
13028 sm3tt1b. */
fb3265b3 13029 return 2051;
e9dbdd80
TC
13030 }
13031 else
13032 {
13033 /* 33222222222211111111110000000000
13034 10987654321098765432109876543210
13035 1x001110110xxxxx1xxx01xxxxxxxxxx
13036 sm4e. */
fb3265b3 13037 return 2056;
e9dbdd80 13038 }
9e1f0fa7
MW
13039 }
13040 else
13041 {
13042 /* 33222222222211111111110000000000
13043 10987654321098765432109876543210
e9dbdd80
TC
13044 1x001110x10xxxxx1xxx11xxxxxxxxxx
13045 sm3tt2b. */
fb3265b3 13046 return 2053;
9e1f0fa7 13047 }
a06ea964 13048 }
a06ea964 13049 }
9e1f0fa7 13050 }
e9dbdd80
TC
13051 }
13052 }
13053 else
13054 {
13055 if (((word >> 10) & 0x1) == 0)
13056 {
13057 /* 33222222222211111111110000000000
13058 10987654321098765432109876543210
13059 xx101110xx0xxxxxxxxxx0xxxxxxxxxx
13060 ext. */
193614f2 13061 return 132;
e9dbdd80
TC
13062 }
13063 else
13064 {
13065 if (((word >> 15) & 0x1) == 0)
9e1f0fa7 13066 {
e9dbdd80 13067 if (((word >> 22) & 0x1) == 0)
6b4680fb 13068 {
e9dbdd80
TC
13069 /* 33222222222211111111110000000000
13070 10987654321098765432109876543210
13071 xx101110x00xxxxx0xxxx1xxxxxxxxxx
13072 ins. */
193614f2 13073 return 156;
6b4680fb
MW
13074 }
13075 else
13076 {
e9dbdd80 13077 if (((word >> 11) & 0x1) == 0)
6b4680fb 13078 {
e9dbdd80 13079 if (((word >> 12) & 0x1) == 0)
6b4680fb 13080 {
e9dbdd80 13081 if (((word >> 13) & 0x1) == 0)
a06ea964 13082 {
e9dbdd80 13083 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
13084 {
13085 /* 33222222222211111111110000000000
13086 10987654321098765432109876543210
e9dbdd80
TC
13087 xx101110010xxxxx0x0001xxxxxxxxxx
13088 fmaxnmp. */
193614f2 13089 return 343;
a06ea964
NC
13090 }
13091 else
13092 {
13093 /* 33222222222211111111110000000000
13094 10987654321098765432109876543210
e9dbdd80
TC
13095 xx101110110xxxxx0x0001xxxxxxxxxx
13096 fminnmp. */
193614f2 13097 return 359;
a06ea964
NC
13098 }
13099 }
13100 else
13101 {
e9dbdd80 13102 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
13103 {
13104 /* 33222222222211111111110000000000
13105 10987654321098765432109876543210
e9dbdd80
TC
13106 xx101110010xxxxx0x1001xxxxxxxxxx
13107 fcmge. */
193614f2 13108 return 349;
a06ea964
NC
13109 }
13110 else
13111 {
13112 /* 33222222222211111111110000000000
13113 10987654321098765432109876543210
e9dbdd80
TC
13114 xx101110110xxxxx0x1001xxxxxxxxxx
13115 fcmgt. */
193614f2 13116 return 363;
a06ea964
NC
13117 }
13118 }
13119 }
13120 else
13121 {
e9dbdd80 13122 if (((word >> 13) & 0x1) == 0)
a06ea964 13123 {
e9dbdd80 13124 if (((word >> 23) & 0x1) == 0)
a06ea964 13125 {
e9dbdd80
TC
13126 /* 33222222222211111111110000000000
13127 10987654321098765432109876543210
13128 xx101110010xxxxx0x0101xxxxxxxxxx
13129 faddp. */
193614f2 13130 return 345;
a06ea964
NC
13131 }
13132 else
13133 {
e9dbdd80
TC
13134 /* 33222222222211111111110000000000
13135 10987654321098765432109876543210
13136 xx101110110xxxxx0x0101xxxxxxxxxx
13137 fabd. */
193614f2 13138 return 361;
a06ea964
NC
13139 }
13140 }
13141 else
13142 {
e9dbdd80 13143 if (((word >> 23) & 0x1) == 0)
a06ea964 13144 {
e9dbdd80
TC
13145 /* 33222222222211111111110000000000
13146 10987654321098765432109876543210
13147 xx101110010xxxxx0x1101xxxxxxxxxx
13148 fmaxp. */
193614f2 13149 return 353;
a06ea964
NC
13150 }
13151 else
13152 {
e9dbdd80
TC
13153 /* 33222222222211111111110000000000
13154 10987654321098765432109876543210
13155 xx101110110xxxxx0x1101xxxxxxxxxx
13156 fminp. */
193614f2 13157 return 367;
a06ea964
NC
13158 }
13159 }
13160 }
13161 }
13162 else
13163 {
e9dbdd80 13164 if (((word >> 12) & 0x1) == 0)
a06ea964 13165 {
e9dbdd80 13166 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
13167 {
13168 /* 33222222222211111111110000000000
13169 10987654321098765432109876543210
e9dbdd80
TC
13170 xx101110010xxxxx0xx011xxxxxxxxxx
13171 facge. */
193614f2 13172 return 351;
a06ea964
NC
13173 }
13174 else
13175 {
13176 /* 33222222222211111111110000000000
13177 10987654321098765432109876543210
e9dbdd80
TC
13178 xx101110110xxxxx0xx011xxxxxxxxxx
13179 facgt. */
193614f2 13180 return 365;
a06ea964
NC
13181 }
13182 }
13183 else
13184 {
e9dbdd80 13185 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
13186 {
13187 /* 33222222222211111111110000000000
13188 10987654321098765432109876543210
e9dbdd80
TC
13189 xx101110x10xxxxx0x0111xxxxxxxxxx
13190 fmul. */
193614f2 13191 return 347;
a06ea964
NC
13192 }
13193 else
13194 {
13195 /* 33222222222211111111110000000000
13196 10987654321098765432109876543210
e9dbdd80
TC
13197 xx101110x10xxxxx0x1111xxxxxxxxxx
13198 fdiv. */
193614f2 13199 return 355;
a06ea964
NC
13200 }
13201 }
13202 }
13203 }
e9dbdd80
TC
13204 }
13205 else
13206 {
13207 if (((word >> 13) & 0x1) == 0)
a06ea964 13208 {
e9dbdd80 13209 if (((word >> 14) & 0x1) == 0)
a06ea964 13210 {
e9dbdd80 13211 if (((word >> 11) & 0x1) == 0)
a06ea964 13212 {
e9dbdd80 13213 if (((word >> 12) & 0x1) == 0)
a06ea964 13214 {
e9dbdd80
TC
13215 /* 33222222222211111111110000000000
13216 10987654321098765432109876543210
13217 xx101110xx0xxxxx100001xxxxxxxxxx
13218 sqrdmlah. */
193614f2 13219 return 370;
a06ea964
NC
13220 }
13221 else
13222 {
e9dbdd80
TC
13223 /* 33222222222211111111110000000000
13224 10987654321098765432109876543210
13225 xx101110xx0xxxxx100101xxxxxxxxxx
13226 udot. */
fb3265b3 13227 return 2037;
a06ea964
NC
13228 }
13229 }
13230 else
13231 {
e9dbdd80
TC
13232 /* 33222222222211111111110000000000
13233 10987654321098765432109876543210
13234 xx101110xx0xxxxx100x11xxxxxxxxxx
13235 sqrdmlsh. */
193614f2 13236 return 371;
a06ea964
NC
13237 }
13238 }
13239 else
13240 {
e9dbdd80
TC
13241 /* 33222222222211111111110000000000
13242 10987654321098765432109876543210
13243 xx101110xx0xxxxx110xx1xxxxxxxxxx
13244 fcmla. */
193614f2 13245 return 372;
a06ea964
NC
13246 }
13247 }
e9dbdd80
TC
13248 else
13249 {
13250 /* 33222222222211111111110000000000
13251 10987654321098765432109876543210
13252 xx101110xx0xxxxx1x1xx1xxxxxxxxxx
13253 fcadd. */
193614f2 13254 return 373;
e9dbdd80 13255 }
a06ea964 13256 }
e9dbdd80
TC
13257 }
13258 }
13259 }
13260 else
13261 {
13262 if (((word >> 29) & 0x1) == 0)
13263 {
13264 if (((word >> 30) & 0x1) == 0)
13265 {
13266 if (((word >> 16) & 0x1) == 0)
a06ea964 13267 {
e9dbdd80 13268 if (((word >> 17) & 0x1) == 0)
a06ea964 13269 {
e9dbdd80
TC
13270 /* 33222222222211111111110000000000
13271 10987654321098765432109876543210
13272 x0011110xx0xxx00xxxxxxxxxxxxxxxx
13273 fcvtzs. */
b731bc3b 13274 return 763;
e9dbdd80
TC
13275 }
13276 else
13277 {
13278 /* 33222222222211111111110000000000
13279 10987654321098765432109876543210
13280 x0011110xx0xxx10xxxxxxxxxxxxxxxx
13281 scvtf. */
b731bc3b 13282 return 759;
e9dbdd80
TC
13283 }
13284 }
13285 else
13286 {
13287 if (((word >> 17) & 0x1) == 0)
13288 {
13289 /* 33222222222211111111110000000000
13290 10987654321098765432109876543210
13291 x0011110xx0xxx01xxxxxxxxxxxxxxxx
13292 fcvtzu. */
b731bc3b 13293 return 765;
e9dbdd80
TC
13294 }
13295 else
13296 {
13297 /* 33222222222211111111110000000000
13298 10987654321098765432109876543210
13299 x0011110xx0xxx11xxxxxxxxxxxxxxxx
13300 ucvtf. */
b731bc3b 13301 return 761;
e9dbdd80
TC
13302 }
13303 }
13304 }
13305 else
13306 {
13307 if (((word >> 10) & 0x1) == 0)
13308 {
13309 if (((word >> 12) & 0x1) == 0)
13310 {
13311 if (((word >> 13) & 0x1) == 0)
a06ea964 13312 {
e9dbdd80 13313 if (((word >> 14) & 0x1) == 0)
a06ea964 13314 {
e9dbdd80
TC
13315 /* 33222222222211111111110000000000
13316 10987654321098765432109876543210
13317 x1011110xx0xxxxxx000x0xxxxxxxxxx
13318 sha1c. */
193614f2 13319 return 678;
a06ea964
NC
13320 }
13321 else
13322 {
e9dbdd80
TC
13323 /* 33222222222211111111110000000000
13324 10987654321098765432109876543210
13325 x1011110xx0xxxxxx100x0xxxxxxxxxx
13326 sha256h. */
193614f2 13327 return 682;
a06ea964
NC
13328 }
13329 }
13330 else
13331 {
e9dbdd80 13332 if (((word >> 14) & 0x1) == 0)
a06ea964 13333 {
e9dbdd80
TC
13334 /* 33222222222211111111110000000000
13335 10987654321098765432109876543210
13336 x1011110xx0xxxxxx010x0xxxxxxxxxx
13337 sha1m. */
193614f2 13338 return 680;
a06ea964
NC
13339 }
13340 else
13341 {
e9dbdd80
TC
13342 /* 33222222222211111111110000000000
13343 10987654321098765432109876543210
13344 x1011110xx0xxxxxx110x0xxxxxxxxxx
13345 sha256su1. */
193614f2 13346 return 684;
a06ea964
NC
13347 }
13348 }
13349 }
13350 else
13351 {
e9dbdd80 13352 if (((word >> 13) & 0x1) == 0)
a06ea964 13353 {
e9dbdd80 13354 if (((word >> 14) & 0x1) == 0)
a06ea964 13355 {
e9dbdd80
TC
13356 /* 33222222222211111111110000000000
13357 10987654321098765432109876543210
13358 x1011110xx0xxxxxx001x0xxxxxxxxxx
13359 sha1p. */
193614f2 13360 return 679;
a06ea964
NC
13361 }
13362 else
13363 {
e9dbdd80
TC
13364 /* 33222222222211111111110000000000
13365 10987654321098765432109876543210
13366 x1011110xx0xxxxxx101x0xxxxxxxxxx
13367 sha256h2. */
193614f2 13368 return 683;
a06ea964
NC
13369 }
13370 }
13371 else
13372 {
e9dbdd80
TC
13373 /* 33222222222211111111110000000000
13374 10987654321098765432109876543210
13375 x1011110xx0xxxxxxx11x0xxxxxxxxxx
13376 sha1su0. */
193614f2 13377 return 681;
e9dbdd80
TC
13378 }
13379 }
13380 }
13381 else
13382 {
13383 if (((word >> 11) & 0x1) == 0)
13384 {
13385 if (((word >> 13) & 0x1) == 0)
13386 {
13387 /* 33222222222211111111110000000000
13388 10987654321098765432109876543210
13389 x1011110xx0xxxxxxx0x01xxxxxxxxxx
13390 dup. */
193614f2 13391 return 535;
e9dbdd80
TC
13392 }
13393 else
13394 {
13395 /* 33222222222211111111110000000000
13396 10987654321098765432109876543210
13397 x1011110xx0xxxxxxx1x01xxxxxxxxxx
13398 fcmeq. */
193614f2 13399 return 556;
e9dbdd80
TC
13400 }
13401 }
13402 else
13403 {
13404 if (((word >> 13) & 0x1) == 0)
13405 {
13406 /* 33222222222211111111110000000000
13407 10987654321098765432109876543210
13408 x1011110xx0xxxxxxx0x11xxxxxxxxxx
13409 fmulx. */
193614f2 13410 return 554;
e9dbdd80
TC
13411 }
13412 else
13413 {
13414 if (((word >> 23) & 0x1) == 0)
a06ea964 13415 {
e9dbdd80
TC
13416 /* 33222222222211111111110000000000
13417 10987654321098765432109876543210
13418 x10111100x0xxxxxxx1x11xxxxxxxxxx
13419 frecps. */
193614f2 13420 return 558;
a06ea964
NC
13421 }
13422 else
13423 {
e9dbdd80
TC
13424 /* 33222222222211111111110000000000
13425 10987654321098765432109876543210
13426 x10111101x0xxxxxxx1x11xxxxxxxxxx
13427 frsqrts. */
193614f2 13428 return 560;
a06ea964
NC
13429 }
13430 }
13431 }
13432 }
13433 }
e9dbdd80
TC
13434 }
13435 else
13436 {
13437 if (((word >> 11) & 0x1) == 0)
a06ea964 13438 {
e9dbdd80 13439 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
13440 {
13441 if (((word >> 13) & 0x1) == 0)
13442 {
e9dbdd80
TC
13443 /* 33222222222211111111110000000000
13444 10987654321098765432109876543210
13445 xx111110xx0xxxxxxx000xxxxxxxxxxx
13446 sqrdmlah. */
193614f2 13447 return 588;
e9dbdd80
TC
13448 }
13449 else
13450 {
13451 if (((word >> 23) & 0x1) == 0)
a06ea964 13452 {
e9dbdd80
TC
13453 /* 33222222222211111111110000000000
13454 10987654321098765432109876543210
13455 xx1111100x0xxxxxxx100xxxxxxxxxxx
13456 fcmge. */
193614f2 13457 return 573;
e9dbdd80
TC
13458 }
13459 else
13460 {
13461 /* 33222222222211111111110000000000
13462 10987654321098765432109876543210
13463 xx1111101x0xxxxxxx100xxxxxxxxxxx
13464 fcmgt. */
193614f2 13465 return 579;
e9dbdd80
TC
13466 }
13467 }
13468 }
13469 else
13470 {
13471 /* 33222222222211111111110000000000
13472 10987654321098765432109876543210
13473 xx111110xx0xxxxxxxx10xxxxxxxxxxx
13474 fabd. */
193614f2 13475 return 577;
e9dbdd80
TC
13476 }
13477 }
13478 else
13479 {
13480 if (((word >> 13) & 0x1) == 0)
13481 {
13482 /* 33222222222211111111110000000000
13483 10987654321098765432109876543210
13484 xx111110xx0xxxxxxx0x1xxxxxxxxxxx
13485 sqrdmlsh. */
193614f2 13486 return 589;
e9dbdd80
TC
13487 }
13488 else
13489 {
13490 if (((word >> 23) & 0x1) == 0)
13491 {
13492 /* 33222222222211111111110000000000
13493 10987654321098765432109876543210
13494 xx1111100x0xxxxxxx1x1xxxxxxxxxxx
13495 facge. */
193614f2 13496 return 575;
e9dbdd80
TC
13497 }
13498 else
13499 {
13500 /* 33222222222211111111110000000000
13501 10987654321098765432109876543210
13502 xx1111101x0xxxxxxx1x1xxxxxxxxxxx
13503 facgt. */
193614f2 13504 return 581;
e9dbdd80
TC
13505 }
13506 }
13507 }
13508 }
13509 }
13510 }
13511 else
13512 {
13513 if (((word >> 28) & 0x1) == 0)
13514 {
13515 if (((word >> 15) & 0x1) == 0)
13516 {
13517 if (((word >> 29) & 0x1) == 0)
13518 {
13519 if (((word >> 31) & 0x1) == 0)
13520 {
13521 if (((word >> 10) & 0x1) == 0)
13522 {
13523 if (((word >> 11) & 0x1) == 0)
13524 {
13525 if (((word >> 12) & 0x1) == 0)
a06ea964 13526 {
e9dbdd80 13527 if (((word >> 13) & 0x1) == 0)
a06ea964 13528 {
e9dbdd80 13529 if (((word >> 14) & 0x1) == 0)
a06ea964 13530 {
e9dbdd80
TC
13531 if (((word >> 30) & 0x1) == 0)
13532 {
13533 /* 33222222222211111111110000000000
13534 10987654321098765432109876543210
13535 00001110xx1xxxxx000000xxxxxxxxxx
13536 saddl. */
193614f2 13537 return 44;
e9dbdd80
TC
13538 }
13539 else
13540 {
13541 /* 33222222222211111111110000000000
13542 10987654321098765432109876543210
13543 01001110xx1xxxxx000000xxxxxxxxxx
13544 saddl2. */
193614f2 13545 return 45;
e9dbdd80 13546 }
a06ea964
NC
13547 }
13548 else
13549 {
e9dbdd80
TC
13550 if (((word >> 30) & 0x1) == 0)
13551 {
13552 /* 33222222222211111111110000000000
13553 10987654321098765432109876543210
13554 00001110xx1xxxxx010000xxxxxxxxxx
13555 addhn. */
193614f2 13556 return 52;
e9dbdd80
TC
13557 }
13558 else
13559 {
13560 /* 33222222222211111111110000000000
13561 10987654321098765432109876543210
13562 01001110xx1xxxxx010000xxxxxxxxxx
13563 addhn2. */
193614f2 13564 return 53;
e9dbdd80 13565 }
a06ea964
NC
13566 }
13567 }
13568 else
13569 {
e9dbdd80 13570 if (((word >> 14) & 0x1) == 0)
a06ea964 13571 {
e9dbdd80
TC
13572 if (((word >> 30) & 0x1) == 0)
13573 {
13574 /* 33222222222211111111110000000000
13575 10987654321098765432109876543210
13576 00001110xx1xxxxx001000xxxxxxxxxx
13577 ssubl. */
193614f2 13578 return 48;
e9dbdd80
TC
13579 }
13580 else
13581 {
13582 /* 33222222222211111111110000000000
13583 10987654321098765432109876543210
13584 01001110xx1xxxxx001000xxxxxxxxxx
13585 ssubl2. */
193614f2 13586 return 49;
e9dbdd80 13587 }
a06ea964
NC
13588 }
13589 else
13590 {
e9dbdd80
TC
13591 if (((word >> 30) & 0x1) == 0)
13592 {
13593 /* 33222222222211111111110000000000
13594 10987654321098765432109876543210
13595 00001110xx1xxxxx011000xxxxxxxxxx
13596 subhn. */
193614f2 13597 return 56;
e9dbdd80
TC
13598 }
13599 else
13600 {
13601 /* 33222222222211111111110000000000
13602 10987654321098765432109876543210
13603 01001110xx1xxxxx011000xxxxxxxxxx
13604 subhn2. */
193614f2 13605 return 57;
e9dbdd80 13606 }
a06ea964
NC
13607 }
13608 }
13609 }
13610 else
13611 {
e9dbdd80 13612 if (((word >> 13) & 0x1) == 0)
a06ea964 13613 {
e9dbdd80 13614 if (((word >> 14) & 0x1) == 0)
a06ea964 13615 {
e9dbdd80
TC
13616 if (((word >> 30) & 0x1) == 0)
13617 {
13618 /* 33222222222211111111110000000000
13619 10987654321098765432109876543210
13620 00001110xx1xxxxx000100xxxxxxxxxx
13621 saddw. */
193614f2 13622 return 46;
e9dbdd80
TC
13623 }
13624 else
13625 {
13626 /* 33222222222211111111110000000000
13627 10987654321098765432109876543210
13628 01001110xx1xxxxx000100xxxxxxxxxx
13629 saddw2. */
193614f2 13630 return 47;
e9dbdd80 13631 }
a06ea964
NC
13632 }
13633 else
13634 {
e9dbdd80
TC
13635 if (((word >> 30) & 0x1) == 0)
13636 {
13637 /* 33222222222211111111110000000000
13638 10987654321098765432109876543210
13639 00001110xx1xxxxx010100xxxxxxxxxx
13640 sabal. */
193614f2 13641 return 54;
e9dbdd80
TC
13642 }
13643 else
13644 {
13645 /* 33222222222211111111110000000000
13646 10987654321098765432109876543210
13647 01001110xx1xxxxx010100xxxxxxxxxx
13648 sabal2. */
193614f2 13649 return 55;
e9dbdd80 13650 }
a06ea964
NC
13651 }
13652 }
13653 else
13654 {
e9dbdd80 13655 if (((word >> 14) & 0x1) == 0)
a06ea964 13656 {
e9dbdd80
TC
13657 if (((word >> 30) & 0x1) == 0)
13658 {
13659 /* 33222222222211111111110000000000
13660 10987654321098765432109876543210
13661 00001110xx1xxxxx001100xxxxxxxxxx
13662 ssubw. */
193614f2 13663 return 50;
e9dbdd80
TC
13664 }
13665 else
13666 {
13667 /* 33222222222211111111110000000000
13668 10987654321098765432109876543210
13669 01001110xx1xxxxx001100xxxxxxxxxx
13670 ssubw2. */
193614f2 13671 return 51;
e9dbdd80 13672 }
a06ea964
NC
13673 }
13674 else
13675 {
e9dbdd80
TC
13676 if (((word >> 30) & 0x1) == 0)
13677 {
13678 /* 33222222222211111111110000000000
13679 10987654321098765432109876543210
13680 00001110xx1xxxxx011100xxxxxxxxxx
13681 sabdl. */
193614f2 13682 return 58;
e9dbdd80
TC
13683 }
13684 else
13685 {
13686 /* 33222222222211111111110000000000
13687 10987654321098765432109876543210
13688 01001110xx1xxxxx011100xxxxxxxxxx
13689 sabdl2. */
193614f2 13690 return 59;
e9dbdd80 13691 }
a06ea964
NC
13692 }
13693 }
13694 }
a06ea964 13695 }
e9dbdd80 13696 else
a06ea964 13697 {
e9dbdd80 13698 if (((word >> 12) & 0x1) == 0)
a06ea964 13699 {
e9dbdd80 13700 if (((word >> 13) & 0x1) == 0)
a06ea964 13701 {
e9dbdd80 13702 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
13703 {
13704 /* 33222222222211111111110000000000
13705 10987654321098765432109876543210
e9dbdd80
TC
13706 0x001110xx1xxxxx000010xxxxxxxxxx
13707 rev64. */
193614f2 13708 return 162;
a06ea964
NC
13709 }
13710 else
13711 {
e9dbdd80 13712 if (((word >> 16) & 0x1) == 0)
a06ea964 13713 {
e9dbdd80 13714 if (((word >> 19) & 0x1) == 0)
f3aa142b
MW
13715 {
13716 /* 33222222222211111111110000000000
13717 10987654321098765432109876543210
e9dbdd80
TC
13718 0x001110xx1x0xx0010010xxxxxxxxxx
13719 cls. */
193614f2 13720 return 166;
f3aa142b
MW
13721 }
13722 else
13723 {
13724 /* 33222222222211111111110000000000
13725 10987654321098765432109876543210
e9dbdd80
TC
13726 0x001110xx1x1xx0010010xxxxxxxxxx
13727 aese. */
193614f2 13728 return 671;
f3aa142b 13729 }
a06ea964
NC
13730 }
13731 else
e9dbdd80
TC
13732 {
13733 if (((word >> 30) & 0x1) == 0)
13734 {
13735 /* 33222222222211111111110000000000
13736 10987654321098765432109876543210
13737 00001110xx1xxxx1010010xxxxxxxxxx
13738 sqxtn. */
193614f2 13739 return 176;
e9dbdd80
TC
13740 }
13741 else
13742 {
13743 /* 33222222222211111111110000000000
13744 10987654321098765432109876543210
13745 01001110xx1xxxx1010010xxxxxxxxxx
13746 sqxtn2. */
193614f2 13747 return 177;
e9dbdd80
TC
13748 }
13749 }
13750 }
13751 }
13752 else
13753 {
13754 if (((word >> 14) & 0x1) == 0)
13755 {
13756 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
13757 {
13758 /* 33222222222211111111110000000000
13759 10987654321098765432109876543210
e9dbdd80
TC
13760 0x001110xx1xxxx0001010xxxxxxxxxx
13761 saddlp. */
193614f2 13762 return 164;
e9dbdd80
TC
13763 }
13764 else
13765 {
13766 if (((word >> 30) & 0x1) == 0)
13767 {
13768 /* 33222222222211111111110000000000
13769 10987654321098765432109876543210
13770 00001110xx1xxxx1001010xxxxxxxxxx
13771 xtn. */
193614f2 13772 return 174;
e9dbdd80
TC
13773 }
13774 else
13775 {
13776 /* 33222222222211111111110000000000
13777 10987654321098765432109876543210
13778 01001110xx1xxxx1001010xxxxxxxxxx
13779 xtn2. */
193614f2 13780 return 175;
e9dbdd80 13781 }
a06ea964
NC
13782 }
13783 }
13784 else
13785 {
e9dbdd80 13786 if (((word >> 16) & 0x1) == 0)
f3aa142b 13787 {
e9dbdd80 13788 if (((word >> 19) & 0x1) == 0)
f3aa142b
MW
13789 {
13790 /* 33222222222211111111110000000000
13791 10987654321098765432109876543210
e9dbdd80
TC
13792 0x001110xx1x0xx0011010xxxxxxxxxx
13793 sadalp. */
193614f2 13794 return 168;
f3aa142b
MW
13795 }
13796 else
13797 {
13798 /* 33222222222211111111110000000000
13799 10987654321098765432109876543210
e9dbdd80
TC
13800 0x001110xx1x1xx0011010xxxxxxxxxx
13801 aesmc. */
193614f2 13802 return 673;
f3aa142b
MW
13803 }
13804 }
13805 else
13806 {
e9dbdd80
TC
13807 if (((word >> 30) & 0x1) == 0)
13808 {
13809 /* 33222222222211111111110000000000
13810 10987654321098765432109876543210
13811 00001110xx1xxxx1011010xxxxxxxxxx
13812 fcvtn. */
193614f2 13813 return 178;
e9dbdd80
TC
13814 }
13815 else
13816 {
13817 /* 33222222222211111111110000000000
13818 10987654321098765432109876543210
13819 01001110xx1xxxx1011010xxxxxxxxxx
13820 fcvtn2. */
193614f2 13821 return 179;
e9dbdd80 13822 }
f3aa142b 13823 }
a06ea964
NC
13824 }
13825 }
13826 }
13827 else
13828 {
e9dbdd80 13829 if (((word >> 13) & 0x1) == 0)
a06ea964 13830 {
e9dbdd80 13831 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
13832 {
13833 /* 33222222222211111111110000000000
13834 10987654321098765432109876543210
e9dbdd80
TC
13835 0x001110xx1xxxxx000110xxxxxxxxxx
13836 rev16. */
193614f2 13837 return 163;
a06ea964
NC
13838 }
13839 else
13840 {
e9dbdd80
TC
13841 if (((word >> 19) & 0x1) == 0)
13842 {
13843 /* 33222222222211111111110000000000
13844 10987654321098765432109876543210
13845 0x001110xx1x0xxx010110xxxxxxxxxx
13846 cnt. */
193614f2 13847 return 167;
e9dbdd80
TC
13848 }
13849 else
13850 {
13851 /* 33222222222211111111110000000000
13852 10987654321098765432109876543210
13853 0x001110xx1x1xxx010110xxxxxxxxxx
13854 aesd. */
193614f2 13855 return 672;
e9dbdd80 13856 }
a06ea964
NC
13857 }
13858 }
13859 else
13860 {
e9dbdd80 13861 if (((word >> 14) & 0x1) == 0)
a06ea964 13862 {
e9dbdd80 13863 if (((word >> 20) & 0x1) == 0)
a06ea964
NC
13864 {
13865 /* 33222222222211111111110000000000
13866 10987654321098765432109876543210
e9dbdd80
TC
13867 0x001110xx10xxxx001110xxxxxxxxxx
13868 suqadd. */
193614f2 13869 return 165;
a06ea964
NC
13870 }
13871 else
13872 {
13873 /* 33222222222211111111110000000000
13874 10987654321098765432109876543210
e9dbdd80
TC
13875 0x001110xx11xxxx001110xxxxxxxxxx
13876 saddlv. */
193614f2 13877 return 29;
e9dbdd80
TC
13878 }
13879 }
13880 else
13881 {
13882 if (((word >> 16) & 0x1) == 0)
13883 {
13884 if (((word >> 19) & 0x1) == 0)
13885 {
13886 /* 33222222222211111111110000000000
13887 10987654321098765432109876543210
13888 0x001110xx1x0xx0011110xxxxxxxxxx
13889 sqabs. */
193614f2 13890 return 169;
e9dbdd80
TC
13891 }
13892 else
13893 {
13894 /* 33222222222211111111110000000000
13895 10987654321098765432109876543210
13896 0x001110xx1x1xx0011110xxxxxxxxxx
13897 aesimc. */
193614f2 13898 return 674;
e9dbdd80
TC
13899 }
13900 }
13901 else
13902 {
13903 if (((word >> 30) & 0x1) == 0)
13904 {
13905 /* 33222222222211111111110000000000
13906 10987654321098765432109876543210
13907 00001110xx1xxxx1011110xxxxxxxxxx
13908 fcvtl. */
193614f2 13909 return 180;
e9dbdd80
TC
13910 }
13911 else
13912 {
13913 /* 33222222222211111111110000000000
13914 10987654321098765432109876543210
13915 01001110xx1xxxx1011110xxxxxxxxxx
13916 fcvtl2. */
193614f2 13917 return 181;
e9dbdd80 13918 }
a06ea964
NC
13919 }
13920 }
e9dbdd80
TC
13921 }
13922 }
13923 }
13924 }
13925 else
13926 {
13927 if (((word >> 11) & 0x1) == 0)
13928 {
13929 if (((word >> 12) & 0x1) == 0)
13930 {
13931 if (((word >> 13) & 0x1) == 0)
13932 {
13933 if (((word >> 14) & 0x1) == 0)
13934 {
13935 /* 33222222222211111111110000000000
13936 10987654321098765432109876543210
13937 0x001110xx1xxxxx000001xxxxxxxxxx
13938 shadd. */
193614f2 13939 return 268;
e9dbdd80 13940 }
a06ea964
NC
13941 else
13942 {
13943 /* 33222222222211111111110000000000
13944 10987654321098765432109876543210
e9dbdd80
TC
13945 0x001110xx1xxxxx010001xxxxxxxxxx
13946 sshl. */
193614f2 13947 return 275;
a06ea964
NC
13948 }
13949 }
13950 else
13951 {
e9dbdd80 13952 if (((word >> 14) & 0x1) == 0)
a06ea964 13953 {
e9dbdd80
TC
13954 /* 33222222222211111111110000000000
13955 10987654321098765432109876543210
13956 0x001110xx1xxxxx001001xxxxxxxxxx
13957 shsub. */
193614f2 13958 return 271;
a06ea964
NC
13959 }
13960 else
13961 {
e9dbdd80
TC
13962 /* 33222222222211111111110000000000
13963 10987654321098765432109876543210
13964 0x001110xx1xxxxx011001xxxxxxxxxx
13965 smax. */
193614f2 13966 return 279;
a06ea964
NC
13967 }
13968 }
13969 }
13970 else
13971 {
e9dbdd80 13972 if (((word >> 13) & 0x1) == 0)
a06ea964 13973 {
e9dbdd80 13974 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
13975 {
13976 /* 33222222222211111111110000000000
13977 10987654321098765432109876543210
e9dbdd80
TC
13978 0x001110xx1xxxxx000101xxxxxxxxxx
13979 srhadd. */
193614f2 13980 return 270;
a06ea964
NC
13981 }
13982 else
13983 {
13984 /* 33222222222211111111110000000000
13985 10987654321098765432109876543210
e9dbdd80
TC
13986 0x001110xx1xxxxx010101xxxxxxxxxx
13987 srshl. */
193614f2 13988 return 277;
a06ea964
NC
13989 }
13990 }
13991 else
13992 {
e9dbdd80
TC
13993 if (((word >> 14) & 0x1) == 0)
13994 {
13995 /* 33222222222211111111110000000000
13996 10987654321098765432109876543210
13997 0x001110xx1xxxxx001101xxxxxxxxxx
13998 cmgt. */
193614f2 13999 return 273;
e9dbdd80
TC
14000 }
14001 else
14002 {
14003 /* 33222222222211111111110000000000
14004 10987654321098765432109876543210
14005 0x001110xx1xxxxx011101xxxxxxxxxx
14006 sabd. */
193614f2 14007 return 281;
e9dbdd80 14008 }
a06ea964
NC
14009 }
14010 }
14011 }
14012 else
14013 {
e9dbdd80 14014 if (((word >> 12) & 0x1) == 0)
a06ea964 14015 {
e9dbdd80 14016 if (((word >> 13) & 0x1) == 0)
a06ea964 14017 {
e9dbdd80
TC
14018 if (((word >> 14) & 0x1) == 0)
14019 {
14020 /* 33222222222211111111110000000000
14021 10987654321098765432109876543210
14022 0x001110xx1xxxxx000011xxxxxxxxxx
14023 sqadd. */
193614f2 14024 return 269;
e9dbdd80
TC
14025 }
14026 else
14027 {
14028 /* 33222222222211111111110000000000
14029 10987654321098765432109876543210
14030 0x001110xx1xxxxx010011xxxxxxxxxx
14031 sqshl. */
193614f2 14032 return 276;
e9dbdd80
TC
14033 }
14034 }
14035 else
14036 {
14037 if (((word >> 14) & 0x1) == 0)
14038 {
14039 /* 33222222222211111111110000000000
14040 10987654321098765432109876543210
14041 0x001110xx1xxxxx001011xxxxxxxxxx
14042 sqsub. */
193614f2 14043 return 272;
e9dbdd80
TC
14044 }
14045 else
14046 {
14047 /* 33222222222211111111110000000000
14048 10987654321098765432109876543210
14049 0x001110xx1xxxxx011011xxxxxxxxxx
14050 smin. */
193614f2 14051 return 280;
e9dbdd80
TC
14052 }
14053 }
14054 }
14055 else
14056 {
14057 if (((word >> 13) & 0x1) == 0)
14058 {
14059 if (((word >> 14) & 0x1) == 0)
a06ea964 14060 {
e9dbdd80 14061 if (((word >> 22) & 0x1) == 0)
a06ea964 14062 {
e9dbdd80 14063 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
14064 {
14065 /* 33222222222211111111110000000000
14066 10987654321098765432109876543210
e9dbdd80
TC
14067 0x001110001xxxxx000111xxxxxxxxxx
14068 and. */
193614f2 14069 return 305;
f3aa142b
MW
14070 }
14071 else
14072 {
14073 /* 33222222222211111111110000000000
14074 10987654321098765432109876543210
e9dbdd80
TC
14075 0x001110101xxxxx000111xxxxxxxxxx
14076 orr. */
193614f2 14077 return 317;
f3aa142b 14078 }
a06ea964
NC
14079 }
14080 else
14081 {
e9dbdd80 14082 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
14083 {
14084 /* 33222222222211111111110000000000
14085 10987654321098765432109876543210
e9dbdd80
TC
14086 0x001110011xxxxx000111xxxxxxxxxx
14087 bic. */
193614f2 14088 return 306;
f3aa142b
MW
14089 }
14090 else
14091 {
14092 /* 33222222222211111111110000000000
14093 10987654321098765432109876543210
e9dbdd80
TC
14094 0x001110111xxxxx000111xxxxxxxxxx
14095 orn. */
193614f2 14096 return 319;
f3aa142b 14097 }
a06ea964
NC
14098 }
14099 }
14100 else
14101 {
e9dbdd80
TC
14102 /* 33222222222211111111110000000000
14103 10987654321098765432109876543210
14104 0x001110xx1xxxxx010111xxxxxxxxxx
14105 sqrshl. */
193614f2 14106 return 278;
a06ea964
NC
14107 }
14108 }
14109 else
f3aa142b 14110 {
e9dbdd80 14111 if (((word >> 14) & 0x1) == 0)
f3aa142b 14112 {
e9dbdd80
TC
14113 /* 33222222222211111111110000000000
14114 10987654321098765432109876543210
14115 0x001110xx1xxxxx001111xxxxxxxxxx
14116 cmge. */
193614f2 14117 return 274;
a06ea964
NC
14118 }
14119 else
14120 {
e9dbdd80
TC
14121 /* 33222222222211111111110000000000
14122 10987654321098765432109876543210
14123 0x001110xx1xxxxx011111xxxxxxxxxx
14124 saba. */
193614f2 14125 return 282;
a06ea964
NC
14126 }
14127 }
14128 }
14129 }
14130 }
14131 }
14132 else
14133 {
e9dbdd80
TC
14134 /* 33222222222211111111110000000000
14135 10987654321098765432109876543210
14136 1x001110xx1xxxxx0xxxxxxxxxxxxxxx
14137 bcax. */
fb3265b3 14138 return 2048;
e9dbdd80
TC
14139 }
14140 }
14141 else
14142 {
14143 if (((word >> 10) & 0x1) == 0)
14144 {
14145 if (((word >> 11) & 0x1) == 0)
a06ea964 14146 {
e9dbdd80 14147 if (((word >> 12) & 0x1) == 0)
a06ea964 14148 {
e9dbdd80 14149 if (((word >> 13) & 0x1) == 0)
a06ea964 14150 {
e9dbdd80 14151 if (((word >> 14) & 0x1) == 0)
a06ea964 14152 {
e9dbdd80 14153 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
14154 {
14155 /* 33222222222211111111110000000000
14156 10987654321098765432109876543210
e9dbdd80
TC
14157 x0101110xx1xxxxx000000xxxxxxxxxx
14158 uaddl. */
193614f2 14159 return 76;
a06ea964
NC
14160 }
14161 else
14162 {
14163 /* 33222222222211111111110000000000
14164 10987654321098765432109876543210
e9dbdd80
TC
14165 x1101110xx1xxxxx000000xxxxxxxxxx
14166 uaddl2. */
193614f2 14167 return 77;
a06ea964
NC
14168 }
14169 }
14170 else
14171 {
e9dbdd80 14172 if (((word >> 30) & 0x1) == 0)
a06ea964 14173 {
e9dbdd80
TC
14174 /* 33222222222211111111110000000000
14175 10987654321098765432109876543210
14176 x0101110xx1xxxxx010000xxxxxxxxxx
14177 raddhn. */
193614f2 14178 return 84;
a06ea964
NC
14179 }
14180 else
14181 {
e9dbdd80
TC
14182 /* 33222222222211111111110000000000
14183 10987654321098765432109876543210
14184 x1101110xx1xxxxx010000xxxxxxxxxx
14185 raddhn2. */
193614f2 14186 return 85;
a06ea964
NC
14187 }
14188 }
14189 }
14190 else
14191 {
e9dbdd80 14192 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
14193 {
14194 if (((word >> 30) & 0x1) == 0)
14195 {
14196 /* 33222222222211111111110000000000
14197 10987654321098765432109876543210
e9dbdd80
TC
14198 x0101110xx1xxxxx001000xxxxxxxxxx
14199 usubl. */
193614f2 14200 return 80;
a06ea964
NC
14201 }
14202 else
14203 {
14204 /* 33222222222211111111110000000000
14205 10987654321098765432109876543210
e9dbdd80
TC
14206 x1101110xx1xxxxx001000xxxxxxxxxx
14207 usubl2. */
193614f2 14208 return 81;
a06ea964
NC
14209 }
14210 }
14211 else
14212 {
e9dbdd80
TC
14213 if (((word >> 30) & 0x1) == 0)
14214 {
14215 /* 33222222222211111111110000000000
14216 10987654321098765432109876543210
14217 x0101110xx1xxxxx011000xxxxxxxxxx
14218 rsubhn. */
193614f2 14219 return 88;
e9dbdd80
TC
14220 }
14221 else
14222 {
14223 /* 33222222222211111111110000000000
14224 10987654321098765432109876543210
14225 x1101110xx1xxxxx011000xxxxxxxxxx
14226 rsubhn2. */
193614f2 14227 return 89;
e9dbdd80 14228 }
a06ea964
NC
14229 }
14230 }
14231 }
14232 else
14233 {
e9dbdd80 14234 if (((word >> 13) & 0x1) == 0)
a06ea964 14235 {
e9dbdd80 14236 if (((word >> 14) & 0x1) == 0)
a06ea964 14237 {
e9dbdd80 14238 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
14239 {
14240 /* 33222222222211111111110000000000
14241 10987654321098765432109876543210
e9dbdd80
TC
14242 x0101110xx1xxxxx000100xxxxxxxxxx
14243 uaddw. */
193614f2 14244 return 78;
a06ea964
NC
14245 }
14246 else
14247 {
14248 /* 33222222222211111111110000000000
14249 10987654321098765432109876543210
e9dbdd80
TC
14250 x1101110xx1xxxxx000100xxxxxxxxxx
14251 uaddw2. */
193614f2 14252 return 79;
a06ea964
NC
14253 }
14254 }
14255 else
14256 {
e9dbdd80 14257 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
14258 {
14259 /* 33222222222211111111110000000000
14260 10987654321098765432109876543210
e9dbdd80
TC
14261 x0101110xx1xxxxx010100xxxxxxxxxx
14262 uabal. */
193614f2 14263 return 86;
a06ea964
NC
14264 }
14265 else
14266 {
14267 /* 33222222222211111111110000000000
14268 10987654321098765432109876543210
e9dbdd80
TC
14269 x1101110xx1xxxxx010100xxxxxxxxxx
14270 uabal2. */
193614f2 14271 return 87;
a06ea964
NC
14272 }
14273 }
14274 }
14275 else
14276 {
e9dbdd80 14277 if (((word >> 14) & 0x1) == 0)
a06ea964 14278 {
e9dbdd80 14279 if (((word >> 30) & 0x1) == 0)
a06ea964 14280 {
e9dbdd80
TC
14281 /* 33222222222211111111110000000000
14282 10987654321098765432109876543210
14283 x0101110xx1xxxxx001100xxxxxxxxxx
14284 usubw. */
193614f2 14285 return 82;
a06ea964
NC
14286 }
14287 else
14288 {
e9dbdd80
TC
14289 /* 33222222222211111111110000000000
14290 10987654321098765432109876543210
14291 x1101110xx1xxxxx001100xxxxxxxxxx
14292 usubw2. */
193614f2 14293 return 83;
a06ea964
NC
14294 }
14295 }
14296 else
14297 {
e9dbdd80 14298 if (((word >> 30) & 0x1) == 0)
a06ea964 14299 {
e9dbdd80
TC
14300 /* 33222222222211111111110000000000
14301 10987654321098765432109876543210
14302 x0101110xx1xxxxx011100xxxxxxxxxx
14303 uabdl. */
193614f2 14304 return 90;
a06ea964
NC
14305 }
14306 else
14307 {
e9dbdd80
TC
14308 /* 33222222222211111111110000000000
14309 10987654321098765432109876543210
14310 x1101110xx1xxxxx011100xxxxxxxxxx
14311 uabdl2. */
193614f2 14312 return 91;
a06ea964
NC
14313 }
14314 }
14315 }
14316 }
14317 }
14318 else
14319 {
e9dbdd80 14320 if (((word >> 12) & 0x1) == 0)
a06ea964 14321 {
e9dbdd80 14322 if (((word >> 13) & 0x1) == 0)
a06ea964 14323 {
e9dbdd80 14324 if (((word >> 14) & 0x1) == 0)
a06ea964 14325 {
e9dbdd80
TC
14326 /* 33222222222211111111110000000000
14327 10987654321098765432109876543210
14328 xx101110xx1xxxxx000010xxxxxxxxxx
14329 rev32. */
193614f2 14330 return 213;
e9dbdd80
TC
14331 }
14332 else
14333 {
14334 if (((word >> 16) & 0x1) == 0)
a06ea964 14335 {
e9dbdd80
TC
14336 /* 33222222222211111111110000000000
14337 10987654321098765432109876543210
14338 xx101110xx1xxxx0010010xxxxxxxxxx
14339 clz. */
193614f2 14340 return 216;
e9dbdd80
TC
14341 }
14342 else
14343 {
14344 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
14345 {
14346 /* 33222222222211111111110000000000
14347 10987654321098765432109876543210
e9dbdd80
TC
14348 x0101110xx1xxxx1010010xxxxxxxxxx
14349 uqxtn. */
193614f2 14350 return 226;
a06ea964
NC
14351 }
14352 else
14353 {
14354 /* 33222222222211111111110000000000
14355 10987654321098765432109876543210
e9dbdd80
TC
14356 x1101110xx1xxxx1010010xxxxxxxxxx
14357 uqxtn2. */
193614f2 14358 return 227;
a06ea964
NC
14359 }
14360 }
e9dbdd80
TC
14361 }
14362 }
14363 else
14364 {
14365 if (((word >> 14) & 0x1) == 0)
14366 {
14367 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
14368 {
14369 /* 33222222222211111111110000000000
14370 10987654321098765432109876543210
e9dbdd80
TC
14371 xx101110xx1xxxx0001010xxxxxxxxxx
14372 uaddlp. */
193614f2 14373 return 214;
a06ea964 14374 }
e9dbdd80 14375 else
a06ea964
NC
14376 {
14377 if (((word >> 30) & 0x1) == 0)
14378 {
14379 /* 33222222222211111111110000000000
14380 10987654321098765432109876543210
e9dbdd80
TC
14381 x0101110xx1xxxx1001010xxxxxxxxxx
14382 sqxtun. */
193614f2 14383 return 222;
a06ea964
NC
14384 }
14385 else
14386 {
14387 /* 33222222222211111111110000000000
14388 10987654321098765432109876543210
e9dbdd80
TC
14389 x1101110xx1xxxx1001010xxxxxxxxxx
14390 sqxtun2. */
193614f2 14391 return 223;
a06ea964
NC
14392 }
14393 }
e9dbdd80
TC
14394 }
14395 else
14396 {
14397 if (((word >> 16) & 0x1) == 0)
14398 {
14399 /* 33222222222211111111110000000000
14400 10987654321098765432109876543210
14401 xx101110xx1xxxx0011010xxxxxxxxxx
14402 uadalp. */
193614f2 14403 return 217;
e9dbdd80 14404 }
a06ea964
NC
14405 else
14406 {
14407 if (((word >> 30) & 0x1) == 0)
14408 {
14409 /* 33222222222211111111110000000000
14410 10987654321098765432109876543210
7684e580 14411 x0101110xx1xxxx1011010xxxxxxxxxx
a06ea964 14412 fcvtxn. */
193614f2 14413 return 228;
a06ea964
NC
14414 }
14415 else
14416 {
14417 /* 33222222222211111111110000000000
14418 10987654321098765432109876543210
7684e580 14419 x1101110xx1xxxx1011010xxxxxxxxxx
a06ea964 14420 fcvtxn2. */
193614f2 14421 return 229;
a06ea964
NC
14422 }
14423 }
14424 }
14425 }
a06ea964
NC
14426 }
14427 else
14428 {
e9dbdd80 14429 if (((word >> 13) & 0x1) == 0)
a06ea964 14430 {
e9dbdd80 14431 if (((word >> 22) & 0x1) == 0)
f3aa142b
MW
14432 {
14433 /* 33222222222211111111110000000000
14434 10987654321098765432109876543210
e9dbdd80
TC
14435 xx101110x01xxxxx0x0110xxxxxxxxxx
14436 not. */
193614f2 14437 return 242;
f3aa142b
MW
14438 }
14439 else
14440 {
14441 /* 33222222222211111111110000000000
14442 10987654321098765432109876543210
e9dbdd80
TC
14443 xx101110x11xxxxx0x0110xxxxxxxxxx
14444 rbit. */
193614f2 14445 return 244;
f3aa142b 14446 }
a06ea964
NC
14447 }
14448 else
14449 {
e9dbdd80 14450 if (((word >> 14) & 0x1) == 0)
80776b29 14451 {
e9dbdd80
TC
14452 if (((word >> 16) & 0x1) == 0)
14453 {
14454 if (((word >> 20) & 0x1) == 0)
14455 {
14456 /* 33222222222211111111110000000000
14457 10987654321098765432109876543210
14458 xx101110xx10xxx0001110xxxxxxxxxx
14459 usqadd. */
193614f2 14460 return 215;
e9dbdd80
TC
14461 }
14462 else
14463 {
14464 /* 33222222222211111111110000000000
14465 10987654321098765432109876543210
14466 xx101110xx11xxx0001110xxxxxxxxxx
14467 uaddlv. */
193614f2 14468 return 33;
e9dbdd80
TC
14469 }
14470 }
14471 else
14472 {
14473 if (((word >> 30) & 0x1) == 0)
14474 {
14475 /* 33222222222211111111110000000000
14476 10987654321098765432109876543210
14477 x0101110xx1xxxx1001110xxxxxxxxxx
14478 shll. */
193614f2 14479 return 224;
e9dbdd80
TC
14480 }
14481 else
14482 {
14483 /* 33222222222211111111110000000000
14484 10987654321098765432109876543210
14485 x1101110xx1xxxx1001110xxxxxxxxxx
14486 shll2. */
193614f2 14487 return 225;
e9dbdd80
TC
14488 }
14489 }
14490 }
14491 else
14492 {
14493 /* 33222222222211111111110000000000
14494 10987654321098765432109876543210
14495 xx101110xx1xxxxx011110xxxxxxxxxx
14496 sqneg. */
193614f2 14497 return 218;
e9dbdd80 14498 }
a06ea964
NC
14499 }
14500 }
14501 }
14502 }
e9dbdd80 14503 else
a06ea964 14504 {
e9dbdd80 14505 if (((word >> 11) & 0x1) == 0)
a06ea964 14506 {
e9dbdd80 14507 if (((word >> 12) & 0x1) == 0)
a06ea964 14508 {
e9dbdd80 14509 if (((word >> 13) & 0x1) == 0)
a06ea964 14510 {
e9dbdd80
TC
14511 if (((word >> 14) & 0x1) == 0)
14512 {
14513 /* 33222222222211111111110000000000
14514 10987654321098765432109876543210
14515 xx101110xx1xxxxx000001xxxxxxxxxx
14516 uhadd. */
193614f2 14517 return 320;
e9dbdd80
TC
14518 }
14519 else
14520 {
14521 /* 33222222222211111111110000000000
14522 10987654321098765432109876543210
14523 xx101110xx1xxxxx010001xxxxxxxxxx
14524 ushl. */
193614f2 14525 return 327;
e9dbdd80 14526 }
a06ea964
NC
14527 }
14528 else
14529 {
e9dbdd80 14530 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
14531 {
14532 /* 33222222222211111111110000000000
14533 10987654321098765432109876543210
e9dbdd80
TC
14534 xx101110xx1xxxxx001001xxxxxxxxxx
14535 uhsub. */
193614f2 14536 return 323;
a06ea964
NC
14537 }
14538 else
14539 {
14540 /* 33222222222211111111110000000000
14541 10987654321098765432109876543210
e9dbdd80
TC
14542 xx101110xx1xxxxx011001xxxxxxxxxx
14543 umax. */
193614f2 14544 return 331;
a06ea964
NC
14545 }
14546 }
14547 }
14548 else
14549 {
e9dbdd80 14550 if (((word >> 13) & 0x1) == 0)
a06ea964 14551 {
e9dbdd80 14552 if (((word >> 14) & 0x1) == 0)
a06ea964 14553 {
e9dbdd80
TC
14554 /* 33222222222211111111110000000000
14555 10987654321098765432109876543210
14556 xx101110xx1xxxxx000101xxxxxxxxxx
14557 urhadd. */
193614f2 14558 return 322;
a06ea964
NC
14559 }
14560 else
14561 {
e9dbdd80
TC
14562 /* 33222222222211111111110000000000
14563 10987654321098765432109876543210
14564 xx101110xx1xxxxx010101xxxxxxxxxx
14565 urshl. */
193614f2 14566 return 329;
a06ea964
NC
14567 }
14568 }
14569 else
14570 {
e9dbdd80 14571 if (((word >> 14) & 0x1) == 0)
a06ea964 14572 {
e9dbdd80
TC
14573 /* 33222222222211111111110000000000
14574 10987654321098765432109876543210
14575 xx101110xx1xxxxx001101xxxxxxxxxx
14576 cmhi. */
193614f2 14577 return 325;
a06ea964
NC
14578 }
14579 else
14580 {
e9dbdd80
TC
14581 /* 33222222222211111111110000000000
14582 10987654321098765432109876543210
14583 xx101110xx1xxxxx011101xxxxxxxxxx
14584 uabd. */
193614f2 14585 return 333;
e9dbdd80
TC
14586 }
14587 }
14588 }
14589 }
14590 else
14591 {
14592 if (((word >> 12) & 0x1) == 0)
14593 {
14594 if (((word >> 13) & 0x1) == 0)
14595 {
14596 if (((word >> 14) & 0x1) == 0)
14597 {
14598 /* 33222222222211111111110000000000
14599 10987654321098765432109876543210
14600 xx101110xx1xxxxx000011xxxxxxxxxx
14601 uqadd. */
193614f2 14602 return 321;
e9dbdd80
TC
14603 }
14604 else
14605 {
14606 /* 33222222222211111111110000000000
14607 10987654321098765432109876543210
14608 xx101110xx1xxxxx010011xxxxxxxxxx
14609 uqshl. */
193614f2 14610 return 328;
e9dbdd80
TC
14611 }
14612 }
14613 else
14614 {
14615 if (((word >> 14) & 0x1) == 0)
14616 {
14617 /* 33222222222211111111110000000000
14618 10987654321098765432109876543210
14619 xx101110xx1xxxxx001011xxxxxxxxxx
14620 uqsub. */
193614f2 14621 return 324;
e9dbdd80
TC
14622 }
14623 else
14624 {
14625 /* 33222222222211111111110000000000
14626 10987654321098765432109876543210
14627 xx101110xx1xxxxx011011xxxxxxxxxx
14628 umin. */
193614f2 14629 return 332;
e9dbdd80
TC
14630 }
14631 }
14632 }
14633 else
14634 {
14635 if (((word >> 13) & 0x1) == 0)
14636 {
14637 if (((word >> 14) & 0x1) == 0)
14638 {
14639 if (((word >> 22) & 0x1) == 0)
a06ea964 14640 {
e9dbdd80 14641 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
14642 {
14643 /* 33222222222211111111110000000000
14644 10987654321098765432109876543210
e9dbdd80
TC
14645 xx101110001xxxxx000111xxxxxxxxxx
14646 eor. */
193614f2 14647 return 356;
f3aa142b
MW
14648 }
14649 else
14650 {
14651 /* 33222222222211111111110000000000
14652 10987654321098765432109876543210
e9dbdd80
TC
14653 xx101110101xxxxx000111xxxxxxxxxx
14654 bit. */
193614f2 14655 return 368;
f3aa142b 14656 }
a06ea964
NC
14657 }
14658 else
14659 {
e9dbdd80 14660 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
14661 {
14662 /* 33222222222211111111110000000000
14663 10987654321098765432109876543210
e9dbdd80
TC
14664 xx101110011xxxxx000111xxxxxxxxxx
14665 bsl. */
193614f2 14666 return 357;
f3aa142b
MW
14667 }
14668 else
14669 {
14670 /* 33222222222211111111110000000000
14671 10987654321098765432109876543210
e9dbdd80
TC
14672 xx101110111xxxxx000111xxxxxxxxxx
14673 bif. */
193614f2 14674 return 369;
f3aa142b 14675 }
a06ea964
NC
14676 }
14677 }
e9dbdd80
TC
14678 else
14679 {
14680 /* 33222222222211111111110000000000
14681 10987654321098765432109876543210
14682 xx101110xx1xxxxx010111xxxxxxxxxx
14683 uqrshl. */
193614f2 14684 return 330;
e9dbdd80 14685 }
a06ea964 14686 }
e9dbdd80 14687 else
a06ea964 14688 {
e9dbdd80 14689 if (((word >> 14) & 0x1) == 0)
a06ea964 14690 {
e9dbdd80
TC
14691 /* 33222222222211111111110000000000
14692 10987654321098765432109876543210
14693 xx101110xx1xxxxx001111xxxxxxxxxx
14694 cmhs. */
193614f2 14695 return 326;
e9dbdd80
TC
14696 }
14697 else
14698 {
14699 /* 33222222222211111111110000000000
14700 10987654321098765432109876543210
14701 xx101110xx1xxxxx011111xxxxxxxxxx
14702 uaba. */
193614f2 14703 return 334;
e9dbdd80
TC
14704 }
14705 }
14706 }
14707 }
14708 }
14709 }
14710 }
14711 else
14712 {
14713 if (((word >> 10) & 0x1) == 0)
14714 {
14715 if (((word >> 11) & 0x1) == 0)
14716 {
14717 if (((word >> 12) & 0x1) == 0)
14718 {
14719 if (((word >> 13) & 0x1) == 0)
14720 {
14721 if (((word >> 14) & 0x1) == 0)
14722 {
14723 if (((word >> 29) & 0x1) == 0)
14724 {
14725 if (((word >> 30) & 0x1) == 0)
14726 {
a06ea964
NC
14727 /* 33222222222211111111110000000000
14728 10987654321098765432109876543210
e9dbdd80
TC
14729 x0001110xx1xxxxx100000xxxxxxxxxx
14730 smlal. */
193614f2 14731 return 60;
a06ea964
NC
14732 }
14733 else
14734 {
e9dbdd80 14735 if (((word >> 31) & 0x1) == 0)
a06ea964
NC
14736 {
14737 /* 33222222222211111111110000000000
14738 10987654321098765432109876543210
e9dbdd80
TC
14739 01001110xx1xxxxx100000xxxxxxxxxx
14740 smlal2. */
193614f2 14741 return 61;
a06ea964
NC
14742 }
14743 else
14744 {
14745 /* 33222222222211111111110000000000
14746 10987654321098765432109876543210
e9dbdd80
TC
14747 11001110xx1xxxxx100000xxxxxxxxxx
14748 sha512h. */
fb3265b3 14749 return 2041;
a06ea964
NC
14750 }
14751 }
14752 }
14753 else
14754 {
e9dbdd80
TC
14755 if (((word >> 30) & 0x1) == 0)
14756 {
14757 /* 33222222222211111111110000000000
14758 10987654321098765432109876543210
14759 x0101110xx1xxxxx100000xxxxxxxxxx
14760 umlal. */
193614f2 14761 return 92;
e9dbdd80
TC
14762 }
14763 else
14764 {
14765 /* 33222222222211111111110000000000
14766 10987654321098765432109876543210
14767 x1101110xx1xxxxx100000xxxxxxxxxx
14768 umlal2. */
193614f2 14769 return 93;
e9dbdd80 14770 }
a06ea964
NC
14771 }
14772 }
14773 else
14774 {
e9dbdd80 14775 if (((word >> 29) & 0x1) == 0)
a06ea964 14776 {
e9dbdd80 14777 if (((word >> 30) & 0x1) == 0)
a06ea964 14778 {
e9dbdd80
TC
14779 /* 33222222222211111111110000000000
14780 10987654321098765432109876543210
14781 x0001110xx1xxxxx110000xxxxxxxxxx
14782 smull. */
193614f2 14783 return 68;
a06ea964
NC
14784 }
14785 else
14786 {
e9dbdd80 14787 if (((word >> 31) & 0x1) == 0)
b195470d
MW
14788 {
14789 /* 33222222222211111111110000000000
14790 10987654321098765432109876543210
e9dbdd80
TC
14791 01001110xx1xxxxx110000xxxxxxxxxx
14792 smull2. */
193614f2 14793 return 69;
b195470d
MW
14794 }
14795 else
14796 {
14797 /* 33222222222211111111110000000000
14798 10987654321098765432109876543210
e9dbdd80
TC
14799 11001110xx1xxxxx110000xxxxxxxxxx
14800 sm3partw1. */
fb3265b3 14801 return 2054;
b195470d 14802 }
a06ea964
NC
14803 }
14804 }
14805 else
14806 {
e9dbdd80 14807 if (((word >> 30) & 0x1) == 0)
f3aa142b 14808 {
e9dbdd80
TC
14809 /* 33222222222211111111110000000000
14810 10987654321098765432109876543210
14811 x0101110xx1xxxxx110000xxxxxxxxxx
14812 umull. */
193614f2 14813 return 96;
f3aa142b
MW
14814 }
14815 else
14816 {
e9dbdd80
TC
14817 /* 33222222222211111111110000000000
14818 10987654321098765432109876543210
14819 x1101110xx1xxxxx110000xxxxxxxxxx
14820 umull2. */
193614f2 14821 return 97;
f3aa142b 14822 }
a06ea964
NC
14823 }
14824 }
e9dbdd80
TC
14825 }
14826 else
14827 {
14828 if (((word >> 14) & 0x1) == 0)
a06ea964 14829 {
e9dbdd80 14830 if (((word >> 29) & 0x1) == 0)
a06ea964 14831 {
e9dbdd80 14832 if (((word >> 30) & 0x1) == 0)
a06ea964 14833 {
e9dbdd80
TC
14834 /* 33222222222211111111110000000000
14835 10987654321098765432109876543210
14836 x0001110xx1xxxxx101000xxxxxxxxxx
14837 smlsl. */
193614f2 14838 return 64;
a06ea964
NC
14839 }
14840 else
14841 {
e9dbdd80
TC
14842 /* 33222222222211111111110000000000
14843 10987654321098765432109876543210
14844 x1001110xx1xxxxx101000xxxxxxxxxx
14845 smlsl2. */
193614f2 14846 return 65;
a06ea964
NC
14847 }
14848 }
14849 else
14850 {
e9dbdd80 14851 if (((word >> 30) & 0x1) == 0)
a06ea964 14852 {
e9dbdd80
TC
14853 /* 33222222222211111111110000000000
14854 10987654321098765432109876543210
14855 x0101110xx1xxxxx101000xxxxxxxxxx
14856 umlsl. */
193614f2 14857 return 94;
a06ea964
NC
14858 }
14859 else
14860 {
e9dbdd80
TC
14861 /* 33222222222211111111110000000000
14862 10987654321098765432109876543210
14863 x1101110xx1xxxxx101000xxxxxxxxxx
14864 umlsl2. */
193614f2 14865 return 95;
a06ea964
NC
14866 }
14867 }
14868 }
e9dbdd80 14869 else
a06ea964 14870 {
e9dbdd80 14871 if (((word >> 22) & 0x1) == 0)
a06ea964
NC
14872 {
14873 if (((word >> 30) & 0x1) == 0)
14874 {
14875 /* 33222222222211111111110000000000
14876 10987654321098765432109876543210
e9dbdd80
TC
14877 x0x01110x01xxxxx111000xxxxxxxxxx
14878 pmull. */
193614f2 14879 return 72;
a06ea964
NC
14880 }
14881 else
14882 {
14883 /* 33222222222211111111110000000000
14884 10987654321098765432109876543210
e9dbdd80
TC
14885 x1x01110x01xxxxx111000xxxxxxxxxx
14886 pmull2. */
193614f2 14887 return 74;
a06ea964
NC
14888 }
14889 }
e9dbdd80 14890 else
a06ea964
NC
14891 {
14892 if (((word >> 30) & 0x1) == 0)
14893 {
14894 /* 33222222222211111111110000000000
14895 10987654321098765432109876543210
e9dbdd80
TC
14896 x0x01110x11xxxxx111000xxxxxxxxxx
14897 pmull. */
193614f2 14898 return 73;
a06ea964
NC
14899 }
14900 else
14901 {
14902 /* 33222222222211111111110000000000
14903 10987654321098765432109876543210
e9dbdd80
TC
14904 x1x01110x11xxxxx111000xxxxxxxxxx
14905 pmull2. */
193614f2 14906 return 75;
a06ea964
NC
14907 }
14908 }
e9dbdd80
TC
14909 }
14910 }
14911 }
14912 else
14913 {
14914 if (((word >> 13) & 0x1) == 0)
14915 {
14916 if (((word >> 14) & 0x1) == 0)
14917 {
14918 if (((word >> 30) & 0x1) == 0)
14919 {
14920 /* 33222222222211111111110000000000
14921 10987654321098765432109876543210
14922 x0x01110xx1xxxxx100100xxxxxxxxxx
14923 sqdmlal. */
193614f2 14924 return 62;
e9dbdd80 14925 }
a06ea964
NC
14926 else
14927 {
14928 /* 33222222222211111111110000000000
14929 10987654321098765432109876543210
e9dbdd80
TC
14930 x1x01110xx1xxxxx100100xxxxxxxxxx
14931 sqdmlal2. */
193614f2 14932 return 63;
a06ea964
NC
14933 }
14934 }
e9dbdd80 14935 else
a06ea964 14936 {
e9dbdd80 14937 if (((word >> 30) & 0x1) == 0)
a06ea964 14938 {
e9dbdd80
TC
14939 /* 33222222222211111111110000000000
14940 10987654321098765432109876543210
14941 x0x01110xx1xxxxx110100xxxxxxxxxx
14942 sqdmull. */
193614f2 14943 return 70;
a06ea964
NC
14944 }
14945 else
14946 {
e9dbdd80
TC
14947 /* 33222222222211111111110000000000
14948 10987654321098765432109876543210
14949 x1x01110xx1xxxxx110100xxxxxxxxxx
14950 sqdmull2. */
193614f2 14951 return 71;
a06ea964
NC
14952 }
14953 }
e9dbdd80
TC
14954 }
14955 else
14956 {
14957 if (((word >> 30) & 0x1) == 0)
14958 {
14959 /* 33222222222211111111110000000000
14960 10987654321098765432109876543210
14961 x0x01110xx1xxxxx1x1100xxxxxxxxxx
14962 sqdmlsl. */
193614f2 14963 return 66;
e9dbdd80 14964 }
a06ea964
NC
14965 else
14966 {
e9dbdd80
TC
14967 /* 33222222222211111111110000000000
14968 10987654321098765432109876543210
14969 x1x01110xx1xxxxx1x1100xxxxxxxxxx
14970 sqdmlsl2. */
193614f2 14971 return 67;
e9dbdd80
TC
14972 }
14973 }
14974 }
14975 }
14976 else
14977 {
14978 if (((word >> 12) & 0x1) == 0)
14979 {
14980 if (((word >> 13) & 0x1) == 0)
14981 {
14982 if (((word >> 14) & 0x1) == 0)
14983 {
14984 if (((word >> 29) & 0x1) == 0)
a06ea964 14985 {
e9dbdd80 14986 if (((word >> 31) & 0x1) == 0)
a06ea964 14987 {
e9dbdd80
TC
14988 if (((word >> 16) & 0x1) == 0)
14989 {
14990 /* 33222222222211111111110000000000
14991 10987654321098765432109876543210
14992 0x001110xx1xxxx0100010xxxxxxxxxx
14993 cmgt. */
193614f2 14994 return 170;
e9dbdd80
TC
14995 }
14996 else
a06ea964 14997 {
e9dbdd80 14998 if (((word >> 19) & 0x1) == 0)
a06ea964 14999 {
e9dbdd80 15000 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
15001 {
15002 /* 33222222222211111111110000000000
15003 10987654321098765432109876543210
e9dbdd80
TC
15004 0x0011100x1x0xx1100010xxxxxxxxxx
15005 frintn. */
193614f2 15006 return 182;
f3aa142b
MW
15007 }
15008 else
15009 {
15010 /* 33222222222211111111110000000000
15011 10987654321098765432109876543210
e9dbdd80
TC
15012 0x0011101x1x0xx1100010xxxxxxxxxx
15013 frintp. */
193614f2 15014 return 202;
f3aa142b 15015 }
a06ea964
NC
15016 }
15017 else
15018 {
e9dbdd80 15019 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
15020 {
15021 /* 33222222222211111111110000000000
15022 10987654321098765432109876543210
e9dbdd80
TC
15023 0x0011100x1x1xx1100010xxxxxxxxxx
15024 frintn. */
193614f2 15025 return 183;
f3aa142b
MW
15026 }
15027 else
15028 {
15029 /* 33222222222211111111110000000000
15030 10987654321098765432109876543210
e9dbdd80
TC
15031 0x0011101x1x1xx1100010xxxxxxxxxx
15032 frintp. */
193614f2 15033 return 203;
f3aa142b 15034 }
a06ea964
NC
15035 }
15036 }
15037 }
15038 else
15039 {
e9dbdd80
TC
15040 /* 33222222222211111111110000000000
15041 10987654321098765432109876543210
15042 1x001110xx1xxxxx100010xxxxxxxxxx
15043 sha512su1. */
fb3265b3 15044 return 2044;
e9dbdd80
TC
15045 }
15046 }
15047 else
15048 {
15049 if (((word >> 16) & 0x1) == 0)
15050 {
15051 /* 33222222222211111111110000000000
15052 10987654321098765432109876543210
15053 xx101110xx1xxxx0100010xxxxxxxxxx
15054 cmge. */
193614f2 15055 return 219;
e9dbdd80
TC
15056 }
15057 else
15058 {
15059 if (((word >> 19) & 0x1) == 0)
a06ea964 15060 {
f3aa142b
MW
15061 /* 33222222222211111111110000000000
15062 10987654321098765432109876543210
e9dbdd80
TC
15063 xx101110xx1x0xx1100010xxxxxxxxxx
15064 frinta. */
193614f2 15065 return 230;
a06ea964
NC
15066 }
15067 else
15068 {
f3aa142b
MW
15069 /* 33222222222211111111110000000000
15070 10987654321098765432109876543210
e9dbdd80
TC
15071 xx101110xx1x1xx1100010xxxxxxxxxx
15072 frinta. */
193614f2 15073 return 231;
a06ea964
NC
15074 }
15075 }
15076 }
e9dbdd80
TC
15077 }
15078 else
15079 {
15080 if (((word >> 23) & 0x1) == 0)
a06ea964 15081 {
e9dbdd80 15082 if (((word >> 29) & 0x1) == 0)
a06ea964 15083 {
e9dbdd80 15084 if (((word >> 31) & 0x1) == 0)
f3aa142b 15085 {
e9dbdd80 15086 if (((word >> 16) & 0x1) == 0)
80776b29
MW
15087 {
15088 /* 33222222222211111111110000000000
15089 10987654321098765432109876543210
e9dbdd80
TC
15090 0x0011100x1xxxx0110010xxxxxxxxxx
15091 fmaxnmv. */
193614f2 15092 return 37;
80776b29
MW
15093 }
15094 else
15095 {
e9dbdd80
TC
15096 if (((word >> 19) & 0x1) == 0)
15097 {
15098 /* 33222222222211111111110000000000
15099 10987654321098765432109876543210
15100 0x0011100x1x0xx1110010xxxxxxxxxx
15101 fcvtas. */
193614f2 15102 return 190;
e9dbdd80
TC
15103 }
15104 else
15105 {
15106 /* 33222222222211111111110000000000
15107 10987654321098765432109876543210
15108 0x0011100x1x1xx1110010xxxxxxxxxx
15109 fcvtas. */
193614f2 15110 return 191;
e9dbdd80 15111 }
80776b29 15112 }
f3aa142b
MW
15113 }
15114 else
15115 {
e9dbdd80
TC
15116 /* 33222222222211111111110000000000
15117 10987654321098765432109876543210
15118 1x0011100x1xxxxx110010xxxxxxxxxx
15119 sm4ekey. */
fb3265b3 15120 return 2057;
e9dbdd80
TC
15121 }
15122 }
15123 else
15124 {
15125 if (((word >> 16) & 0x1) == 0)
15126 {
15127 /* 33222222222211111111110000000000
15128 10987654321098765432109876543210
15129 xx1011100x1xxxx0110010xxxxxxxxxx
15130 fmaxnmv. */
193614f2 15131 return 36;
e9dbdd80
TC
15132 }
15133 else
15134 {
15135 if (((word >> 19) & 0x1) == 0)
80776b29
MW
15136 {
15137 /* 33222222222211111111110000000000
15138 10987654321098765432109876543210
e9dbdd80
TC
15139 xx1011100x1x0xx1110010xxxxxxxxxx
15140 fcvtau. */
193614f2 15141 return 238;
80776b29
MW
15142 }
15143 else
15144 {
15145 /* 33222222222211111111110000000000
15146 10987654321098765432109876543210
e9dbdd80
TC
15147 xx1011100x1x1xx1110010xxxxxxxxxx
15148 fcvtau. */
193614f2 15149 return 239;
80776b29 15150 }
f3aa142b 15151 }
a06ea964 15152 }
e9dbdd80
TC
15153 }
15154 else
15155 {
15156 if (((word >> 16) & 0x1) == 0)
a06ea964 15157 {
e9dbdd80 15158 if (((word >> 19) & 0x1) == 0)
f3aa142b 15159 {
e9dbdd80 15160 if (((word >> 20) & 0x1) == 0)
80776b29 15161 {
e9dbdd80
TC
15162 if (((word >> 29) & 0x1) == 0)
15163 {
15164 /* 33222222222211111111110000000000
15165 10987654321098765432109876543210
15166 xx0011101x100xx0110010xxxxxxxxxx
15167 fcmgt. */
193614f2 15168 return 194;
e9dbdd80
TC
15169 }
15170 else
15171 {
15172 /* 33222222222211111111110000000000
15173 10987654321098765432109876543210
15174 xx1011101x100xx0110010xxxxxxxxxx
15175 fcmge. */
193614f2 15176 return 245;
e9dbdd80 15177 }
80776b29
MW
15178 }
15179 else
15180 {
e9dbdd80
TC
15181 if (((word >> 29) & 0x1) == 0)
15182 {
15183 /* 33222222222211111111110000000000
15184 10987654321098765432109876543210
15185 xx0011101x110xx0110010xxxxxxxxxx
15186 fminnmv. */
193614f2 15187 return 41;
e9dbdd80
TC
15188 }
15189 else
15190 {
15191 /* 33222222222211111111110000000000
15192 10987654321098765432109876543210
15193 xx1011101x110xx0110010xxxxxxxxxx
15194 fminnmv. */
193614f2 15195 return 40;
e9dbdd80 15196 }
80776b29 15197 }
f3aa142b
MW
15198 }
15199 else
15200 {
80776b29
MW
15201 if (((word >> 29) & 0x1) == 0)
15202 {
15203 /* 33222222222211111111110000000000
15204 10987654321098765432109876543210
e9dbdd80
TC
15205 xx0011101x1x1xx0110010xxxxxxxxxx
15206 fcmgt. */
193614f2 15207 return 195;
80776b29
MW
15208 }
15209 else
15210 {
15211 /* 33222222222211111111110000000000
15212 10987654321098765432109876543210
e9dbdd80
TC
15213 xx1011101x1x1xx0110010xxxxxxxxxx
15214 fcmge. */
193614f2 15215 return 246;
80776b29 15216 }
f3aa142b 15217 }
a06ea964 15218 }
e9dbdd80 15219 else
a06ea964
NC
15220 {
15221 if (((word >> 29) & 0x1) == 0)
15222 {
15223 /* 33222222222211111111110000000000
15224 10987654321098765432109876543210
e9dbdd80
TC
15225 xx0011101x1xxxx1110010xxxxxxxxxx
15226 urecpe. */
193614f2 15227 return 210;
a06ea964
NC
15228 }
15229 else
15230 {
15231 /* 33222222222211111111110000000000
15232 10987654321098765432109876543210
e9dbdd80
TC
15233 xx1011101x1xxxx1110010xxxxxxxxxx
15234 ursqrte. */
193614f2 15235 return 257;
a06ea964
NC
15236 }
15237 }
a06ea964
NC
15238 }
15239 }
e9dbdd80
TC
15240 }
15241 else
15242 {
15243 if (((word >> 14) & 0x1) == 0)
a06ea964 15244 {
e9dbdd80 15245 if (((word >> 16) & 0x1) == 0)
a06ea964 15246 {
e9dbdd80 15247 if (((word >> 20) & 0x1) == 0)
a06ea964
NC
15248 {
15249 /* 33222222222211111111110000000000
15250 10987654321098765432109876543210
e9dbdd80
TC
15251 xxx01110xx10xxx0101010xxxxxxxxxx
15252 cmlt. */
193614f2 15253 return 172;
a06ea964
NC
15254 }
15255 else
a06ea964 15256 {
f3aa142b 15257 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
15258 {
15259 /* 33222222222211111111110000000000
15260 10987654321098765432109876543210
e9dbdd80
TC
15261 xx001110xx11xxx0101010xxxxxxxxxx
15262 smaxv. */
193614f2 15263 return 30;
a06ea964
NC
15264 }
15265 else
15266 {
15267 /* 33222222222211111111110000000000
15268 10987654321098765432109876543210
e9dbdd80
TC
15269 xx101110xx11xxx0101010xxxxxxxxxx
15270 umaxv. */
193614f2 15271 return 34;
a06ea964
NC
15272 }
15273 }
e9dbdd80
TC
15274 }
15275 else
15276 {
15277 if (((word >> 19) & 0x1) == 0)
a06ea964 15278 {
e9dbdd80 15279 if (((word >> 20) & 0x1) == 0)
a06ea964 15280 {
e9dbdd80 15281 if (((word >> 23) & 0x1) == 0)
f3aa142b 15282 {
bb515fea
MW
15283 if (((word >> 29) & 0x1) == 0)
15284 {
15285 /* 33222222222211111111110000000000
15286 10987654321098765432109876543210
e9dbdd80
TC
15287 xx0011100x100xx1101010xxxxxxxxxx
15288 fcvtns. */
193614f2 15289 return 186;
bb515fea
MW
15290 }
15291 else
15292 {
15293 /* 33222222222211111111110000000000
15294 10987654321098765432109876543210
e9dbdd80
TC
15295 xx1011100x100xx1101010xxxxxxxxxx
15296 fcvtnu. */
193614f2 15297 return 234;
e9dbdd80
TC
15298 }
15299 }
f3aa142b
MW
15300 else
15301 {
b195470d
MW
15302 if (((word >> 29) & 0x1) == 0)
15303 {
15304 /* 33222222222211111111110000000000
15305 10987654321098765432109876543210
e9dbdd80
TC
15306 xx0011101x100xx1101010xxxxxxxxxx
15307 fcvtps. */
193614f2 15308 return 206;
b195470d
MW
15309 }
15310 else
15311 {
15312 /* 33222222222211111111110000000000
15313 10987654321098765432109876543210
e9dbdd80
TC
15314 xx1011101x100xx1101010xxxxxxxxxx
15315 fcvtpu. */
193614f2 15316 return 253;
b195470d 15317 }
f3aa142b 15318 }
a06ea964
NC
15319 }
15320 else
15321 {
e9dbdd80 15322 if (((word >> 29) & 0x1) == 0)
f3aa142b 15323 {
e9dbdd80
TC
15324 /* 33222222222211111111110000000000
15325 10987654321098765432109876543210
15326 xx001110xx110xx1101010xxxxxxxxxx
15327 sminv. */
193614f2 15328 return 31;
f3aa142b
MW
15329 }
15330 else
15331 {
e9dbdd80
TC
15332 /* 33222222222211111111110000000000
15333 10987654321098765432109876543210
15334 xx101110xx110xx1101010xxxxxxxxxx
15335 uminv. */
193614f2 15336 return 35;
f3aa142b 15337 }
a06ea964
NC
15338 }
15339 }
f3aa142b
MW
15340 else
15341 {
e9dbdd80
TC
15342 if (((word >> 23) & 0x1) == 0)
15343 {
15344 if (((word >> 29) & 0x1) == 0)
15345 {
15346 /* 33222222222211111111110000000000
15347 10987654321098765432109876543210
15348 xx0011100x1x1xx1101010xxxxxxxxxx
15349 fcvtns. */
193614f2 15350 return 187;
e9dbdd80
TC
15351 }
15352 else
15353 {
15354 /* 33222222222211111111110000000000
15355 10987654321098765432109876543210
15356 xx1011100x1x1xx1101010xxxxxxxxxx
15357 fcvtnu. */
193614f2 15358 return 235;
e9dbdd80
TC
15359 }
15360 }
15361 else
15362 {
15363 if (((word >> 29) & 0x1) == 0)
15364 {
15365 /* 33222222222211111111110000000000
15366 10987654321098765432109876543210
15367 xx0011101x1x1xx1101010xxxxxxxxxx
15368 fcvtps. */
193614f2 15369 return 207;
e9dbdd80
TC
15370 }
15371 else
15372 {
15373 /* 33222222222211111111110000000000
15374 10987654321098765432109876543210
15375 xx1011101x1x1xx1101010xxxxxxxxxx
15376 fcvtpu. */
193614f2 15377 return 254;
e9dbdd80
TC
15378 }
15379 }
f3aa142b
MW
15380 }
15381 }
a06ea964
NC
15382 }
15383 else
15384 {
13c60ad7 15385 if (((word >> 16) & 0x1) == 0)
a06ea964 15386 {
13c60ad7
SD
15387 if (((word >> 19) & 0x1) == 0)
15388 {
15389 /* 33222222222211111111110000000000
15390 10987654321098765432109876543210
15391 xxx01110xx1x0xx0111010xxxxxxxxxx
15392 fcmlt. */
193614f2 15393 return 198;
13c60ad7
SD
15394 }
15395 else
15396 {
15397 /* 33222222222211111111110000000000
15398 10987654321098765432109876543210
15399 xxx01110xx1x1xx0111010xxxxxxxxxx
15400 fcmlt. */
193614f2 15401 return 199;
13c60ad7 15402 }
a06ea964
NC
15403 }
15404 else
15405 {
13c60ad7
SD
15406 if (((word >> 29) & 0x1) == 0)
15407 {
15408 /* 33222222222211111111110000000000
15409 10987654321098765432109876543210
15410 xx001110xx1xxxx1111010xxxxxxxxxx
15411 frint32z. */
193614f2 15412 return 158;
13c60ad7
SD
15413 }
15414 else
15415 {
15416 /* 33222222222211111111110000000000
15417 10987654321098765432109876543210
15418 xx101110xx1xxxx1111010xxxxxxxxxx
15419 frint32x. */
193614f2 15420 return 159;
13c60ad7 15421 }
a06ea964
NC
15422 }
15423 }
15424 }
e9dbdd80
TC
15425 }
15426 else
15427 {
15428 if (((word >> 13) & 0x1) == 0)
a06ea964 15429 {
e9dbdd80 15430 if (((word >> 14) & 0x1) == 0)
a06ea964 15431 {
e9dbdd80 15432 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
15433 {
15434 if (((word >> 29) & 0x1) == 0)
15435 {
15436 /* 33222222222211111111110000000000
15437 10987654321098765432109876543210
e9dbdd80
TC
15438 xx001110xx1xxxx0100110xxxxxxxxxx
15439 cmeq. */
193614f2 15440 return 171;
a06ea964
NC
15441 }
15442 else
15443 {
15444 /* 33222222222211111111110000000000
15445 10987654321098765432109876543210
e9dbdd80
TC
15446 xx101110xx1xxxx0100110xxxxxxxxxx
15447 cmle. */
193614f2 15448 return 220;
a06ea964
NC
15449 }
15450 }
15451 else
15452 {
e9dbdd80 15453 if (((word >> 19) & 0x1) == 0)
a06ea964 15454 {
e9dbdd80
TC
15455 if (((word >> 23) & 0x1) == 0)
15456 {
15457 if (((word >> 29) & 0x1) == 0)
15458 {
15459 /* 33222222222211111111110000000000
15460 10987654321098765432109876543210
15461 xx0011100x1x0xx1100110xxxxxxxxxx
15462 frintm. */
193614f2 15463 return 184;
e9dbdd80
TC
15464 }
15465 else
15466 {
15467 /* 33222222222211111111110000000000
15468 10987654321098765432109876543210
15469 xx1011100x1x0xx1100110xxxxxxxxxx
15470 frintx. */
193614f2 15471 return 232;
e9dbdd80
TC
15472 }
15473 }
15474 else
15475 {
15476 if (((word >> 29) & 0x1) == 0)
15477 {
15478 /* 33222222222211111111110000000000
15479 10987654321098765432109876543210
15480 xx0011101x1x0xx1100110xxxxxxxxxx
15481 frintz. */
193614f2 15482 return 204;
e9dbdd80
TC
15483 }
15484 else
15485 {
15486 /* 33222222222211111111110000000000
15487 10987654321098765432109876543210
15488 xx1011101x1x0xx1100110xxxxxxxxxx
15489 frinti. */
193614f2 15490 return 251;
e9dbdd80
TC
15491 }
15492 }
a06ea964
NC
15493 }
15494 else
15495 {
e9dbdd80
TC
15496 if (((word >> 23) & 0x1) == 0)
15497 {
15498 if (((word >> 29) & 0x1) == 0)
15499 {
15500 /* 33222222222211111111110000000000
15501 10987654321098765432109876543210
15502 xx0011100x1x1xx1100110xxxxxxxxxx
15503 frintm. */
193614f2 15504 return 185;
e9dbdd80
TC
15505 }
15506 else
15507 {
15508 /* 33222222222211111111110000000000
15509 10987654321098765432109876543210
15510 xx1011100x1x1xx1100110xxxxxxxxxx
15511 frintx. */
193614f2 15512 return 233;
e9dbdd80
TC
15513 }
15514 }
15515 else
15516 {
15517 if (((word >> 29) & 0x1) == 0)
15518 {
15519 /* 33222222222211111111110000000000
15520 10987654321098765432109876543210
15521 xx0011101x1x1xx1100110xxxxxxxxxx
15522 frintz. */
193614f2 15523 return 205;
e9dbdd80
TC
15524 }
15525 else
15526 {
15527 /* 33222222222211111111110000000000
15528 10987654321098765432109876543210
15529 xx1011101x1x1xx1100110xxxxxxxxxx
15530 frinti. */
193614f2 15531 return 252;
e9dbdd80
TC
15532 }
15533 }
15534 }
15535 }
15536 }
15537 else
15538 {
15539 if (((word >> 16) & 0x1) == 0)
15540 {
15541 if (((word >> 19) & 0x1) == 0)
15542 {
15543 if (((word >> 29) & 0x1) == 0)
15544 {
15545 /* 33222222222211111111110000000000
15546 10987654321098765432109876543210
15547 xx001110xx1x0xx0110110xxxxxxxxxx
15548 fcmeq. */
193614f2 15549 return 196;
e9dbdd80
TC
15550 }
15551 else
15552 {
15553 /* 33222222222211111111110000000000
15554 10987654321098765432109876543210
15555 xx101110xx1x0xx0110110xxxxxxxxxx
15556 fcmle. */
193614f2 15557 return 247;
e9dbdd80
TC
15558 }
15559 }
15560 else
15561 {
15562 if (((word >> 29) & 0x1) == 0)
15563 {
15564 /* 33222222222211111111110000000000
15565 10987654321098765432109876543210
15566 xx001110xx1x1xx0110110xxxxxxxxxx
15567 fcmeq. */
193614f2 15568 return 197;
e9dbdd80
TC
15569 }
15570 else
15571 {
15572 /* 33222222222211111111110000000000
15573 10987654321098765432109876543210
15574 xx101110xx1x1xx0110110xxxxxxxxxx
15575 fcmle. */
193614f2 15576 return 248;
e9dbdd80
TC
15577 }
15578 }
15579 }
15580 else
15581 {
15582 if (((word >> 19) & 0x1) == 0)
15583 {
15584 if (((word >> 23) & 0x1) == 0)
15585 {
15586 if (((word >> 29) & 0x1) == 0)
15587 {
15588 /* 33222222222211111111110000000000
15589 10987654321098765432109876543210
15590 xx0011100x1x0xx1110110xxxxxxxxxx
15591 scvtf. */
193614f2 15592 return 192;
e9dbdd80
TC
15593 }
15594 else
15595 {
15596 /* 33222222222211111111110000000000
15597 10987654321098765432109876543210
15598 xx1011100x1x0xx1110110xxxxxxxxxx
15599 ucvtf. */
193614f2 15600 return 240;
e9dbdd80
TC
15601 }
15602 }
15603 else
15604 {
15605 if (((word >> 29) & 0x1) == 0)
15606 {
15607 /* 33222222222211111111110000000000
15608 10987654321098765432109876543210
15609 xx0011101x1x0xx1110110xxxxxxxxxx
15610 frecpe. */
193614f2 15611 return 211;
e9dbdd80
TC
15612 }
15613 else
15614 {
15615 /* 33222222222211111111110000000000
15616 10987654321098765432109876543210
15617 xx1011101x1x0xx1110110xxxxxxxxxx
15618 frsqrte. */
193614f2 15619 return 258;
e9dbdd80
TC
15620 }
15621 }
15622 }
15623 else
15624 {
15625 if (((word >> 23) & 0x1) == 0)
15626 {
15627 if (((word >> 29) & 0x1) == 0)
15628 {
15629 /* 33222222222211111111110000000000
15630 10987654321098765432109876543210
15631 xx0011100x1x1xx1110110xxxxxxxxxx
15632 scvtf. */
193614f2 15633 return 193;
e9dbdd80
TC
15634 }
15635 else
15636 {
15637 /* 33222222222211111111110000000000
15638 10987654321098765432109876543210
15639 xx1011100x1x1xx1110110xxxxxxxxxx
15640 ucvtf. */
193614f2 15641 return 241;
e9dbdd80
TC
15642 }
15643 }
15644 else
15645 {
15646 if (((word >> 29) & 0x1) == 0)
15647 {
15648 /* 33222222222211111111110000000000
15649 10987654321098765432109876543210
15650 xx0011101x1x1xx1110110xxxxxxxxxx
15651 frecpe. */
193614f2 15652 return 212;
e9dbdd80
TC
15653 }
15654 else
15655 {
15656 /* 33222222222211111111110000000000
15657 10987654321098765432109876543210
15658 xx1011101x1x1xx1110110xxxxxxxxxx
15659 frsqrte. */
193614f2 15660 return 259;
e9dbdd80
TC
15661 }
15662 }
15663 }
15664 }
15665 }
15666 }
15667 else
15668 {
15669 if (((word >> 14) & 0x1) == 0)
15670 {
15671 if (((word >> 16) & 0x1) == 0)
15672 {
15673 if (((word >> 29) & 0x1) == 0)
15674 {
15675 /* 33222222222211111111110000000000
15676 10987654321098765432109876543210
15677 xx001110xx1xxxx0101110xxxxxxxxxx
15678 abs. */
193614f2 15679 return 173;
e9dbdd80
TC
15680 }
15681 else
15682 {
15683 /* 33222222222211111111110000000000
15684 10987654321098765432109876543210
15685 xx101110xx1xxxx0101110xxxxxxxxxx
15686 neg. */
193614f2 15687 return 221;
e9dbdd80
TC
15688 }
15689 }
15690 else
15691 {
15692 if (((word >> 19) & 0x1) == 0)
15693 {
15694 if (((word >> 20) & 0x1) == 0)
15695 {
15696 if (((word >> 23) & 0x1) == 0)
15697 {
15698 if (((word >> 29) & 0x1) == 0)
15699 {
15700 /* 33222222222211111111110000000000
15701 10987654321098765432109876543210
15702 xx0011100x100xx1101110xxxxxxxxxx
15703 fcvtms. */
193614f2 15704 return 188;
e9dbdd80
TC
15705 }
15706 else
15707 {
15708 /* 33222222222211111111110000000000
15709 10987654321098765432109876543210
15710 xx1011100x100xx1101110xxxxxxxxxx
15711 fcvtmu. */
193614f2 15712 return 236;
e9dbdd80
TC
15713 }
15714 }
15715 else
15716 {
15717 if (((word >> 29) & 0x1) == 0)
15718 {
15719 /* 33222222222211111111110000000000
15720 10987654321098765432109876543210
15721 xx0011101x100xx1101110xxxxxxxxxx
15722 fcvtzs. */
193614f2 15723 return 208;
e9dbdd80
TC
15724 }
15725 else
15726 {
15727 /* 33222222222211111111110000000000
15728 10987654321098765432109876543210
15729 xx1011101x100xx1101110xxxxxxxxxx
15730 fcvtzu. */
193614f2 15731 return 255;
e9dbdd80
TC
15732 }
15733 }
15734 }
15735 else
15736 {
15737 /* 33222222222211111111110000000000
15738 10987654321098765432109876543210
15739 xxx01110xx110xx1101110xxxxxxxxxx
15740 addv. */
193614f2 15741 return 32;
e9dbdd80
TC
15742 }
15743 }
15744 else
15745 {
15746 if (((word >> 23) & 0x1) == 0)
15747 {
15748 if (((word >> 29) & 0x1) == 0)
15749 {
15750 /* 33222222222211111111110000000000
15751 10987654321098765432109876543210
15752 xx0011100x1x1xx1101110xxxxxxxxxx
15753 fcvtms. */
193614f2 15754 return 189;
e9dbdd80
TC
15755 }
15756 else
15757 {
15758 /* 33222222222211111111110000000000
15759 10987654321098765432109876543210
15760 xx1011100x1x1xx1101110xxxxxxxxxx
15761 fcvtmu. */
193614f2 15762 return 237;
e9dbdd80
TC
15763 }
15764 }
15765 else
15766 {
15767 if (((word >> 29) & 0x1) == 0)
15768 {
15769 /* 33222222222211111111110000000000
15770 10987654321098765432109876543210
15771 xx0011101x1x1xx1101110xxxxxxxxxx
15772 fcvtzs. */
193614f2 15773 return 209;
e9dbdd80
TC
15774 }
15775 else
15776 {
15777 /* 33222222222211111111110000000000
15778 10987654321098765432109876543210
15779 xx1011101x1x1xx1101110xxxxxxxxxx
15780 fcvtzu. */
193614f2 15781 return 256;
e9dbdd80
TC
15782 }
15783 }
15784 }
15785 }
15786 }
15787 else
15788 {
15789 if (((word >> 16) & 0x1) == 0)
15790 {
15791 if (((word >> 19) & 0x1) == 0)
15792 {
15793 if (((word >> 20) & 0x1) == 0)
15794 {
15795 if (((word >> 29) & 0x1) == 0)
15796 {
15797 /* 33222222222211111111110000000000
15798 10987654321098765432109876543210
15799 xx001110xx100xx0111110xxxxxxxxxx
15800 fabs. */
193614f2 15801 return 200;
e9dbdd80
TC
15802 }
15803 else
15804 {
15805 /* 33222222222211111111110000000000
15806 10987654321098765432109876543210
15807 xx101110xx100xx0111110xxxxxxxxxx
15808 fneg. */
193614f2 15809 return 249;
e9dbdd80
TC
15810 }
15811 }
15812 else
15813 {
15814 if (((word >> 23) & 0x1) == 0)
15815 {
15816 if (((word >> 29) & 0x1) == 0)
15817 {
15818 /* 33222222222211111111110000000000
15819 10987654321098765432109876543210
15820 xx0011100x110xx0111110xxxxxxxxxx
15821 fmaxv. */
193614f2 15822 return 39;
e9dbdd80
TC
15823 }
15824 else
15825 {
15826 /* 33222222222211111111110000000000
15827 10987654321098765432109876543210
15828 xx1011100x110xx0111110xxxxxxxxxx
15829 fmaxv. */
193614f2 15830 return 38;
e9dbdd80
TC
15831 }
15832 }
15833 else
15834 {
15835 if (((word >> 29) & 0x1) == 0)
15836 {
15837 /* 33222222222211111111110000000000
15838 10987654321098765432109876543210
15839 xx0011101x110xx0111110xxxxxxxxxx
15840 fminv. */
193614f2 15841 return 43;
e9dbdd80
TC
15842 }
15843 else
15844 {
15845 /* 33222222222211111111110000000000
15846 10987654321098765432109876543210
15847 xx1011101x110xx0111110xxxxxxxxxx
15848 fminv. */
193614f2 15849 return 42;
e9dbdd80
TC
15850 }
15851 }
15852 }
15853 }
15854 else
15855 {
15856 if (((word >> 29) & 0x1) == 0)
15857 {
15858 /* 33222222222211111111110000000000
15859 10987654321098765432109876543210
15860 xx001110xx1x1xx0111110xxxxxxxxxx
15861 fabs. */
193614f2 15862 return 201;
e9dbdd80
TC
15863 }
15864 else
15865 {
15866 /* 33222222222211111111110000000000
15867 10987654321098765432109876543210
15868 xx101110xx1x1xx0111110xxxxxxxxxx
15869 fneg. */
193614f2 15870 return 250;
e9dbdd80
TC
15871 }
15872 }
15873 }
15874 else
15875 {
15876 if (((word >> 19) & 0x1) == 0)
15877 {
13c60ad7
SD
15878 if (((word >> 23) & 0x1) == 0)
15879 {
15880 if (((word >> 29) & 0x1) == 0)
15881 {
15882 /* 33222222222211111111110000000000
15883 10987654321098765432109876543210
15884 xx0011100x1x0xx1111110xxxxxxxxxx
15885 frint64z. */
193614f2 15886 return 160;
13c60ad7
SD
15887 }
15888 else
15889 {
15890 /* 33222222222211111111110000000000
15891 10987654321098765432109876543210
15892 xx1011100x1x0xx1111110xxxxxxxxxx
15893 frint64x. */
193614f2 15894 return 161;
13c60ad7
SD
15895 }
15896 }
15897 else
15898 {
15899 /* 33222222222211111111110000000000
15900 10987654321098765432109876543210
15901 xxx011101x1x0xx1111110xxxxxxxxxx
15902 fsqrt. */
193614f2 15903 return 260;
13c60ad7 15904 }
e9dbdd80
TC
15905 }
15906 else
15907 {
15908 /* 33222222222211111111110000000000
15909 10987654321098765432109876543210
15910 xxx01110xx1x1xx1111110xxxxxxxxxx
15911 fsqrt. */
193614f2 15912 return 261;
e9dbdd80
TC
15913 }
15914 }
15915 }
15916 }
15917 }
15918 }
15919 }
15920 else
15921 {
15922 if (((word >> 11) & 0x1) == 0)
15923 {
15924 if (((word >> 12) & 0x1) == 0)
15925 {
15926 if (((word >> 13) & 0x1) == 0)
15927 {
15928 if (((word >> 14) & 0x1) == 0)
15929 {
15930 if (((word >> 29) & 0x1) == 0)
15931 {
15932 if (((word >> 31) & 0x1) == 0)
15933 {
15934 /* 33222222222211111111110000000000
15935 10987654321098765432109876543210
15936 0x001110xx1xxxxx100001xxxxxxxxxx
15937 add. */
193614f2 15938 return 283;
e9dbdd80
TC
15939 }
15940 else
15941 {
15942 /* 33222222222211111111110000000000
15943 10987654321098765432109876543210
15944 1x001110xx1xxxxx100001xxxxxxxxxx
15945 sha512h2. */
fb3265b3 15946 return 2042;
e9dbdd80
TC
15947 }
15948 }
15949 else
15950 {
15951 /* 33222222222211111111110000000000
15952 10987654321098765432109876543210
15953 xx101110xx1xxxxx100001xxxxxxxxxx
15954 sub. */
193614f2 15955 return 335;
e9dbdd80
TC
15956 }
15957 }
15958 else
15959 {
15960 if (((word >> 23) & 0x1) == 0)
15961 {
15962 if (((word >> 29) & 0x1) == 0)
15963 {
15964 if (((word >> 31) & 0x1) == 0)
15965 {
15966 /* 33222222222211111111110000000000
15967 10987654321098765432109876543210
15968 0x0011100x1xxxxx110001xxxxxxxxxx
15969 fmaxnm. */
193614f2 15970 return 291;
e9dbdd80
TC
15971 }
15972 else
15973 {
15974 /* 33222222222211111111110000000000
15975 10987654321098765432109876543210
15976 1x0011100x1xxxxx110001xxxxxxxxxx
15977 sm3partw2. */
fb3265b3 15978 return 2055;
e9dbdd80
TC
15979 }
15980 }
15981 else
15982 {
15983 /* 33222222222211111111110000000000
15984 10987654321098765432109876543210
15985 xx1011100x1xxxxx110001xxxxxxxxxx
15986 fmaxnmp. */
193614f2 15987 return 342;
e9dbdd80
TC
15988 }
15989 }
15990 else
15991 {
15992 if (((word >> 29) & 0x1) == 0)
15993 {
15994 /* 33222222222211111111110000000000
15995 10987654321098765432109876543210
15996 xx0011101x1xxxxx110001xxxxxxxxxx
15997 fminnm. */
193614f2 15998 return 307;
e9dbdd80
TC
15999 }
16000 else
16001 {
16002 /* 33222222222211111111110000000000
16003 10987654321098765432109876543210
16004 xx1011101x1xxxxx110001xxxxxxxxxx
16005 fminnmp. */
193614f2 16006 return 358;
e9dbdd80
TC
16007 }
16008 }
16009 }
16010 }
16011 else
16012 {
16013 if (((word >> 14) & 0x1) == 0)
16014 {
16015 if (((word >> 29) & 0x1) == 0)
16016 {
16017 /* 33222222222211111111110000000000
16018 10987654321098765432109876543210
16019 xx001110xx1xxxxx101001xxxxxxxxxx
16020 smaxp. */
193614f2 16021 return 287;
e9dbdd80
TC
16022 }
16023 else
16024 {
16025 /* 33222222222211111111110000000000
16026 10987654321098765432109876543210
16027 xx101110xx1xxxxx101001xxxxxxxxxx
16028 umaxp. */
193614f2 16029 return 339;
e9dbdd80
TC
16030 }
16031 }
16032 else
16033 {
16034 if (((word >> 23) & 0x1) == 0)
16035 {
16036 if (((word >> 29) & 0x1) == 0)
16037 {
16038 /* 33222222222211111111110000000000
16039 10987654321098765432109876543210
16040 xx0011100x1xxxxx111001xxxxxxxxxx
16041 fcmeq. */
193614f2 16042 return 299;
e9dbdd80
TC
16043 }
16044 else
16045 {
16046 /* 33222222222211111111110000000000
16047 10987654321098765432109876543210
16048 xx1011100x1xxxxx111001xxxxxxxxxx
16049 fcmge. */
193614f2 16050 return 348;
e9dbdd80
TC
16051 }
16052 }
16053 else
16054 {
16055 /* 33222222222211111111110000000000
16056 10987654321098765432109876543210
16057 xxx011101x1xxxxx111001xxxxxxxxxx
16058 fcmgt. */
193614f2 16059 return 362;
e9dbdd80
TC
16060 }
16061 }
16062 }
16063 }
16064 else
16065 {
16066 if (((word >> 13) & 0x1) == 0)
16067 {
16068 if (((word >> 14) & 0x1) == 0)
16069 {
16070 if (((word >> 29) & 0x1) == 0)
16071 {
16072 /* 33222222222211111111110000000000
16073 10987654321098765432109876543210
16074 xx001110xx1xxxxx100101xxxxxxxxxx
16075 mla. */
193614f2 16076 return 285;
e9dbdd80
TC
16077 }
16078 else
16079 {
16080 /* 33222222222211111111110000000000
16081 10987654321098765432109876543210
16082 xx101110xx1xxxxx100101xxxxxxxxxx
16083 mls. */
193614f2 16084 return 337;
e9dbdd80
TC
16085 }
16086 }
16087 else
16088 {
16089 if (((word >> 23) & 0x1) == 0)
16090 {
16091 if (((word >> 29) & 0x1) == 0)
16092 {
16093 /* 33222222222211111111110000000000
16094 10987654321098765432109876543210
16095 xx0011100x1xxxxx110101xxxxxxxxxx
16096 fadd. */
193614f2 16097 return 295;
e9dbdd80
TC
16098 }
16099 else
16100 {
16101 /* 33222222222211111111110000000000
16102 10987654321098765432109876543210
16103 xx1011100x1xxxxx110101xxxxxxxxxx
16104 faddp. */
193614f2 16105 return 344;
e9dbdd80
TC
16106 }
16107 }
16108 else
16109 {
16110 if (((word >> 29) & 0x1) == 0)
16111 {
16112 /* 33222222222211111111110000000000
16113 10987654321098765432109876543210
16114 xx0011101x1xxxxx110101xxxxxxxxxx
16115 fsub. */
193614f2 16116 return 311;
e9dbdd80
TC
16117 }
16118 else
16119 {
16120 /* 33222222222211111111110000000000
16121 10987654321098765432109876543210
16122 xx1011101x1xxxxx110101xxxxxxxxxx
16123 fabd. */
193614f2 16124 return 360;
e9dbdd80
TC
16125 }
16126 }
16127 }
16128 }
16129 else
16130 {
16131 if (((word >> 14) & 0x1) == 0)
16132 {
16133 if (((word >> 29) & 0x1) == 0)
16134 {
16135 /* 33222222222211111111110000000000
16136 10987654321098765432109876543210
16137 xx001110xx1xxxxx101101xxxxxxxxxx
16138 sqdmulh. */
193614f2 16139 return 289;
e9dbdd80
TC
16140 }
16141 else
16142 {
16143 /* 33222222222211111111110000000000
16144 10987654321098765432109876543210
16145 xx101110xx1xxxxx101101xxxxxxxxxx
16146 sqrdmulh. */
193614f2 16147 return 341;
e9dbdd80
TC
16148 }
16149 }
16150 else
16151 {
16152 if (((word >> 23) & 0x1) == 0)
16153 {
16154 if (((word >> 29) & 0x1) == 0)
16155 {
16156 /* 33222222222211111111110000000000
16157 10987654321098765432109876543210
16158 xx0011100x1xxxxx111101xxxxxxxxxx
16159 fmax. */
193614f2 16160 return 301;
e9dbdd80
TC
16161 }
16162 else
16163 {
16164 /* 33222222222211111111110000000000
16165 10987654321098765432109876543210
16166 xx1011100x1xxxxx111101xxxxxxxxxx
16167 fmaxp. */
193614f2 16168 return 352;
e9dbdd80
TC
16169 }
16170 }
16171 else
16172 {
16173 if (((word >> 29) & 0x1) == 0)
16174 {
16175 /* 33222222222211111111110000000000
16176 10987654321098765432109876543210
16177 xx0011101x1xxxxx111101xxxxxxxxxx
16178 fmin. */
193614f2 16179 return 313;
e9dbdd80
TC
16180 }
16181 else
16182 {
16183 /* 33222222222211111111110000000000
16184 10987654321098765432109876543210
16185 xx1011101x1xxxxx111101xxxxxxxxxx
16186 fminp. */
193614f2 16187 return 366;
e9dbdd80
TC
16188 }
16189 }
16190 }
16191 }
16192 }
16193 }
16194 else
16195 {
16196 if (((word >> 12) & 0x1) == 0)
16197 {
16198 if (((word >> 13) & 0x1) == 0)
16199 {
16200 if (((word >> 14) & 0x1) == 0)
16201 {
16202 if (((word >> 29) & 0x1) == 0)
16203 {
16204 if (((word >> 31) & 0x1) == 0)
16205 {
16206 /* 33222222222211111111110000000000
16207 10987654321098765432109876543210
16208 0x001110xx1xxxxx100011xxxxxxxxxx
16209 cmtst. */
193614f2 16210 return 284;
e9dbdd80
TC
16211 }
16212 else
16213 {
16214 /* 33222222222211111111110000000000
16215 10987654321098765432109876543210
16216 1x001110xx1xxxxx100011xxxxxxxxxx
16217 rax1. */
fb3265b3 16218 return 2046;
e9dbdd80
TC
16219 }
16220 }
16221 else
16222 {
16223 /* 33222222222211111111110000000000
16224 10987654321098765432109876543210
16225 xx101110xx1xxxxx100011xxxxxxxxxx
16226 cmeq. */
193614f2 16227 return 336;
e9dbdd80
TC
16228 }
16229 }
16230 else
16231 {
16232 if (((word >> 23) & 0x1) == 0)
16233 {
16234 if (((word >> 29) & 0x1) == 0)
16235 {
16236 /* 33222222222211111111110000000000
16237 10987654321098765432109876543210
16238 xx0011100x1xxxxx110011xxxxxxxxxx
16239 fmla. */
193614f2 16240 return 293;
e9dbdd80
TC
16241 }
16242 else
16243 {
16244 if (((word >> 30) & 0x1) == 0)
16245 {
16246 /* 33222222222211111111110000000000
16247 10987654321098765432109876543210
16248 x01011100x1xxxxx110011xxxxxxxxxx
16249 fmlal2. */
fb3265b3 16250 return 2060;
e9dbdd80
TC
16251 }
16252 else
16253 {
16254 /* 33222222222211111111110000000000
16255 10987654321098765432109876543210
16256 x11011100x1xxxxx110011xxxxxxxxxx
16257 fmlal2. */
fb3265b3 16258 return 2064;
e9dbdd80
TC
16259 }
16260 }
16261 }
16262 else
16263 {
16264 if (((word >> 29) & 0x1) == 0)
16265 {
16266 /* 33222222222211111111110000000000
16267 10987654321098765432109876543210
16268 xx0011101x1xxxxx110011xxxxxxxxxx
16269 fmls. */
193614f2 16270 return 309;
e9dbdd80
TC
16271 }
16272 else
16273 {
16274 if (((word >> 30) & 0x1) == 0)
16275 {
16276 /* 33222222222211111111110000000000
16277 10987654321098765432109876543210
16278 x01011101x1xxxxx110011xxxxxxxxxx
16279 fmlsl2. */
fb3265b3 16280 return 2061;
e9dbdd80
TC
16281 }
16282 else
16283 {
16284 /* 33222222222211111111110000000000
16285 10987654321098765432109876543210
16286 x11011101x1xxxxx110011xxxxxxxxxx
16287 fmlsl2. */
fb3265b3 16288 return 2065;
e9dbdd80
TC
16289 }
16290 }
16291 }
16292 }
16293 }
16294 else
16295 {
16296 if (((word >> 14) & 0x1) == 0)
16297 {
16298 if (((word >> 29) & 0x1) == 0)
16299 {
16300 /* 33222222222211111111110000000000
16301 10987654321098765432109876543210
16302 xx001110xx1xxxxx101011xxxxxxxxxx
16303 sminp. */
193614f2 16304 return 288;
e9dbdd80
TC
16305 }
16306 else
16307 {
16308 /* 33222222222211111111110000000000
16309 10987654321098765432109876543210
16310 xx101110xx1xxxxx101011xxxxxxxxxx
16311 uminp. */
193614f2 16312 return 340;
e9dbdd80
TC
16313 }
16314 }
16315 else
16316 {
16317 if (((word >> 23) & 0x1) == 0)
16318 {
16319 if (((word >> 29) & 0x1) == 0)
16320 {
16321 if (((word >> 30) & 0x1) == 0)
16322 {
16323 /* 33222222222211111111110000000000
16324 10987654321098765432109876543210
16325 x00011100x1xxxxx111011xxxxxxxxxx
16326 fmlal. */
fb3265b3 16327 return 2058;
e9dbdd80
TC
16328 }
16329 else
16330 {
16331 /* 33222222222211111111110000000000
16332 10987654321098765432109876543210
16333 x10011100x1xxxxx111011xxxxxxxxxx
16334 fmlal. */
fb3265b3 16335 return 2062;
e9dbdd80
TC
16336 }
16337 }
16338 else
16339 {
16340 /* 33222222222211111111110000000000
16341 10987654321098765432109876543210
16342 xx1011100x1xxxxx111011xxxxxxxxxx
16343 facge. */
193614f2 16344 return 350;
e9dbdd80
TC
16345 }
16346 }
16347 else
16348 {
16349 if (((word >> 29) & 0x1) == 0)
16350 {
16351 if (((word >> 30) & 0x1) == 0)
16352 {
16353 /* 33222222222211111111110000000000
16354 10987654321098765432109876543210
16355 x00011101x1xxxxx111011xxxxxxxxxx
16356 fmlsl. */
fb3265b3 16357 return 2059;
e9dbdd80
TC
16358 }
16359 else
16360 {
16361 /* 33222222222211111111110000000000
16362 10987654321098765432109876543210
16363 x10011101x1xxxxx111011xxxxxxxxxx
16364 fmlsl. */
fb3265b3 16365 return 2063;
e9dbdd80
TC
16366 }
16367 }
16368 else
16369 {
16370 /* 33222222222211111111110000000000
16371 10987654321098765432109876543210
16372 xx1011101x1xxxxx111011xxxxxxxxxx
16373 facgt. */
193614f2 16374 return 364;
e9dbdd80
TC
16375 }
16376 }
16377 }
16378 }
16379 }
16380 else
16381 {
16382 if (((word >> 13) & 0x1) == 0)
a06ea964 16383 {
e9dbdd80
TC
16384 if (((word >> 14) & 0x1) == 0)
16385 {
16386 if (((word >> 29) & 0x1) == 0)
16387 {
16388 /* 33222222222211111111110000000000
16389 10987654321098765432109876543210
16390 xx001110xx1xxxxx100111xxxxxxxxxx
16391 mul. */
193614f2 16392 return 286;
e9dbdd80
TC
16393 }
16394 else
16395 {
16396 /* 33222222222211111111110000000000
16397 10987654321098765432109876543210
16398 xx101110xx1xxxxx100111xxxxxxxxxx
16399 pmul. */
193614f2 16400 return 338;
e9dbdd80
TC
16401 }
16402 }
16403 else
a06ea964
NC
16404 {
16405 if (((word >> 29) & 0x1) == 0)
16406 {
16407 /* 33222222222211111111110000000000
16408 10987654321098765432109876543210
e9dbdd80
TC
16409 xx001110xx1xxxxx110111xxxxxxxxxx
16410 fmulx. */
193614f2 16411 return 297;
e9dbdd80
TC
16412 }
16413 else
16414 {
16415 /* 33222222222211111111110000000000
16416 10987654321098765432109876543210
16417 xx101110xx1xxxxx110111xxxxxxxxxx
16418 fmul. */
193614f2 16419 return 346;
e9dbdd80
TC
16420 }
16421 }
16422 }
16423 else
16424 {
16425 if (((word >> 14) & 0x1) == 0)
16426 {
16427 /* 33222222222211111111110000000000
16428 10987654321098765432109876543210
16429 xxx01110xx1xxxxx101111xxxxxxxxxx
16430 addp. */
193614f2 16431 return 290;
e9dbdd80
TC
16432 }
16433 else
16434 {
16435 if (((word >> 23) & 0x1) == 0)
16436 {
16437 if (((word >> 29) & 0x1) == 0)
16438 {
16439 /* 33222222222211111111110000000000
16440 10987654321098765432109876543210
16441 xx0011100x1xxxxx111111xxxxxxxxxx
16442 frecps. */
193614f2 16443 return 303;
e9dbdd80
TC
16444 }
16445 else
16446 {
16447 /* 33222222222211111111110000000000
16448 10987654321098765432109876543210
16449 xx1011100x1xxxxx111111xxxxxxxxxx
16450 fdiv. */
193614f2 16451 return 354;
e9dbdd80
TC
16452 }
16453 }
16454 else
16455 {
16456 /* 33222222222211111111110000000000
16457 10987654321098765432109876543210
16458 xxx011101x1xxxxx111111xxxxxxxxxx
16459 frsqrts. */
193614f2 16460 return 315;
e9dbdd80
TC
16461 }
16462 }
16463 }
16464 }
16465 }
16466 }
16467 }
16468 }
16469 else
16470 {
16471 if (((word >> 10) & 0x1) == 0)
16472 {
16473 if (((word >> 11) & 0x1) == 0)
16474 {
16475 if (((word >> 12) & 0x1) == 0)
16476 {
16477 if (((word >> 13) & 0x1) == 0)
16478 {
16479 if (((word >> 14) & 0x1) == 0)
16480 {
16481 if (((word >> 16) & 0x1) == 0)
16482 {
16483 if (((word >> 17) & 0x1) == 0)
16484 {
16485 if (((word >> 18) & 0x1) == 0)
16486 {
16487 if (((word >> 19) & 0x1) == 0)
16488 {
16489 if (((word >> 20) & 0x1) == 0)
16490 {
16491 /* 33222222222211111111110000000000
16492 10987654321098765432109876543210
16493 xxx11110xx100000x00000xxxxxxxxxx
16494 fcvtns. */
b731bc3b 16495 return 767;
e9dbdd80
TC
16496 }
16497 else
16498 {
16499 /* 33222222222211111111110000000000
16500 10987654321098765432109876543210
16501 xxx11110xx110000x00000xxxxxxxxxx
16502 fcvtms. */
b731bc3b 16503 return 787;
e9dbdd80
TC
16504 }
16505 }
16506 else
16507 {
16508 if (((word >> 20) & 0x1) == 0)
16509 {
16510 /* 33222222222211111111110000000000
16511 10987654321098765432109876543210
16512 xxx11110xx101000x00000xxxxxxxxxx
16513 fcvtps. */
b731bc3b 16514 return 783;
e9dbdd80
TC
16515 }
16516 else
16517 {
16518 /* 33222222222211111111110000000000
16519 10987654321098765432109876543210
16520 xxx11110xx111000x00000xxxxxxxxxx
16521 fcvtzs. */
b731bc3b 16522 return 791;
e9dbdd80
TC
16523 }
16524 }
16525 }
16526 else
16527 {
16528 /* 33222222222211111111110000000000
16529 10987654321098765432109876543210
16530 xxx11110xx1xx100x00000xxxxxxxxxx
16531 fcvtas. */
b731bc3b 16532 return 775;
e9dbdd80
TC
16533 }
16534 }
16535 else
16536 {
16537 if (((word >> 18) & 0x1) == 0)
16538 {
16539 /* 33222222222211111111110000000000
16540 10987654321098765432109876543210
16541 xxx11110xx1xx010x00000xxxxxxxxxx
16542 scvtf. */
b731bc3b 16543 return 771;
e9dbdd80
TC
16544 }
16545 else
16546 {
16547 if (((word >> 19) & 0x1) == 0)
16548 {
16549 /* 33222222222211111111110000000000
16550 10987654321098765432109876543210
16551 xxx11110xx1x0110x00000xxxxxxxxxx
16552 fmov. */
b731bc3b 16553 return 779;
e9dbdd80
TC
16554 }
16555 else
16556 {
16557 if (((word >> 20) & 0x1) == 0)
16558 {
16559 /* 33222222222211111111110000000000
16560 10987654321098765432109876543210
16561 xxx11110xx101110x00000xxxxxxxxxx
16562 fmov. */
b731bc3b 16563 return 795;
e9dbdd80
TC
16564 }
16565 else
16566 {
16567 /* 33222222222211111111110000000000
16568 10987654321098765432109876543210
16569 xxx11110xx111110x00000xxxxxxxxxx
16570 fjcvtzs. */
b731bc3b 16571 return 797;
e9dbdd80
TC
16572 }
16573 }
16574 }
16575 }
16576 }
16577 else
16578 {
16579 if (((word >> 17) & 0x1) == 0)
16580 {
16581 if (((word >> 18) & 0x1) == 0)
16582 {
16583 if (((word >> 19) & 0x1) == 0)
16584 {
16585 if (((word >> 20) & 0x1) == 0)
16586 {
16587 /* 33222222222211111111110000000000
16588 10987654321098765432109876543210
16589 xxx11110xx100001x00000xxxxxxxxxx
16590 fcvtnu. */
b731bc3b 16591 return 769;
e9dbdd80
TC
16592 }
16593 else
16594 {
16595 /* 33222222222211111111110000000000
16596 10987654321098765432109876543210
16597 xxx11110xx110001x00000xxxxxxxxxx
16598 fcvtmu. */
b731bc3b 16599 return 789;
e9dbdd80
TC
16600 }
16601 }
16602 else
16603 {
16604 if (((word >> 20) & 0x1) == 0)
16605 {
16606 /* 33222222222211111111110000000000
16607 10987654321098765432109876543210
16608 xxx11110xx101001x00000xxxxxxxxxx
16609 fcvtpu. */
b731bc3b 16610 return 785;
e9dbdd80
TC
16611 }
16612 else
16613 {
16614 /* 33222222222211111111110000000000
16615 10987654321098765432109876543210
16616 xxx11110xx111001x00000xxxxxxxxxx
16617 fcvtzu. */
b731bc3b 16618 return 793;
e9dbdd80
TC
16619 }
16620 }
16621 }
16622 else
16623 {
16624 /* 33222222222211111111110000000000
16625 10987654321098765432109876543210
16626 xxx11110xx1xx101x00000xxxxxxxxxx
16627 fcvtau. */
b731bc3b 16628 return 777;
e9dbdd80 16629 }
a06ea964
NC
16630 }
16631 else
16632 {
e9dbdd80
TC
16633 if (((word >> 18) & 0x1) == 0)
16634 {
16635 /* 33222222222211111111110000000000
16636 10987654321098765432109876543210
16637 xxx11110xx1xx011x00000xxxxxxxxxx
16638 ucvtf. */
b731bc3b 16639 return 773;
e9dbdd80
TC
16640 }
16641 else
16642 {
16643 if (((word >> 19) & 0x1) == 0)
16644 {
16645 /* 33222222222211111111110000000000
16646 10987654321098765432109876543210
16647 xxx11110xx1x0111x00000xxxxxxxxxx
16648 fmov. */
b731bc3b 16649 return 781;
e9dbdd80
TC
16650 }
16651 else
16652 {
16653 /* 33222222222211111111110000000000
16654 10987654321098765432109876543210
16655 xxx11110xx1x1111x00000xxxxxxxxxx
16656 fmov. */
b731bc3b 16657 return 796;
e9dbdd80
TC
16658 }
16659 }
a06ea964
NC
16660 }
16661 }
e9dbdd80
TC
16662 }
16663 else
16664 {
16665 if (((word >> 17) & 0x1) == 0)
a06ea964 16666 {
e9dbdd80 16667 if (((word >> 15) & 0x1) == 0)
a06ea964 16668 {
e9dbdd80
TC
16669 if (((word >> 16) & 0x1) == 0)
16670 {
16671 if (((word >> 18) & 0x1) == 0)
16672 {
13c60ad7
SD
16673 if (((word >> 19) & 0x1) == 0)
16674 {
16675 /* 33222222222211111111110000000000
16676 10987654321098765432109876543210
16677 xxx11110xx1x0000010000xxxxxxxxxx
16678 fmov. */
b731bc3b 16679 return 816;
13c60ad7
SD
16680 }
16681 else
16682 {
16683 /* 33222222222211111111110000000000
16684 10987654321098765432109876543210
16685 xxx11110xx1x1000010000xxxxxxxxxx
16686 frint32z. */
b731bc3b 16687 return 812;
13c60ad7 16688 }
e9dbdd80
TC
16689 }
16690 else
16691 {
16692 /* 33222222222211111111110000000000
16693 10987654321098765432109876543210
16694 xxx11110xx1xx100010000xxxxxxxxxx
16695 frintn. */
b731bc3b 16696 return 825;
e9dbdd80
TC
16697 }
16698 }
16699 else
16700 {
16701 if (((word >> 18) & 0x1) == 0)
16702 {
13c60ad7
SD
16703 if (((word >> 19) & 0x1) == 0)
16704 {
16705 /* 33222222222211111111110000000000
16706 10987654321098765432109876543210
16707 xxx11110xx1x0001010000xxxxxxxxxx
16708 fneg. */
b731bc3b 16709 return 820;
13c60ad7
SD
16710 }
16711 else
16712 {
16713 /* 33222222222211111111110000000000
16714 10987654321098765432109876543210
16715 xxx11110xx1x1001010000xxxxxxxxxx
16716 frint64z. */
b731bc3b 16717 return 814;
13c60ad7 16718 }
e9dbdd80
TC
16719 }
16720 else
16721 {
16722 /* 33222222222211111111110000000000
16723 10987654321098765432109876543210
16724 xxx11110xx1xx101010000xxxxxxxxxx
16725 frintm. */
b731bc3b 16726 return 829;
e9dbdd80
TC
16727 }
16728 }
a06ea964
NC
16729 }
16730 else
16731 {
e9dbdd80
TC
16732 if (((word >> 16) & 0x1) == 0)
16733 {
16734 if (((word >> 18) & 0x1) == 0)
16735 {
13c60ad7
SD
16736 if (((word >> 19) & 0x1) == 0)
16737 {
16738 /* 33222222222211111111110000000000
16739 10987654321098765432109876543210
16740 xxx11110xx1x0000110000xxxxxxxxxx
16741 fabs. */
b731bc3b 16742 return 818;
13c60ad7
SD
16743 }
16744 else
16745 {
16746 /* 33222222222211111111110000000000
16747 10987654321098765432109876543210
16748 xxx11110xx1x1000110000xxxxxxxxxx
16749 frint32x. */
b731bc3b 16750 return 813;
13c60ad7 16751 }
e9dbdd80
TC
16752 }
16753 else
16754 {
16755 /* 33222222222211111111110000000000
16756 10987654321098765432109876543210
16757 xxx11110xx1xx100110000xxxxxxxxxx
16758 frintp. */
b731bc3b 16759 return 827;
e9dbdd80
TC
16760 }
16761 }
16762 else
16763 {
16764 if (((word >> 18) & 0x1) == 0)
16765 {
13c60ad7
SD
16766 if (((word >> 19) & 0x1) == 0)
16767 {
16768 /* 33222222222211111111110000000000
16769 10987654321098765432109876543210
16770 xxx11110xx1x0001110000xxxxxxxxxx
16771 fsqrt. */
b731bc3b 16772 return 822;
13c60ad7
SD
16773 }
16774 else
16775 {
16776 /* 33222222222211111111110000000000
16777 10987654321098765432109876543210
16778 xxx11110xx1x1001110000xxxxxxxxxx
16779 frint64x. */
b731bc3b 16780 return 815;
13c60ad7 16781 }
e9dbdd80
TC
16782 }
16783 else
16784 {
16785 /* 33222222222211111111110000000000
16786 10987654321098765432109876543210
16787 xxx11110xx1xx101110000xxxxxxxxxx
16788 frintz. */
b731bc3b 16789 return 831;
e9dbdd80
TC
16790 }
16791 }
a06ea964
NC
16792 }
16793 }
e9dbdd80 16794 else
a06ea964 16795 {
e9dbdd80 16796 if (((word >> 18) & 0x1) == 0)
a06ea964
NC
16797 {
16798 /* 33222222222211111111110000000000
16799 10987654321098765432109876543210
e9dbdd80
TC
16800 xxx11110xx1xx01xx10000xxxxxxxxxx
16801 fcvt. */
b731bc3b 16802 return 824;
a06ea964
NC
16803 }
16804 else
16805 {
e9dbdd80 16806 if (((word >> 15) & 0x1) == 0)
a06ea964 16807 {
e9dbdd80
TC
16808 if (((word >> 16) & 0x1) == 0)
16809 {
16810 /* 33222222222211111111110000000000
16811 10987654321098765432109876543210
16812 xxx11110xx1xx110010000xxxxxxxxxx
16813 frinta. */
b731bc3b 16814 return 833;
e9dbdd80
TC
16815 }
16816 else
16817 {
16818 /* 33222222222211111111110000000000
16819 10987654321098765432109876543210
16820 xxx11110xx1xx111010000xxxxxxxxxx
16821 frintx. */
b731bc3b 16822 return 835;
e9dbdd80 16823 }
a06ea964
NC
16824 }
16825 else
16826 {
16827 /* 33222222222211111111110000000000
16828 10987654321098765432109876543210
e9dbdd80
TC
16829 xxx11110xx1xx11x110000xxxxxxxxxx
16830 frinti. */
b731bc3b 16831 return 837;
a06ea964
NC
16832 }
16833 }
e9dbdd80
TC
16834 }
16835 }
16836 }
16837 else
16838 {
16839 if (((word >> 3) & 0x1) == 0)
16840 {
16841 if (((word >> 4) & 0x1) == 0)
16842 {
16843 /* 33222222222211111111110000000000
16844 10987654321098765432109876543210
16845 xxx11110xx1xxxxxxx1000xxxxx00xxx
16846 fcmp. */
b731bc3b 16847 return 802;
e9dbdd80
TC
16848 }
16849 else
16850 {
16851 /* 33222222222211111111110000000000
16852 10987654321098765432109876543210
16853 xxx11110xx1xxxxxxx1000xxxxx10xxx
16854 fcmpe. */
b731bc3b 16855 return 804;
e9dbdd80
TC
16856 }
16857 }
16858 else
16859 {
16860 if (((word >> 4) & 0x1) == 0)
16861 {
16862 /* 33222222222211111111110000000000
16863 10987654321098765432109876543210
16864 xxx11110xx1xxxxxxx1000xxxxx01xxx
16865 fcmp. */
b731bc3b 16866 return 806;
e9dbdd80
TC
16867 }
16868 else
16869 {
16870 /* 33222222222211111111110000000000
16871 10987654321098765432109876543210
16872 xxx11110xx1xxxxxxx1000xxxxx11xxx
16873 fcmpe. */
b731bc3b 16874 return 808;
a06ea964
NC
16875 }
16876 }
16877 }
16878 }
16879 else
16880 {
e9dbdd80 16881 if (((word >> 30) & 0x1) == 0)
a06ea964 16882 {
e9dbdd80
TC
16883 /* 33222222222211111111110000000000
16884 10987654321098765432109876543210
16885 x0x11110xx1xxxxxxxx100xxxxxxxxxx
16886 fmov. */
b731bc3b 16887 return 865;
e9dbdd80
TC
16888 }
16889 else
16890 {
16891 if (((word >> 13) & 0x1) == 0)
a06ea964 16892 {
e9dbdd80 16893 if (((word >> 14) & 0x1) == 0)
a06ea964 16894 {
e9dbdd80
TC
16895 /* 33222222222211111111110000000000
16896 10987654321098765432109876543210
16897 x1x11110xx1xxxxxx00100xxxxxxxxxx
16898 sqdmlal. */
193614f2 16899 return 422;
a06ea964
NC
16900 }
16901 else
16902 {
e9dbdd80
TC
16903 /* 33222222222211111111110000000000
16904 10987654321098765432109876543210
16905 x1x11110xx1xxxxxx10100xxxxxxxxxx
16906 sqdmull. */
193614f2 16907 return 424;
a06ea964
NC
16908 }
16909 }
16910 else
e9dbdd80
TC
16911 {
16912 /* 33222222222211111111110000000000
16913 10987654321098765432109876543210
16914 x1x11110xx1xxxxxxx1100xxxxxxxxxx
16915 sqdmlsl. */
193614f2 16916 return 423;
e9dbdd80
TC
16917 }
16918 }
16919 }
16920 }
16921 else
16922 {
16923 if (((word >> 12) & 0x1) == 0)
16924 {
16925 if (((word >> 13) & 0x1) == 0)
16926 {
16927 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
16928 {
16929 if (((word >> 15) & 0x1) == 0)
16930 {
e9dbdd80 16931 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
16932 {
16933 /* 33222222222211111111110000000000
16934 10987654321098765432109876543210
e9dbdd80
TC
16935 x0x11110xx1xxxxx000010xxxxxxxxxx
16936 fmul. */
b731bc3b 16937 return 839;
a06ea964
NC
16938 }
16939 else
16940 {
16941 /* 33222222222211111111110000000000
16942 10987654321098765432109876543210
e9dbdd80
TC
16943 x1x11110xx1xxxxx000010xxxxxxxxxx
16944 sha1h. */
193614f2 16945 return 675;
a06ea964
NC
16946 }
16947 }
16948 else
16949 {
e9dbdd80 16950 if (((word >> 29) & 0x1) == 0)
a06ea964 16951 {
e9dbdd80 16952 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
16953 {
16954 /* 33222222222211111111110000000000
16955 10987654321098765432109876543210
e9dbdd80
TC
16956 x0011110xx1xxxxx100010xxxxxxxxxx
16957 fnmul. */
b731bc3b 16958 return 855;
a06ea964
NC
16959 }
16960 else
16961 {
16962 /* 33222222222211111111110000000000
16963 10987654321098765432109876543210
e9dbdd80
TC
16964 x1011110xx1xxxxx100010xxxxxxxxxx
16965 cmgt. */
193614f2 16966 return 482;
a06ea964
NC
16967 }
16968 }
a06ea964
NC
16969 else
16970 {
16971 /* 33222222222211111111110000000000
16972 10987654321098765432109876543210
e9dbdd80
TC
16973 xx111110xx1xxxxx100010xxxxxxxxxx
16974 cmge. */
193614f2 16975 return 511;
a06ea964
NC
16976 }
16977 }
16978 }
16979 else
16980 {
16981 if (((word >> 15) & 0x1) == 0)
16982 {
16983 if (((word >> 29) & 0x1) == 0)
16984 {
e9dbdd80
TC
16985 if (((word >> 30) & 0x1) == 0)
16986 {
16987 /* 33222222222211111111110000000000
16988 10987654321098765432109876543210
16989 x0011110xx1xxxxx010010xxxxxxxxxx
16990 fmax. */
b731bc3b 16991 return 847;
e9dbdd80
TC
16992 }
16993 else
16994 {
16995 /* 33222222222211111111110000000000
16996 10987654321098765432109876543210
16997 x1011110xx1xxxxx010010xxxxxxxxxx
16998 sqxtn. */
193614f2 16999 return 486;
e9dbdd80 17000 }
a06ea964
NC
17001 }
17002 else
17003 {
17004 /* 33222222222211111111110000000000
17005 10987654321098765432109876543210
e9dbdd80
TC
17006 xx111110xx1xxxxx010010xxxxxxxxxx
17007 uqxtn. */
193614f2 17008 return 515;
a06ea964
NC
17009 }
17010 }
17011 else
17012 {
e9dbdd80 17013 if (((word >> 16) & 0x1) == 0)
a06ea964 17014 {
e9dbdd80 17015 if (((word >> 19) & 0x1) == 0)
a06ea964 17016 {
e9dbdd80
TC
17017 if (((word >> 20) & 0x1) == 0)
17018 {
17019 if (((word >> 29) & 0x1) == 0)
17020 {
17021 /* 33222222222211111111110000000000
17022 10987654321098765432109876543210
17023 xx011110xx100xx0110010xxxxxxxxxx
17024 fcmgt. */
193614f2 17025 return 495;
e9dbdd80
TC
17026 }
17027 else
17028 {
17029 /* 33222222222211111111110000000000
17030 10987654321098765432109876543210
17031 xx111110xx100xx0110010xxxxxxxxxx
17032 fcmge. */
193614f2 17033 return 525;
e9dbdd80
TC
17034 }
17035 }
17036 else
17037 {
17038 if (((word >> 23) & 0x1) == 0)
17039 {
17040 if (((word >> 29) & 0x1) == 0)
17041 {
17042 /* 33222222222211111111110000000000
17043 10987654321098765432109876543210
17044 xx0111100x110xx0110010xxxxxxxxxx
17045 fmaxnmp. */
193614f2 17046 return 539;
e9dbdd80
TC
17047 }
17048 else
17049 {
17050 /* 33222222222211111111110000000000
17051 10987654321098765432109876543210
17052 xx1111100x110xx0110010xxxxxxxxxx
17053 fmaxnmp. */
193614f2 17054 return 538;
e9dbdd80
TC
17055 }
17056 }
17057 else
17058 {
17059 if (((word >> 29) & 0x1) == 0)
17060 {
17061 /* 33222222222211111111110000000000
17062 10987654321098765432109876543210
17063 xx0111101x110xx0110010xxxxxxxxxx
17064 fminnmp. */
193614f2 17065 return 545;
e9dbdd80
TC
17066 }
17067 else
17068 {
17069 /* 33222222222211111111110000000000
17070 10987654321098765432109876543210
17071 xx1111101x110xx0110010xxxxxxxxxx
17072 fminnmp. */
193614f2 17073 return 544;
e9dbdd80
TC
17074 }
17075 }
17076 }
a06ea964
NC
17077 }
17078 else
17079 {
e9dbdd80
TC
17080 if (((word >> 29) & 0x1) == 0)
17081 {
17082 /* 33222222222211111111110000000000
17083 10987654321098765432109876543210
17084 xx011110xx1x1xx0110010xxxxxxxxxx
17085 fcmgt. */
193614f2 17086 return 496;
e9dbdd80
TC
17087 }
17088 else
17089 {
17090 /* 33222222222211111111110000000000
17091 10987654321098765432109876543210
17092 xx111110xx1x1xx0110010xxxxxxxxxx
17093 fcmge. */
193614f2 17094 return 526;
e9dbdd80 17095 }
a06ea964
NC
17096 }
17097 }
17098 else
17099 {
e9dbdd80 17100 if (((word >> 19) & 0x1) == 0)
a06ea964 17101 {
e9dbdd80
TC
17102 if (((word >> 29) & 0x1) == 0)
17103 {
17104 /* 33222222222211111111110000000000
17105 10987654321098765432109876543210
17106 xx011110xx1x0xx1110010xxxxxxxxxx
17107 fcvtas. */
193614f2 17108 return 491;
e9dbdd80
TC
17109 }
17110 else
17111 {
17112 /* 33222222222211111111110000000000
17113 10987654321098765432109876543210
17114 xx111110xx1x0xx1110010xxxxxxxxxx
17115 fcvtau. */
193614f2 17116 return 521;
e9dbdd80 17117 }
a06ea964
NC
17118 }
17119 else
17120 {
e9dbdd80
TC
17121 if (((word >> 29) & 0x1) == 0)
17122 {
17123 /* 33222222222211111111110000000000
17124 10987654321098765432109876543210
17125 xx011110xx1x1xx1110010xxxxxxxxxx
17126 fcvtas. */
193614f2 17127 return 492;
e9dbdd80
TC
17128 }
17129 else
17130 {
17131 /* 33222222222211111111110000000000
17132 10987654321098765432109876543210
17133 xx111110xx1x1xx1110010xxxxxxxxxx
17134 fcvtau. */
193614f2 17135 return 522;
e9dbdd80 17136 }
a06ea964
NC
17137 }
17138 }
17139 }
17140 }
17141 }
a06ea964
NC
17142 else
17143 {
e9dbdd80 17144 if (((word >> 14) & 0x1) == 0)
a06ea964 17145 {
e9dbdd80 17146 if (((word >> 15) & 0x1) == 0)
a06ea964 17147 {
e9dbdd80 17148 if (((word >> 29) & 0x1) == 0)
a06ea964 17149 {
e9dbdd80
TC
17150 if (((word >> 30) & 0x1) == 0)
17151 {
17152 /* 33222222222211111111110000000000
17153 10987654321098765432109876543210
17154 x0011110xx1xxxxx001010xxxxxxxxxx
17155 fadd. */
b731bc3b 17156 return 843;
e9dbdd80
TC
17157 }
17158 else
17159 {
17160 /* 33222222222211111111110000000000
17161 10987654321098765432109876543210
17162 x1011110xx1xxxxx001010xxxxxxxxxx
17163 sha256su0. */
193614f2 17164 return 677;
e9dbdd80 17165 }
a06ea964
NC
17166 }
17167 else
17168 {
17169 /* 33222222222211111111110000000000
17170 10987654321098765432109876543210
e9dbdd80
TC
17171 xx111110xx1xxxxx001010xxxxxxxxxx
17172 sqxtun. */
193614f2 17173 return 514;
a06ea964
NC
17174 }
17175 }
17176 else
17177 {
e9dbdd80 17178 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
17179 {
17180 /* 33222222222211111111110000000000
17181 10987654321098765432109876543210
e9dbdd80
TC
17182 xxx11110xx1xxxx0101010xxxxxxxxxx
17183 cmlt. */
193614f2 17184 return 484;
a06ea964
NC
17185 }
17186 else
17187 {
e9dbdd80
TC
17188 if (((word >> 19) & 0x1) == 0)
17189 {
17190 if (((word >> 23) & 0x1) == 0)
17191 {
17192 if (((word >> 29) & 0x1) == 0)
17193 {
17194 /* 33222222222211111111110000000000
17195 10987654321098765432109876543210
17196 xx0111100x1x0xx1101010xxxxxxxxxx
17197 fcvtns. */
193614f2 17198 return 487;
e9dbdd80
TC
17199 }
17200 else
17201 {
17202 /* 33222222222211111111110000000000
17203 10987654321098765432109876543210
17204 xx1111100x1x0xx1101010xxxxxxxxxx
17205 fcvtnu. */
193614f2 17206 return 517;
e9dbdd80
TC
17207 }
17208 }
17209 else
17210 {
17211 if (((word >> 29) & 0x1) == 0)
17212 {
17213 /* 33222222222211111111110000000000
17214 10987654321098765432109876543210
17215 xx0111101x1x0xx1101010xxxxxxxxxx
17216 fcvtps. */
193614f2 17217 return 501;
e9dbdd80
TC
17218 }
17219 else
17220 {
17221 /* 33222222222211111111110000000000
17222 10987654321098765432109876543210
17223 xx1111101x1x0xx1101010xxxxxxxxxx
17224 fcvtpu. */
193614f2 17225 return 529;
e9dbdd80
TC
17226 }
17227 }
17228 }
17229 else
17230 {
17231 if (((word >> 23) & 0x1) == 0)
17232 {
17233 if (((word >> 29) & 0x1) == 0)
17234 {
17235 /* 33222222222211111111110000000000
17236 10987654321098765432109876543210
17237 xx0111100x1x1xx1101010xxxxxxxxxx
17238 fcvtns. */
193614f2 17239 return 488;
e9dbdd80
TC
17240 }
17241 else
17242 {
17243 /* 33222222222211111111110000000000
17244 10987654321098765432109876543210
17245 xx1111100x1x1xx1101010xxxxxxxxxx
17246 fcvtnu. */
193614f2 17247 return 518;
e9dbdd80
TC
17248 }
17249 }
17250 else
17251 {
17252 if (((word >> 29) & 0x1) == 0)
17253 {
17254 /* 33222222222211111111110000000000
17255 10987654321098765432109876543210
17256 xx0111101x1x1xx1101010xxxxxxxxxx
17257 fcvtps. */
193614f2 17258 return 502;
e9dbdd80
TC
17259 }
17260 else
17261 {
17262 /* 33222222222211111111110000000000
17263 10987654321098765432109876543210
17264 xx1111101x1x1xx1101010xxxxxxxxxx
17265 fcvtpu. */
193614f2 17266 return 530;
e9dbdd80
TC
17267 }
17268 }
17269 }
17270 }
a06ea964
NC
17271 }
17272 }
e9dbdd80 17273 else
a06ea964
NC
17274 {
17275 if (((word >> 15) & 0x1) == 0)
17276 {
17277 if (((word >> 29) & 0x1) == 0)
17278 {
17279 /* 33222222222211111111110000000000
17280 10987654321098765432109876543210
e9dbdd80
TC
17281 xx011110xx1xxxxx011010xxxxxxxxxx
17282 fmaxnm. */
b731bc3b 17283 return 851;
a06ea964
NC
17284 }
17285 else
17286 {
17287 /* 33222222222211111111110000000000
17288 10987654321098765432109876543210
e9dbdd80
TC
17289 xx111110xx1xxxxx011010xxxxxxxxxx
17290 fcvtxn. */
193614f2 17291 return 516;
a06ea964
NC
17292 }
17293 }
17294 else
17295 {
e9dbdd80 17296 if (((word >> 19) & 0x1) == 0)
a06ea964
NC
17297 {
17298 /* 33222222222211111111110000000000
17299 10987654321098765432109876543210
e9dbdd80
TC
17300 xxx11110xx1x0xxx111010xxxxxxxxxx
17301 fcmlt. */
193614f2 17302 return 499;
a06ea964
NC
17303 }
17304 else
17305 {
17306 /* 33222222222211111111110000000000
17307 10987654321098765432109876543210
e9dbdd80
TC
17308 xxx11110xx1x1xxx111010xxxxxxxxxx
17309 fcmlt. */
193614f2 17310 return 500;
a06ea964
NC
17311 }
17312 }
17313 }
e9dbdd80
TC
17314 }
17315 }
17316 else
17317 {
17318 if (((word >> 13) & 0x1) == 0)
17319 {
17320 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
17321 {
17322 if (((word >> 15) & 0x1) == 0)
17323 {
e9dbdd80 17324 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
17325 {
17326 /* 33222222222211111111110000000000
17327 10987654321098765432109876543210
e9dbdd80
TC
17328 x0x11110xx1xxxxx000110xxxxxxxxxx
17329 fdiv. */
b731bc3b 17330 return 841;
a06ea964
NC
17331 }
17332 else
17333 {
17334 /* 33222222222211111111110000000000
17335 10987654321098765432109876543210
e9dbdd80
TC
17336 x1x11110xx1xxxxx000110xxxxxxxxxx
17337 sha1su1. */
193614f2 17338 return 676;
a06ea964
NC
17339 }
17340 }
17341 else
17342 {
e9dbdd80 17343 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
17344 {
17345 /* 33222222222211111111110000000000
17346 10987654321098765432109876543210
e9dbdd80
TC
17347 xx011110xx1xxxxx100110xxxxxxxxxx
17348 cmeq. */
193614f2 17349 return 483;
a06ea964
NC
17350 }
17351 else
17352 {
17353 /* 33222222222211111111110000000000
17354 10987654321098765432109876543210
e9dbdd80
TC
17355 xx111110xx1xxxxx100110xxxxxxxxxx
17356 cmle. */
193614f2 17357 return 512;
a06ea964
NC
17358 }
17359 }
17360 }
e9dbdd80 17361 else
a06ea964
NC
17362 {
17363 if (((word >> 15) & 0x1) == 0)
17364 {
e9dbdd80
TC
17365 /* 33222222222211111111110000000000
17366 10987654321098765432109876543210
17367 xxx11110xx1xxxxx010110xxxxxxxxxx
17368 fmin. */
b731bc3b 17369 return 849;
a06ea964
NC
17370 }
17371 else
17372 {
e9dbdd80 17373 if (((word >> 16) & 0x1) == 0)
a06ea964 17374 {
e9dbdd80
TC
17375 if (((word >> 19) & 0x1) == 0)
17376 {
17377 if (((word >> 20) & 0x1) == 0)
17378 {
17379 if (((word >> 29) & 0x1) == 0)
17380 {
17381 /* 33222222222211111111110000000000
17382 10987654321098765432109876543210
17383 xx011110xx100xx0110110xxxxxxxxxx
17384 fcmeq. */
193614f2 17385 return 497;
e9dbdd80
TC
17386 }
17387 else
17388 {
17389 /* 33222222222211111111110000000000
17390 10987654321098765432109876543210
17391 xx111110xx100xx0110110xxxxxxxxxx
17392 fcmle. */
193614f2 17393 return 527;
e9dbdd80
TC
17394 }
17395 }
17396 else
17397 {
17398 if (((word >> 29) & 0x1) == 0)
17399 {
17400 /* 33222222222211111111110000000000
17401 10987654321098765432109876543210
17402 xx011110xx110xx0110110xxxxxxxxxx
17403 faddp. */
193614f2 17404 return 541;
e9dbdd80
TC
17405 }
17406 else
17407 {
17408 /* 33222222222211111111110000000000
17409 10987654321098765432109876543210
17410 xx111110xx110xx0110110xxxxxxxxxx
17411 faddp. */
193614f2 17412 return 540;
e9dbdd80
TC
17413 }
17414 }
17415 }
17416 else
17417 {
17418 if (((word >> 29) & 0x1) == 0)
17419 {
17420 /* 33222222222211111111110000000000
17421 10987654321098765432109876543210
17422 xx011110xx1x1xx0110110xxxxxxxxxx
17423 fcmeq. */
193614f2 17424 return 498;
e9dbdd80
TC
17425 }
17426 else
17427 {
17428 /* 33222222222211111111110000000000
17429 10987654321098765432109876543210
17430 xx111110xx1x1xx0110110xxxxxxxxxx
17431 fcmle. */
193614f2 17432 return 528;
e9dbdd80
TC
17433 }
17434 }
a06ea964
NC
17435 }
17436 else
17437 {
e9dbdd80
TC
17438 if (((word >> 19) & 0x1) == 0)
17439 {
17440 if (((word >> 23) & 0x1) == 0)
17441 {
17442 if (((word >> 29) & 0x1) == 0)
17443 {
17444 /* 33222222222211111111110000000000
17445 10987654321098765432109876543210
17446 xx0111100x1x0xx1110110xxxxxxxxxx
17447 scvtf. */
193614f2 17448 return 493;
e9dbdd80
TC
17449 }
17450 else
17451 {
17452 /* 33222222222211111111110000000000
17453 10987654321098765432109876543210
17454 xx1111100x1x0xx1110110xxxxxxxxxx
17455 ucvtf. */
193614f2 17456 return 523;
e9dbdd80
TC
17457 }
17458 }
17459 else
17460 {
17461 if (((word >> 29) & 0x1) == 0)
17462 {
17463 /* 33222222222211111111110000000000
17464 10987654321098765432109876543210
17465 xx0111101x1x0xx1110110xxxxxxxxxx
17466 frecpe. */
193614f2 17467 return 505;
e9dbdd80
TC
17468 }
17469 else
17470 {
17471 /* 33222222222211111111110000000000
17472 10987654321098765432109876543210
17473 xx1111101x1x0xx1110110xxxxxxxxxx
17474 frsqrte. */
193614f2 17475 return 533;
e9dbdd80
TC
17476 }
17477 }
17478 }
17479 else
17480 {
17481 if (((word >> 23) & 0x1) == 0)
17482 {
17483 if (((word >> 29) & 0x1) == 0)
17484 {
17485 /* 33222222222211111111110000000000
17486 10987654321098765432109876543210
17487 xx0111100x1x1xx1110110xxxxxxxxxx
17488 scvtf. */
193614f2 17489 return 494;
e9dbdd80
TC
17490 }
17491 else
17492 {
17493 /* 33222222222211111111110000000000
17494 10987654321098765432109876543210
17495 xx1111100x1x1xx1110110xxxxxxxxxx
17496 ucvtf. */
193614f2 17497 return 524;
e9dbdd80
TC
17498 }
17499 }
17500 else
17501 {
17502 if (((word >> 29) & 0x1) == 0)
17503 {
17504 /* 33222222222211111111110000000000
17505 10987654321098765432109876543210
17506 xx0111101x1x1xx1110110xxxxxxxxxx
17507 frecpe. */
193614f2 17508 return 506;
e9dbdd80
TC
17509 }
17510 else
17511 {
17512 /* 33222222222211111111110000000000
17513 10987654321098765432109876543210
17514 xx1111101x1x1xx1110110xxxxxxxxxx
17515 frsqrte. */
193614f2 17516 return 534;
e9dbdd80
TC
17517 }
17518 }
17519 }
a06ea964
NC
17520 }
17521 }
17522 }
e9dbdd80
TC
17523 }
17524 else
17525 {
17526 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
17527 {
17528 if (((word >> 15) & 0x1) == 0)
17529 {
17530 if (((word >> 29) & 0x1) == 0)
17531 {
e9dbdd80
TC
17532 if (((word >> 30) & 0x1) == 0)
17533 {
17534 /* 33222222222211111111110000000000
17535 10987654321098765432109876543210
17536 x0011110xx1xxxxx001110xxxxxxxxxx
17537 fsub. */
b731bc3b 17538 return 845;
e9dbdd80
TC
17539 }
17540 else
17541 {
17542 /* 33222222222211111111110000000000
17543 10987654321098765432109876543210
17544 x1011110xx1xxxxx001110xxxxxxxxxx
17545 suqadd. */
193614f2 17546 return 480;
e9dbdd80 17547 }
a06ea964
NC
17548 }
17549 else
17550 {
17551 /* 33222222222211111111110000000000
17552 10987654321098765432109876543210
e9dbdd80
TC
17553 xx111110xx1xxxxx001110xxxxxxxxxx
17554 usqadd. */
193614f2 17555 return 509;
a06ea964
NC
17556 }
17557 }
17558 else
17559 {
e9dbdd80 17560 if (((word >> 16) & 0x1) == 0)
a06ea964 17561 {
e9dbdd80
TC
17562 if (((word >> 29) & 0x1) == 0)
17563 {
17564 /* 33222222222211111111110000000000
17565 10987654321098765432109876543210
17566 xx011110xx1xxxx0101110xxxxxxxxxx
17567 abs. */
193614f2 17568 return 485;
e9dbdd80
TC
17569 }
17570 else
17571 {
17572 /* 33222222222211111111110000000000
17573 10987654321098765432109876543210
17574 xx111110xx1xxxx0101110xxxxxxxxxx
17575 neg. */
193614f2 17576 return 513;
e9dbdd80 17577 }
a06ea964
NC
17578 }
17579 else
17580 {
e9dbdd80 17581 if (((word >> 19) & 0x1) == 0)
a06ea964 17582 {
e9dbdd80 17583 if (((word >> 20) & 0x1) == 0)
a06ea964 17584 {
e9dbdd80
TC
17585 if (((word >> 23) & 0x1) == 0)
17586 {
17587 if (((word >> 29) & 0x1) == 0)
17588 {
17589 /* 33222222222211111111110000000000
17590 10987654321098765432109876543210
17591 xx0111100x100xx1101110xxxxxxxxxx
17592 fcvtms. */
193614f2 17593 return 489;
e9dbdd80
TC
17594 }
17595 else
17596 {
17597 /* 33222222222211111111110000000000
17598 10987654321098765432109876543210
17599 xx1111100x100xx1101110xxxxxxxxxx
17600 fcvtmu. */
193614f2 17601 return 519;
e9dbdd80
TC
17602 }
17603 }
17604 else
17605 {
17606 if (((word >> 29) & 0x1) == 0)
17607 {
17608 /* 33222222222211111111110000000000
17609 10987654321098765432109876543210
17610 xx0111101x100xx1101110xxxxxxxxxx
17611 fcvtzs. */
193614f2 17612 return 503;
e9dbdd80
TC
17613 }
17614 else
17615 {
17616 /* 33222222222211111111110000000000
17617 10987654321098765432109876543210
17618 xx1111101x100xx1101110xxxxxxxxxx
17619 fcvtzu. */
193614f2 17620 return 531;
e9dbdd80
TC
17621 }
17622 }
a06ea964
NC
17623 }
17624 else
17625 {
17626 /* 33222222222211111111110000000000
17627 10987654321098765432109876543210
e9dbdd80
TC
17628 xxx11110xx110xx1101110xxxxxxxxxx
17629 addp. */
193614f2 17630 return 537;
a06ea964
NC
17631 }
17632 }
17633 else
17634 {
e9dbdd80 17635 if (((word >> 23) & 0x1) == 0)
a06ea964 17636 {
e9dbdd80
TC
17637 if (((word >> 29) & 0x1) == 0)
17638 {
17639 /* 33222222222211111111110000000000
17640 10987654321098765432109876543210
17641 xx0111100x1x1xx1101110xxxxxxxxxx
17642 fcvtms. */
193614f2 17643 return 490;
e9dbdd80
TC
17644 }
17645 else
17646 {
17647 /* 33222222222211111111110000000000
17648 10987654321098765432109876543210
17649 xx1111100x1x1xx1101110xxxxxxxxxx
17650 fcvtmu. */
193614f2 17651 return 520;
e9dbdd80 17652 }
a06ea964
NC
17653 }
17654 else
17655 {
e9dbdd80
TC
17656 if (((word >> 29) & 0x1) == 0)
17657 {
17658 /* 33222222222211111111110000000000
17659 10987654321098765432109876543210
17660 xx0111101x1x1xx1101110xxxxxxxxxx
17661 fcvtzs. */
193614f2 17662 return 504;
e9dbdd80
TC
17663 }
17664 else
17665 {
17666 /* 33222222222211111111110000000000
17667 10987654321098765432109876543210
17668 xx1111101x1x1xx1101110xxxxxxxxxx
17669 fcvtzu. */
193614f2 17670 return 532;
e9dbdd80 17671 }
a06ea964
NC
17672 }
17673 }
17674 }
e9dbdd80
TC
17675 }
17676 }
17677 else
17678 {
17679 if (((word >> 15) & 0x1) == 0)
17680 {
17681 if (((word >> 29) & 0x1) == 0)
17682 {
17683 if (((word >> 30) & 0x1) == 0)
17684 {
17685 /* 33222222222211111111110000000000
17686 10987654321098765432109876543210
17687 x0011110xx1xxxxx011110xxxxxxxxxx
17688 fminnm. */
b731bc3b 17689 return 853;
e9dbdd80
TC
17690 }
17691 else
17692 {
17693 /* 33222222222211111111110000000000
17694 10987654321098765432109876543210
17695 x1011110xx1xxxxx011110xxxxxxxxxx
17696 sqabs. */
193614f2 17697 return 481;
e9dbdd80
TC
17698 }
17699 }
a06ea964 17700 else
e9dbdd80
TC
17701 {
17702 /* 33222222222211111111110000000000
17703 10987654321098765432109876543210
17704 xx111110xx1xxxxx011110xxxxxxxxxx
17705 sqneg. */
193614f2 17706 return 510;
e9dbdd80
TC
17707 }
17708 }
17709 else
17710 {
17711 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
17712 {
17713 if (((word >> 23) & 0x1) == 0)
17714 {
17715 if (((word >> 29) & 0x1) == 0)
17716 {
17717 /* 33222222222211111111110000000000
17718 10987654321098765432109876543210
e9dbdd80
TC
17719 xx0111100x1xxxx0111110xxxxxxxxxx
17720 fmaxp. */
193614f2 17721 return 543;
a06ea964
NC
17722 }
17723 else
17724 {
17725 /* 33222222222211111111110000000000
17726 10987654321098765432109876543210
e9dbdd80
TC
17727 xx1111100x1xxxx0111110xxxxxxxxxx
17728 fmaxp. */
193614f2 17729 return 542;
a06ea964
NC
17730 }
17731 }
17732 else
17733 {
17734 if (((word >> 29) & 0x1) == 0)
17735 {
17736 /* 33222222222211111111110000000000
17737 10987654321098765432109876543210
e9dbdd80
TC
17738 xx0111101x1xxxx0111110xxxxxxxxxx
17739 fminp. */
193614f2 17740 return 547;
a06ea964
NC
17741 }
17742 else
17743 {
17744 /* 33222222222211111111110000000000
17745 10987654321098765432109876543210
e9dbdd80
TC
17746 xx1111101x1xxxx0111110xxxxxxxxxx
17747 fminp. */
193614f2 17748 return 546;
a06ea964
NC
17749 }
17750 }
17751 }
a06ea964
NC
17752 else
17753 {
e9dbdd80
TC
17754 if (((word >> 19) & 0x1) == 0)
17755 {
17756 /* 33222222222211111111110000000000
17757 10987654321098765432109876543210
17758 xxx11110xx1x0xx1111110xxxxxxxxxx
17759 frecpx. */
193614f2 17760 return 507;
e9dbdd80
TC
17761 }
17762 else
17763 {
17764 /* 33222222222211111111110000000000
17765 10987654321098765432109876543210
17766 xxx11110xx1x1xx1111110xxxxxxxxxx
17767 frecpx. */
193614f2 17768 return 508;
e9dbdd80 17769 }
a06ea964
NC
17770 }
17771 }
17772 }
e9dbdd80
TC
17773 }
17774 }
17775 }
17776 }
17777 else
17778 {
17779 if (((word >> 11) & 0x1) == 0)
17780 {
17781 if (((word >> 29) & 0x1) == 0)
17782 {
17783 if (((word >> 30) & 0x1) == 0)
17784 {
17785 if (((word >> 4) & 0x1) == 0)
17786 {
17787 /* 33222222222211111111110000000000
17788 10987654321098765432109876543210
17789 x0011110xx1xxxxxxxxx01xxxxx0xxxx
17790 fccmp. */
b731bc3b 17791 return 798;
e9dbdd80
TC
17792 }
17793 else
17794 {
17795 /* 33222222222211111111110000000000
17796 10987654321098765432109876543210
17797 x0011110xx1xxxxxxxxx01xxxxx1xxxx
17798 fccmpe. */
b731bc3b 17799 return 800;
e9dbdd80
TC
17800 }
17801 }
17802 else
17803 {
17804 if (((word >> 12) & 0x1) == 0)
a06ea964 17805 {
e9dbdd80 17806 if (((word >> 13) & 0x1) == 0)
a06ea964 17807 {
e9dbdd80 17808 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
17809 {
17810 /* 33222222222211111111110000000000
17811 10987654321098765432109876543210
e9dbdd80
TC
17812 x1011110xx1xxxxxx00001xxxxxxxxxx
17813 add. */
193614f2 17814 return 565;
a06ea964
NC
17815 }
17816 else
17817 {
17818 /* 33222222222211111111110000000000
17819 10987654321098765432109876543210
e9dbdd80
TC
17820 x1011110xx1xxxxxx10001xxxxxxxxxx
17821 sshl. */
193614f2 17822 return 563;
a06ea964
NC
17823 }
17824 }
17825 else
17826 {
e9dbdd80
TC
17827 /* 33222222222211111111110000000000
17828 10987654321098765432109876543210
17829 x1011110xx1xxxxxxx1001xxxxxxxxxx
17830 fcmeq. */
193614f2 17831 return 555;
e9dbdd80
TC
17832 }
17833 }
17834 else
17835 {
17836 if (((word >> 13) & 0x1) == 0)
17837 {
17838 /* 33222222222211111111110000000000
17839 10987654321098765432109876543210
17840 x1011110xx1xxxxxxx0101xxxxxxxxxx
17841 srshl. */
193614f2 17842 return 564;
e9dbdd80
TC
17843 }
17844 else
17845 {
17846 if (((word >> 15) & 0x1) == 0)
a06ea964
NC
17847 {
17848 /* 33222222222211111111110000000000
17849 10987654321098765432109876543210
e9dbdd80
TC
17850 x1011110xx1xxxxx0x1101xxxxxxxxxx
17851 cmgt. */
193614f2 17852 return 561;
a06ea964
NC
17853 }
17854 else
17855 {
17856 /* 33222222222211111111110000000000
17857 10987654321098765432109876543210
e9dbdd80
TC
17858 x1011110xx1xxxxx1x1101xxxxxxxxxx
17859 sqdmulh. */
193614f2 17860 return 552;
a06ea964
NC
17861 }
17862 }
17863 }
17864 }
e9dbdd80
TC
17865 }
17866 else
17867 {
17868 if (((word >> 12) & 0x1) == 0)
17869 {
17870 if (((word >> 13) & 0x1) == 0)
17871 {
17872 if (((word >> 14) & 0x1) == 0)
17873 {
17874 /* 33222222222211111111110000000000
17875 10987654321098765432109876543210
17876 xx111110xx1xxxxxx00001xxxxxxxxxx
17877 sub. */
193614f2 17878 return 586;
e9dbdd80
TC
17879 }
17880 else
17881 {
17882 /* 33222222222211111111110000000000
17883 10987654321098765432109876543210
17884 xx111110xx1xxxxxx10001xxxxxxxxxx
17885 ushl. */
193614f2 17886 return 584;
e9dbdd80
TC
17887 }
17888 }
17889 else
17890 {
17891 if (((word >> 23) & 0x1) == 0)
17892 {
17893 /* 33222222222211111111110000000000
17894 10987654321098765432109876543210
17895 xx1111100x1xxxxxxx1001xxxxxxxxxx
17896 fcmge. */
193614f2 17897 return 572;
e9dbdd80
TC
17898 }
17899 else
17900 {
17901 /* 33222222222211111111110000000000
17902 10987654321098765432109876543210
17903 xx1111101x1xxxxxxx1001xxxxxxxxxx
17904 fcmgt. */
193614f2 17905 return 578;
e9dbdd80
TC
17906 }
17907 }
17908 }
a06ea964
NC
17909 else
17910 {
e9dbdd80 17911 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
17912 {
17913 if (((word >> 15) & 0x1) == 0)
17914 {
e9dbdd80
TC
17915 /* 33222222222211111111110000000000
17916 10987654321098765432109876543210
17917 xx111110xx1xxxxx0x0101xxxxxxxxxx
17918 urshl. */
193614f2 17919 return 585;
a06ea964
NC
17920 }
17921 else
17922 {
17923 /* 33222222222211111111110000000000
17924 10987654321098765432109876543210
e9dbdd80
TC
17925 xx111110xx1xxxxx1x0101xxxxxxxxxx
17926 fabd. */
193614f2 17927 return 576;
a06ea964
NC
17928 }
17929 }
17930 else
17931 {
17932 if (((word >> 15) & 0x1) == 0)
17933 {
e9dbdd80
TC
17934 /* 33222222222211111111110000000000
17935 10987654321098765432109876543210
17936 xx111110xx1xxxxx0x1101xxxxxxxxxx
17937 cmhi. */
193614f2 17938 return 582;
a06ea964
NC
17939 }
17940 else
17941 {
e9dbdd80
TC
17942 /* 33222222222211111111110000000000
17943 10987654321098765432109876543210
17944 xx111110xx1xxxxx1x1101xxxxxxxxxx
17945 sqrdmulh. */
193614f2 17946 return 571;
a06ea964
NC
17947 }
17948 }
17949 }
17950 }
17951 }
17952 else
17953 {
17954 if (((word >> 29) & 0x1) == 0)
17955 {
17956 if (((word >> 30) & 0x1) == 0)
17957 {
17958 /* 33222222222211111111110000000000
17959 10987654321098765432109876543210
7684e580 17960 x0011110xx1xxxxxxxxx11xxxxxxxxxx
a06ea964 17961 fcsel. */
b731bc3b 17962 return 867;
a06ea964
NC
17963 }
17964 else
17965 {
17966 if (((word >> 12) & 0x1) == 0)
17967 {
17968 if (((word >> 13) & 0x1) == 0)
17969 {
17970 if (((word >> 14) & 0x1) == 0)
17971 {
17972 if (((word >> 15) & 0x1) == 0)
17973 {
17974 /* 33222222222211111111110000000000
17975 10987654321098765432109876543210
7684e580 17976 x1011110xx1xxxxx000011xxxxxxxxxx
a06ea964 17977 sqadd. */
193614f2 17978 return 548;
a06ea964
NC
17979 }
17980 else
17981 {
17982 /* 33222222222211111111110000000000
17983 10987654321098765432109876543210
7684e580 17984 x1011110xx1xxxxx100011xxxxxxxxxx
a06ea964 17985 cmtst. */
193614f2 17986 return 566;
a06ea964
NC
17987 }
17988 }
17989 else
17990 {
17991 /* 33222222222211111111110000000000
17992 10987654321098765432109876543210
7684e580 17993 x1011110xx1xxxxxx10011xxxxxxxxxx
a06ea964 17994 sqshl. */
193614f2 17995 return 550;
a06ea964
NC
17996 }
17997 }
17998 else
17999 {
18000 /* 33222222222211111111110000000000
18001 10987654321098765432109876543210
7684e580 18002 x1011110xx1xxxxxxx1011xxxxxxxxxx
a06ea964 18003 sqsub. */
193614f2 18004 return 549;
a06ea964
NC
18005 }
18006 }
18007 else
18008 {
18009 if (((word >> 13) & 0x1) == 0)
18010 {
18011 if (((word >> 15) & 0x1) == 0)
18012 {
18013 /* 33222222222211111111110000000000
18014 10987654321098765432109876543210
7684e580 18015 x1011110xx1xxxxx0x0111xxxxxxxxxx
a06ea964 18016 sqrshl. */
193614f2 18017 return 551;
a06ea964
NC
18018 }
18019 else
18020 {
18021 /* 33222222222211111111110000000000
18022 10987654321098765432109876543210
7684e580 18023 x1011110xx1xxxxx1x0111xxxxxxxxxx
a06ea964 18024 fmulx. */
193614f2 18025 return 553;
a06ea964
NC
18026 }
18027 }
18028 else
18029 {
18030 if (((word >> 14) & 0x1) == 0)
18031 {
18032 /* 33222222222211111111110000000000
18033 10987654321098765432109876543210
7684e580 18034 x1011110xx1xxxxxx01111xxxxxxxxxx
a06ea964 18035 cmge. */
193614f2 18036 return 562;
a06ea964
NC
18037 }
18038 else
18039 {
18040 if (((word >> 23) & 0x1) == 0)
18041 {
18042 /* 33222222222211111111110000000000
18043 10987654321098765432109876543210
7684e580 18044 x10111100x1xxxxxx11111xxxxxxxxxx
a06ea964 18045 frecps. */
193614f2 18046 return 557;
a06ea964
NC
18047 }
18048 else
18049 {
18050 /* 33222222222211111111110000000000
18051 10987654321098765432109876543210
7684e580 18052 x10111101x1xxxxxx11111xxxxxxxxxx
a06ea964 18053 frsqrts. */
193614f2 18054 return 559;
a06ea964
NC
18055 }
18056 }
18057 }
18058 }
18059 }
18060 }
18061 else
18062 {
18063 if (((word >> 12) & 0x1) == 0)
18064 {
18065 if (((word >> 13) & 0x1) == 0)
18066 {
18067 if (((word >> 14) & 0x1) == 0)
18068 {
18069 if (((word >> 15) & 0x1) == 0)
18070 {
18071 /* 33222222222211111111110000000000
18072 10987654321098765432109876543210
7684e580 18073 xx111110xx1xxxxx000011xxxxxxxxxx
a06ea964 18074 uqadd. */
193614f2 18075 return 567;
a06ea964
NC
18076 }
18077 else
18078 {
18079 /* 33222222222211111111110000000000
18080 10987654321098765432109876543210
7684e580 18081 xx111110xx1xxxxx100011xxxxxxxxxx
a06ea964 18082 cmeq. */
193614f2 18083 return 587;
a06ea964
NC
18084 }
18085 }
18086 else
18087 {
18088 /* 33222222222211111111110000000000
18089 10987654321098765432109876543210
7684e580 18090 xx111110xx1xxxxxx10011xxxxxxxxxx
a06ea964 18091 uqshl. */
193614f2 18092 return 569;
a06ea964
NC
18093 }
18094 }
18095 else
18096 {
18097 if (((word >> 14) & 0x1) == 0)
18098 {
18099 /* 33222222222211111111110000000000
18100 10987654321098765432109876543210
7684e580 18101 xx111110xx1xxxxxx01011xxxxxxxxxx
a06ea964 18102 uqsub. */
193614f2 18103 return 568;
a06ea964
NC
18104 }
18105 else
18106 {
18107 if (((word >> 23) & 0x1) == 0)
18108 {
18109 /* 33222222222211111111110000000000
18110 10987654321098765432109876543210
7684e580 18111 xx1111100x1xxxxxx11011xxxxxxxxxx
a06ea964 18112 facge. */
193614f2 18113 return 574;
a06ea964
NC
18114 }
18115 else
18116 {
18117 /* 33222222222211111111110000000000
18118 10987654321098765432109876543210
7684e580 18119 xx1111101x1xxxxxx11011xxxxxxxxxx
a06ea964 18120 facgt. */
193614f2 18121 return 580;
a06ea964
NC
18122 }
18123 }
18124 }
18125 }
18126 else
18127 {
18128 if (((word >> 13) & 0x1) == 0)
18129 {
18130 /* 33222222222211111111110000000000
18131 10987654321098765432109876543210
7684e580 18132 xx111110xx1xxxxxxx0111xxxxxxxxxx
a06ea964 18133 uqrshl. */
193614f2 18134 return 570;
a06ea964
NC
18135 }
18136 else
18137 {
18138 /* 33222222222211111111110000000000
18139 10987654321098765432109876543210
7684e580 18140 xx111110xx1xxxxxxx1111xxxxxxxxxx
a06ea964 18141 cmhs. */
193614f2 18142 return 583;
a06ea964
NC
18143 }
18144 }
18145 }
18146 }
18147 }
18148 }
18149 }
18150 }
18151 else
18152 {
18153 if (((word >> 15) & 0x1) == 0)
18154 {
18155 if (((word >> 28) & 0x1) == 0)
18156 {
18157 if (((word >> 10) & 0x1) == 0)
18158 {
18159 if (((word >> 12) & 0x1) == 0)
18160 {
18161 if (((word >> 13) & 0x1) == 0)
18162 {
18163 if (((word >> 14) & 0x1) == 0)
18164 {
e9dbdd80
TC
18165 if (((word >> 29) & 0x1) == 0)
18166 {
18167 if (((word >> 30) & 0x1) == 0)
18168 {
18169 /* 33222222222211111111110000000000
18170 10987654321098765432109876543210
18171 x0001111xxxxxxxx0000x0xxxxxxxxxx
18172 fmlal. */
fb3265b3 18173 return 2066;
e9dbdd80
TC
18174 }
18175 else
18176 {
18177 /* 33222222222211111111110000000000
18178 10987654321098765432109876543210
18179 x1001111xxxxxxxx0000x0xxxxxxxxxx
18180 fmlal. */
fb3265b3 18181 return 2070;
e9dbdd80
TC
18182 }
18183 }
18184 else
18185 {
18186 /* 33222222222211111111110000000000
18187 10987654321098765432109876543210
18188 xx101111xxxxxxxx0000x0xxxxxxxxxx
18189 mla. */
193614f2 18190 return 119;
e9dbdd80 18191 }
a06ea964
NC
18192 }
18193 else
18194 {
e9dbdd80
TC
18195 if (((word >> 29) & 0x1) == 0)
18196 {
18197 if (((word >> 30) & 0x1) == 0)
18198 {
18199 /* 33222222222211111111110000000000
18200 10987654321098765432109876543210
18201 x0001111xxxxxxxx0100x0xxxxxxxxxx
18202 fmlsl. */
fb3265b3 18203 return 2067;
e9dbdd80
TC
18204 }
18205 else
18206 {
18207 /* 33222222222211111111110000000000
18208 10987654321098765432109876543210
18209 x1001111xxxxxxxx0100x0xxxxxxxxxx
18210 fmlsl. */
fb3265b3 18211 return 2071;
e9dbdd80
TC
18212 }
18213 }
18214 else
18215 {
18216 /* 33222222222211111111110000000000
18217 10987654321098765432109876543210
18218 xx101111xxxxxxxx0100x0xxxxxxxxxx
18219 mls. */
193614f2 18220 return 122;
e9dbdd80 18221 }
a06ea964
NC
18222 }
18223 }
18224 else
18225 {
18226 if (((word >> 14) & 0x1) == 0)
18227 {
18228 if (((word >> 29) & 0x1) == 0)
18229 {
18230 if (((word >> 30) & 0x1) == 0)
18231 {
18232 /* 33222222222211111111110000000000
18233 10987654321098765432109876543210
7684e580 18234 x0001111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 18235 smlal. */
193614f2 18236 return 98;
a06ea964
NC
18237 }
18238 else
18239 {
18240 /* 33222222222211111111110000000000
18241 10987654321098765432109876543210
7684e580 18242 x1001111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 18243 smlal2. */
193614f2 18244 return 99;
a06ea964
NC
18245 }
18246 }
18247 else
18248 {
18249 if (((word >> 30) & 0x1) == 0)
18250 {
18251 /* 33222222222211111111110000000000
18252 10987654321098765432109876543210
7684e580 18253 x0101111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 18254 umlal. */
193614f2 18255 return 120;
a06ea964
NC
18256 }
18257 else
18258 {
18259 /* 33222222222211111111110000000000
18260 10987654321098765432109876543210
7684e580 18261 x1101111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 18262 umlal2. */
193614f2 18263 return 121;
a06ea964
NC
18264 }
18265 }
18266 }
18267 else
18268 {
18269 if (((word >> 29) & 0x1) == 0)
18270 {
18271 if (((word >> 30) & 0x1) == 0)
18272 {
18273 /* 33222222222211111111110000000000
18274 10987654321098765432109876543210
7684e580 18275 x0001111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 18276 smlsl. */
193614f2 18277 return 102;
a06ea964
NC
18278 }
18279 else
18280 {
18281 /* 33222222222211111111110000000000
18282 10987654321098765432109876543210
7684e580 18283 x1001111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 18284 smlsl2. */
193614f2 18285 return 103;
a06ea964
NC
18286 }
18287 }
18288 else
18289 {
18290 if (((word >> 30) & 0x1) == 0)
18291 {
18292 /* 33222222222211111111110000000000
18293 10987654321098765432109876543210
7684e580 18294 x0101111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 18295 umlsl. */
193614f2 18296 return 123;
a06ea964
NC
18297 }
18298 else
18299 {
18300 /* 33222222222211111111110000000000
18301 10987654321098765432109876543210
7684e580 18302 x1101111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 18303 umlsl2. */
193614f2 18304 return 124;
a06ea964
NC
18305 }
18306 }
18307 }
18308 }
18309 }
18310 else
18311 {
c2c4ff8d 18312 if (((word >> 29) & 0x1) == 0)
a06ea964 18313 {
c2c4ff8d 18314 if (((word >> 13) & 0x1) == 0)
a06ea964 18315 {
c2c4ff8d 18316 if (((word >> 14) & 0x1) == 0)
42f23f62 18317 {
c2c4ff8d
SN
18318 if (((word >> 23) & 0x1) == 0)
18319 {
18320 /* 33222222222211111111110000000000
18321 10987654321098765432109876543210
7684e580 18322 xx0011110xxxxxxx0001x0xxxxxxxxxx
c2c4ff8d 18323 fmla. */
193614f2 18324 return 114;
c2c4ff8d
SN
18325 }
18326 else
18327 {
18328 /* 33222222222211111111110000000000
18329 10987654321098765432109876543210
7684e580 18330 xx0011111xxxxxxx0001x0xxxxxxxxxx
c2c4ff8d 18331 fmla. */
193614f2 18332 return 113;
c2c4ff8d 18333 }
42f23f62
MW
18334 }
18335 else
18336 {
c2c4ff8d
SN
18337 if (((word >> 23) & 0x1) == 0)
18338 {
18339 /* 33222222222211111111110000000000
18340 10987654321098765432109876543210
7684e580 18341 xx0011110xxxxxxx0101x0xxxxxxxxxx
c2c4ff8d 18342 fmls. */
193614f2 18343 return 116;
c2c4ff8d
SN
18344 }
18345 else
18346 {
18347 /* 33222222222211111111110000000000
18348 10987654321098765432109876543210
7684e580 18349 xx0011111xxxxxxx0101x0xxxxxxxxxx
c2c4ff8d 18350 fmls. */
193614f2 18351 return 115;
c2c4ff8d 18352 }
42f23f62 18353 }
a06ea964
NC
18354 }
18355 else
18356 {
c2c4ff8d 18357 if (((word >> 14) & 0x1) == 0)
42f23f62 18358 {
c2c4ff8d
SN
18359 if (((word >> 30) & 0x1) == 0)
18360 {
18361 /* 33222222222211111111110000000000
18362 10987654321098765432109876543210
7684e580 18363 x0001111xxxxxxxx0011x0xxxxxxxxxx
c2c4ff8d 18364 sqdmlal. */
193614f2 18365 return 100;
c2c4ff8d
SN
18366 }
18367 else
18368 {
18369 /* 33222222222211111111110000000000
18370 10987654321098765432109876543210
7684e580 18371 x1001111xxxxxxxx0011x0xxxxxxxxxx
c2c4ff8d 18372 sqdmlal2. */
193614f2 18373 return 101;
c2c4ff8d 18374 }
42f23f62
MW
18375 }
18376 else
18377 {
c2c4ff8d
SN
18378 if (((word >> 30) & 0x1) == 0)
18379 {
18380 /* 33222222222211111111110000000000
18381 10987654321098765432109876543210
7684e580 18382 x0001111xxxxxxxx0111x0xxxxxxxxxx
c2c4ff8d 18383 sqdmlsl. */
193614f2 18384 return 104;
c2c4ff8d
SN
18385 }
18386 else
18387 {
18388 /* 33222222222211111111110000000000
18389 10987654321098765432109876543210
7684e580 18390 x1001111xxxxxxxx0111x0xxxxxxxxxx
c2c4ff8d 18391 sqdmlsl2. */
193614f2 18392 return 105;
c2c4ff8d 18393 }
42f23f62 18394 }
a06ea964
NC
18395 }
18396 }
18397 else
18398 {
c2c4ff8d
SN
18399 /* 33222222222211111111110000000000
18400 10987654321098765432109876543210
7684e580 18401 xx101111xxxxxxxx0xx1x0xxxxxxxxxx
c2c4ff8d 18402 fcmla. */
193614f2 18403 return 131;
a06ea964
NC
18404 }
18405 }
18406 }
18407 else
18408 {
18409 if (((word >> 12) & 0x1) == 0)
18410 {
18411 if (((word >> 29) & 0x1) == 0)
18412 {
18413 /* 33222222222211111111110000000000
18414 10987654321098765432109876543210
7684e580 18415 xx001111xxxxxxxx0xx0x1xxxxxxxxxx
a06ea964 18416 movi. */
193614f2 18417 return 133;
a06ea964
NC
18418 }
18419 else
18420 {
18421 /* 33222222222211111111110000000000
18422 10987654321098765432109876543210
7684e580 18423 xx101111xxxxxxxx0xx0x1xxxxxxxxxx
a06ea964 18424 mvni. */
193614f2 18425 return 141;
a06ea964
NC
18426 }
18427 }
18428 else
18429 {
18430 if (((word >> 29) & 0x1) == 0)
18431 {
18432 /* 33222222222211111111110000000000
18433 10987654321098765432109876543210
7684e580 18434 xx001111xxxxxxxx0xx1x1xxxxxxxxxx
a06ea964 18435 orr. */
193614f2 18436 return 134;
a06ea964
NC
18437 }
18438 else
18439 {
18440 /* 33222222222211111111110000000000
18441 10987654321098765432109876543210
7684e580 18442 xx101111xxxxxxxx0xx1x1xxxxxxxxxx
a06ea964 18443 bic. */
193614f2 18444 return 142;
a06ea964
NC
18445 }
18446 }
18447 }
18448 }
18449 else
18450 {
18451 if (((word >> 29) & 0x1) == 0)
18452 {
18453 if (((word >> 30) & 0x1) == 0)
18454 {
18455 if (((word >> 21) & 0x1) == 0)
18456 {
18457 /* 33222222222211111111110000000000
18458 10987654321098765432109876543210
7684e580 18459 x0011111xx0xxxxx0xxxxxxxxxxxxxxx
a06ea964 18460 fmadd. */
b731bc3b 18461 return 857;
a06ea964
NC
18462 }
18463 else
18464 {
18465 /* 33222222222211111111110000000000
18466 10987654321098765432109876543210
7684e580 18467 x0011111xx1xxxxx0xxxxxxxxxxxxxxx
a06ea964 18468 fnmadd. */
b731bc3b 18469 return 861;
a06ea964
NC
18470 }
18471 }
18472 else
18473 {
18474 if (((word >> 10) & 0x1) == 0)
18475 {
18476 if (((word >> 13) & 0x1) == 0)
18477 {
18478 if (((word >> 14) & 0x1) == 0)
18479 {
5f7728b7
MW
18480 if (((word >> 23) & 0x1) == 0)
18481 {
18482 /* 33222222222211111111110000000000
18483 10987654321098765432109876543210
7684e580 18484 x10111110xxxxxxx000xx0xxxxxxxxxx
5f7728b7 18485 fmla. */
193614f2 18486 return 431;
5f7728b7
MW
18487 }
18488 else
18489 {
18490 /* 33222222222211111111110000000000
18491 10987654321098765432109876543210
7684e580 18492 x10111111xxxxxxx000xx0xxxxxxxxxx
5f7728b7 18493 fmla. */
193614f2 18494 return 430;
5f7728b7 18495 }
a06ea964
NC
18496 }
18497 else
18498 {
5f7728b7
MW
18499 if (((word >> 23) & 0x1) == 0)
18500 {
18501 /* 33222222222211111111110000000000
18502 10987654321098765432109876543210
7684e580 18503 x10111110xxxxxxx010xx0xxxxxxxxxx
5f7728b7 18504 fmls. */
193614f2 18505 return 433;
5f7728b7
MW
18506 }
18507 else
18508 {
18509 /* 33222222222211111111110000000000
18510 10987654321098765432109876543210
7684e580 18511 x10111111xxxxxxx010xx0xxxxxxxxxx
5f7728b7 18512 fmls. */
193614f2 18513 return 432;
5f7728b7 18514 }
a06ea964
NC
18515 }
18516 }
18517 else
18518 {
18519 if (((word >> 14) & 0x1) == 0)
18520 {
18521 /* 33222222222211111111110000000000
18522 10987654321098765432109876543210
7684e580 18523 x1011111xxxxxxxx001xx0xxxxxxxxxx
a06ea964 18524 sqdmlal. */
193614f2 18525 return 425;
a06ea964
NC
18526 }
18527 else
18528 {
18529 /* 33222222222211111111110000000000
18530 10987654321098765432109876543210
7684e580 18531 x1011111xxxxxxxx011xx0xxxxxxxxxx
a06ea964 18532 sqdmlsl. */
193614f2 18533 return 426;
a06ea964
NC
18534 }
18535 }
18536 }
18537 else
18538 {
18539 if (((word >> 12) & 0x1) == 0)
18540 {
18541 if (((word >> 13) & 0x1) == 0)
18542 {
18543 /* 33222222222211111111110000000000
18544 10987654321098765432109876543210
7684e580 18545 x1011111xxxxxxxx0x00x1xxxxxxxxxx
a06ea964 18546 sshr. */
193614f2 18547 return 590;
a06ea964
NC
18548 }
18549 else
18550 {
18551 /* 33222222222211111111110000000000
18552 10987654321098765432109876543210
7684e580 18553 x1011111xxxxxxxx0x10x1xxxxxxxxxx
a06ea964 18554 srshr. */
193614f2 18555 return 592;
a06ea964
NC
18556 }
18557 }
18558 else
18559 {
18560 if (((word >> 13) & 0x1) == 0)
18561 {
18562 if (((word >> 14) & 0x1) == 0)
18563 {
18564 /* 33222222222211111111110000000000
18565 10987654321098765432109876543210
7684e580 18566 x1011111xxxxxxxx0001x1xxxxxxxxxx
a06ea964 18567 ssra. */
193614f2 18568 return 591;
a06ea964
NC
18569 }
18570 else
18571 {
18572 /* 33222222222211111111110000000000
18573 10987654321098765432109876543210
7684e580 18574 x1011111xxxxxxxx0101x1xxxxxxxxxx
a06ea964 18575 shl. */
193614f2 18576 return 594;
a06ea964
NC
18577 }
18578 }
18579 else
18580 {
18581 if (((word >> 14) & 0x1) == 0)
18582 {
18583 /* 33222222222211111111110000000000
18584 10987654321098765432109876543210
7684e580 18585 x1011111xxxxxxxx0011x1xxxxxxxxxx
a06ea964 18586 srsra. */
193614f2 18587 return 593;
a06ea964
NC
18588 }
18589 else
18590 {
18591 /* 33222222222211111111110000000000
18592 10987654321098765432109876543210
7684e580 18593 x1011111xxxxxxxx0111x1xxxxxxxxxx
a06ea964 18594 sqshl. */
193614f2 18595 return 595;
a06ea964
NC
18596 }
18597 }
18598 }
18599 }
18600 }
18601 }
18602 else
18603 {
18604 if (((word >> 12) & 0x1) == 0)
18605 {
18606 if (((word >> 13) & 0x1) == 0)
18607 {
18608 if (((word >> 14) & 0x1) == 0)
18609 {
18610 /* 33222222222211111111110000000000
18611 10987654321098765432109876543210
7684e580 18612 xx111111xxxxxxxx0000xxxxxxxxxxxx
a06ea964 18613 ushr. */
193614f2 18614 return 602;
a06ea964
NC
18615 }
18616 else
18617 {
18618 /* 33222222222211111111110000000000
18619 10987654321098765432109876543210
7684e580 18620 xx111111xxxxxxxx0100xxxxxxxxxxxx
a06ea964 18621 sri. */
193614f2 18622 return 606;
a06ea964
NC
18623 }
18624 }
18625 else
18626 {
18627 if (((word >> 14) & 0x1) == 0)
18628 {
18629 /* 33222222222211111111110000000000
18630 10987654321098765432109876543210
7684e580 18631 xx111111xxxxxxxx0010xxxxxxxxxxxx
a06ea964 18632 urshr. */
193614f2 18633 return 604;
a06ea964
NC
18634 }
18635 else
18636 {
18637 /* 33222222222211111111110000000000
18638 10987654321098765432109876543210
7684e580 18639 xx111111xxxxxxxx0110xxxxxxxxxxxx
a06ea964 18640 sqshlu. */
193614f2 18641 return 608;
a06ea964
NC
18642 }
18643 }
18644 }
18645 else
18646 {
18647 if (((word >> 13) & 0x1) == 0)
18648 {
18649 if (((word >> 14) & 0x1) == 0)
18650 {
18651 /* 33222222222211111111110000000000
18652 10987654321098765432109876543210
7684e580 18653 xx111111xxxxxxxx0001xxxxxxxxxxxx
a06ea964 18654 usra. */
193614f2 18655 return 603;
a06ea964
NC
18656 }
18657 else
18658 {
18659 /* 33222222222211111111110000000000
18660 10987654321098765432109876543210
7684e580 18661 xx111111xxxxxxxx0101xxxxxxxxxxxx
a06ea964 18662 sli. */
193614f2 18663 return 607;
a06ea964
NC
18664 }
18665 }
18666 else
18667 {
18668 if (((word >> 14) & 0x1) == 0)
18669 {
18670 /* 33222222222211111111110000000000
18671 10987654321098765432109876543210
7684e580 18672 xx111111xxxxxxxx0011xxxxxxxxxxxx
a06ea964 18673 ursra. */
193614f2 18674 return 605;
a06ea964
NC
18675 }
18676 else
18677 {
18678 /* 33222222222211111111110000000000
18679 10987654321098765432109876543210
7684e580 18680 xx111111xxxxxxxx0111xxxxxxxxxxxx
a06ea964 18681 uqshl. */
193614f2 18682 return 609;
a06ea964
NC
18683 }
18684 }
18685 }
18686 }
18687 }
18688 }
18689 else
18690 {
18691 if (((word >> 28) & 0x1) == 0)
18692 {
ff329288 18693 if (((word >> 10) & 0x1) == 0)
a06ea964 18694 {
ff329288 18695 if (((word >> 12) & 0x1) == 0)
a06ea964 18696 {
ff329288 18697 if (((word >> 13) & 0x1) == 0)
a06ea964 18698 {
ff329288 18699 if (((word >> 14) & 0x1) == 0)
a06ea964 18700 {
e9dbdd80
TC
18701 if (((word >> 29) & 0x1) == 0)
18702 {
18703 /* 33222222222211111111110000000000
18704 10987654321098765432109876543210
18705 xx001111xxxxxxxx1000x0xxxxxxxxxx
18706 mul. */
193614f2 18707 return 106;
e9dbdd80
TC
18708 }
18709 else
18710 {
18711 if (((word >> 30) & 0x1) == 0)
18712 {
18713 /* 33222222222211111111110000000000
18714 10987654321098765432109876543210
18715 x0101111xxxxxxxx1000x0xxxxxxxxxx
18716 fmlal2. */
fb3265b3 18717 return 2068;
e9dbdd80
TC
18718 }
18719 else
18720 {
18721 /* 33222222222211111111110000000000
18722 10987654321098765432109876543210
18723 x1101111xxxxxxxx1000x0xxxxxxxxxx
18724 fmlal2. */
fb3265b3 18725 return 2072;
e9dbdd80
TC
18726 }
18727 }
a06ea964
NC
18728 }
18729 else
ff329288
TC
18730 {
18731 if (((word >> 29) & 0x1) == 0)
18732 {
18733 /* 33222222222211111111110000000000
18734 10987654321098765432109876543210
18735 xx001111xxxxxxxx1100x0xxxxxxxxxx
18736 sqdmulh. */
193614f2 18737 return 111;
ff329288
TC
18738 }
18739 else
18740 {
18741 if (((word >> 30) & 0x1) == 0)
18742 {
18743 /* 33222222222211111111110000000000
18744 10987654321098765432109876543210
18745 x0101111xxxxxxxx1100x0xxxxxxxxxx
18746 fmlsl2. */
fb3265b3 18747 return 2069;
ff329288
TC
18748 }
18749 else
18750 {
18751 /* 33222222222211111111110000000000
18752 10987654321098765432109876543210
18753 x1101111xxxxxxxx1100x0xxxxxxxxxx
18754 fmlsl2. */
fb3265b3 18755 return 2073;
ff329288
TC
18756 }
18757 }
18758 }
18759 }
18760 else
18761 {
18762 if (((word >> 14) & 0x1) == 0)
a06ea964 18763 {
65a55fbb 18764 if (((word >> 29) & 0x1) == 0)
a06ea964 18765 {
65a55fbb
TC
18766 if (((word >> 30) & 0x1) == 0)
18767 {
18768 /* 33222222222211111111110000000000
18769 10987654321098765432109876543210
7684e580 18770 x0001111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 18771 smull. */
193614f2 18772 return 107;
65a55fbb
TC
18773 }
18774 else
18775 {
18776 /* 33222222222211111111110000000000
18777 10987654321098765432109876543210
7684e580 18778 x1001111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 18779 smull2. */
193614f2 18780 return 108;
65a55fbb 18781 }
a06ea964
NC
18782 }
18783 else
18784 {
65a55fbb
TC
18785 if (((word >> 30) & 0x1) == 0)
18786 {
18787 /* 33222222222211111111110000000000
18788 10987654321098765432109876543210
7684e580 18789 x0101111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 18790 umull. */
193614f2 18791 return 125;
65a55fbb
TC
18792 }
18793 else
18794 {
18795 /* 33222222222211111111110000000000
18796 10987654321098765432109876543210
7684e580 18797 x1101111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 18798 umull2. */
193614f2 18799 return 126;
65a55fbb 18800 }
a06ea964
NC
18801 }
18802 }
ff329288
TC
18803 else
18804 {
18805 if (((word >> 29) & 0x1) == 0)
18806 {
18807 /* 33222222222211111111110000000000
18808 10987654321098765432109876543210
18809 xx001111xxxxxxxx1110x0xxxxxxxxxx
18810 sdot. */
fb3265b3 18811 return 2040;
ff329288
TC
18812 }
18813 else
18814 {
18815 /* 33222222222211111111110000000000
18816 10987654321098765432109876543210
18817 xx101111xxxxxxxx1110x0xxxxxxxxxx
18818 udot. */
fb3265b3 18819 return 2039;
ff329288
TC
18820 }
18821 }
a06ea964 18822 }
ff329288
TC
18823 }
18824 else
18825 {
18826 if (((word >> 13) & 0x1) == 0)
a06ea964 18827 {
ff329288 18828 if (((word >> 14) & 0x1) == 0)
a06ea964 18829 {
42f23f62 18830 if (((word >> 23) & 0x1) == 0)
a06ea964 18831 {
42f23f62
MW
18832 if (((word >> 29) & 0x1) == 0)
18833 {
18834 /* 33222222222211111111110000000000
18835 10987654321098765432109876543210
7684e580 18836 xx0011110xxxxxxx1001x0xxxxxxxxxx
42f23f62 18837 fmul. */
193614f2 18838 return 118;
42f23f62
MW
18839 }
18840 else
18841 {
18842 /* 33222222222211111111110000000000
18843 10987654321098765432109876543210
7684e580 18844 xx1011110xxxxxxx1001x0xxxxxxxxxx
42f23f62 18845 fmulx. */
193614f2 18846 return 128;
42f23f62 18847 }
a06ea964
NC
18848 }
18849 else
18850 {
42f23f62
MW
18851 if (((word >> 29) & 0x1) == 0)
18852 {
18853 /* 33222222222211111111110000000000
18854 10987654321098765432109876543210
7684e580 18855 xx0011111xxxxxxx1001x0xxxxxxxxxx
42f23f62 18856 fmul. */
193614f2 18857 return 117;
42f23f62
MW
18858 }
18859 else
18860 {
18861 /* 33222222222211111111110000000000
18862 10987654321098765432109876543210
7684e580 18863 xx1011111xxxxxxx1001x0xxxxxxxxxx
42f23f62 18864 fmulx. */
193614f2 18865 return 127;
42f23f62 18866 }
a06ea964
NC
18867 }
18868 }
18869 else
ff329288
TC
18870 {
18871 if (((word >> 29) & 0x1) == 0)
18872 {
18873 /* 33222222222211111111110000000000
18874 10987654321098765432109876543210
18875 xx001111xxxxxxxx1101x0xxxxxxxxxx
18876 sqrdmulh. */
193614f2 18877 return 112;
ff329288
TC
18878 }
18879 else
18880 {
18881 /* 33222222222211111111110000000000
18882 10987654321098765432109876543210
18883 xx101111xxxxxxxx1101x0xxxxxxxxxx
18884 sqrdmlah. */
193614f2 18885 return 129;
ff329288
TC
18886 }
18887 }
18888 }
18889 else
18890 {
18891 if (((word >> 14) & 0x1) == 0)
a06ea964 18892 {
9e1f0fa7
MW
18893 if (((word >> 30) & 0x1) == 0)
18894 {
18895 /* 33222222222211111111110000000000
18896 10987654321098765432109876543210
7684e580 18897 x0x01111xxxxxxxx1011x0xxxxxxxxxx
9e1f0fa7 18898 sqdmull. */
193614f2 18899 return 109;
9e1f0fa7
MW
18900 }
18901 else
18902 {
18903 /* 33222222222211111111110000000000
18904 10987654321098765432109876543210
7684e580 18905 x1x01111xxxxxxxx1011x0xxxxxxxxxx
9e1f0fa7 18906 sqdmull2. */
193614f2 18907 return 110;
9e1f0fa7 18908 }
a06ea964 18909 }
ff329288
TC
18910 else
18911 {
18912 /* 33222222222211111111110000000000
18913 10987654321098765432109876543210
18914 xxx01111xxxxxxxx1111x0xxxxxxxxxx
18915 sqrdmlsh. */
193614f2 18916 return 130;
ff329288 18917 }
a06ea964
NC
18918 }
18919 }
ff329288
TC
18920 }
18921 else
18922 {
18923 if (((word >> 11) & 0x1) == 0)
a06ea964 18924 {
ff329288 18925 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
18926 {
18927 if (((word >> 12) & 0x1) == 0)
18928 {
18929 if (((word >> 29) & 0x1) == 0)
18930 {
18931 /* 33222222222211111111110000000000
18932 10987654321098765432109876543210
7684e580 18933 xx001111xxxxxxxx10x001xxxxxxxxxx
a06ea964 18934 movi. */
193614f2 18935 return 135;
a06ea964
NC
18936 }
18937 else
18938 {
18939 /* 33222222222211111111110000000000
18940 10987654321098765432109876543210
7684e580 18941 xx101111xxxxxxxx10x001xxxxxxxxxx
a06ea964 18942 mvni. */
193614f2 18943 return 143;
a06ea964
NC
18944 }
18945 }
18946 else
18947 {
18948 if (((word >> 29) & 0x1) == 0)
18949 {
18950 /* 33222222222211111111110000000000
18951 10987654321098765432109876543210
7684e580 18952 xx001111xxxxxxxx10x101xxxxxxxxxx
a06ea964 18953 orr. */
193614f2 18954 return 136;
a06ea964
NC
18955 }
18956 else
18957 {
18958 /* 33222222222211111111110000000000
18959 10987654321098765432109876543210
7684e580 18960 xx101111xxxxxxxx10x101xxxxxxxxxx
a06ea964 18961 bic. */
193614f2 18962 return 144;
a06ea964
NC
18963 }
18964 }
18965 }
18966 else
18967 {
ff329288 18968 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
18969 {
18970 if (((word >> 29) & 0x1) == 0)
18971 {
ff329288
TC
18972 /* 33222222222211111111110000000000
18973 10987654321098765432109876543210
18974 xx001111xxxxxxxx110x01xxxxxxxxxx
18975 movi. */
193614f2 18976 return 137;
a06ea964
NC
18977 }
18978 else
18979 {
ff329288
TC
18980 /* 33222222222211111111110000000000
18981 10987654321098765432109876543210
18982 xx101111xxxxxxxx110x01xxxxxxxxxx
18983 mvni. */
193614f2 18984 return 145;
a06ea964
NC
18985 }
18986 }
18987 else
18988 {
ff329288 18989 if (((word >> 12) & 0x1) == 0)
a06ea964 18990 {
ff329288 18991 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
18992 {
18993 /* 33222222222211111111110000000000
18994 10987654321098765432109876543210
ff329288
TC
18995 xx001111xxxxxxxx111001xxxxxxxxxx
18996 movi. */
193614f2 18997 return 138;
a06ea964
NC
18998 }
18999 else
19000 {
19001 /* 33222222222211111111110000000000
19002 10987654321098765432109876543210
ff329288
TC
19003 xx101111xxxxxxxx111001xxxxxxxxxx
19004 movi. */
193614f2 19005 return 146;
a06ea964
NC
19006 }
19007 }
19008 else
19009 {
ff329288 19010 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
19011 {
19012 /* 33222222222211111111110000000000
19013 10987654321098765432109876543210
ff329288
TC
19014 xx001111xxxxxxxx111101xxxxxxxxxx
19015 fmov. */
193614f2 19016 return 139;
a06ea964
NC
19017 }
19018 else
19019 {
19020 /* 33222222222211111111110000000000
19021 10987654321098765432109876543210
ff329288
TC
19022 xx101111xxxxxxxx111101xxxxxxxxxx
19023 fmov. */
193614f2 19024 return 148;
a06ea964
NC
19025 }
19026 }
19027 }
19028 }
19029 }
ff329288 19030 else
a06ea964 19031 {
ff329288 19032 if (((word >> 12) & 0x1) == 0)
a06ea964 19033 {
ff329288 19034 if (((word >> 29) & 0x1) == 0)
a06ea964 19035 {
ff329288 19036 if (((word >> 30) & 0x1) == 0)
e9dbdd80
TC
19037 {
19038 /* 33222222222211111111110000000000
19039 10987654321098765432109876543210
ff329288
TC
19040 x0001111xxxxxxxx1xx011xxxxxxxxxx
19041 rshrn. */
193614f2 19042 return 382;
e9dbdd80
TC
19043 }
19044 else
19045 {
ff329288
TC
19046 /* 33222222222211111111110000000000
19047 10987654321098765432109876543210
19048 x1001111xxxxxxxx1xx011xxxxxxxxxx
19049 rshrn2. */
193614f2 19050 return 383;
e9dbdd80 19051 }
a06ea964
NC
19052 }
19053 else
19054 {
ff329288 19055 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
19056 {
19057 /* 33222222222211111111110000000000
19058 10987654321098765432109876543210
ff329288
TC
19059 x0101111xxxxxxxx1xx011xxxxxxxxxx
19060 sqrshrun. */
193614f2 19061 return 406;
a06ea964
NC
19062 }
19063 else
19064 {
19065 /* 33222222222211111111110000000000
19066 10987654321098765432109876543210
ff329288
TC
19067 x1101111xxxxxxxx1xx011xxxxxxxxxx
19068 sqrshrun2. */
193614f2 19069 return 407;
a06ea964
NC
19070 }
19071 }
19072 }
19073 else
19074 {
ff329288 19075 if (((word >> 13) & 0x1) == 0)
65a55fbb 19076 {
ff329288 19077 if (((word >> 29) & 0x1) == 0)
a06ea964 19078 {
ff329288 19079 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
19080 {
19081 /* 33222222222211111111110000000000
19082 10987654321098765432109876543210
ff329288
TC
19083 x0001111xxxxxxxx1x0111xxxxxxxxxx
19084 sqrshrn. */
193614f2 19085 return 386;
a06ea964
NC
19086 }
19087 else
19088 {
19089 /* 33222222222211111111110000000000
19090 10987654321098765432109876543210
ff329288
TC
19091 x1001111xxxxxxxx1x0111xxxxxxxxxx
19092 sqrshrn2. */
193614f2 19093 return 387;
a06ea964
NC
19094 }
19095 }
19096 else
19097 {
ff329288 19098 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
19099 {
19100 /* 33222222222211111111110000000000
19101 10987654321098765432109876543210
ff329288
TC
19102 x0101111xxxxxxxx1x0111xxxxxxxxxx
19103 uqrshrn. */
193614f2 19104 return 410;
a06ea964
NC
19105 }
19106 else
19107 {
19108 /* 33222222222211111111110000000000
19109 10987654321098765432109876543210
ff329288
TC
19110 x1101111xxxxxxxx1x0111xxxxxxxxxx
19111 uqrshrn2. */
193614f2 19112 return 411;
a06ea964
NC
19113 }
19114 }
19115 }
ff329288
TC
19116 else
19117 {
19118 if (((word >> 29) & 0x1) == 0)
19119 {
19120 /* 33222222222211111111110000000000
19121 10987654321098765432109876543210
19122 xx001111xxxxxxxx1x1111xxxxxxxxxx
19123 fmov. */
193614f2 19124 return 140;
ff329288
TC
19125 }
19126 else
19127 {
19128 /* 33222222222211111111110000000000
19129 10987654321098765432109876543210
19130 xx101111xxxxxxxx1x1111xxxxxxxxxx
19131 fcvtzu. */
193614f2 19132 return 418;
ff329288
TC
19133 }
19134 }
a06ea964
NC
19135 }
19136 }
19137 }
19138 }
19139 else
19140 {
19141 if (((word >> 29) & 0x1) == 0)
19142 {
19143 if (((word >> 30) & 0x1) == 0)
19144 {
19145 if (((word >> 21) & 0x1) == 0)
19146 {
19147 /* 33222222222211111111110000000000
19148 10987654321098765432109876543210
7684e580 19149 x0011111xx0xxxxx1xxxxxxxxxxxxxxx
a06ea964 19150 fmsub. */
b731bc3b 19151 return 859;
a06ea964
NC
19152 }
19153 else
19154 {
19155 /* 33222222222211111111110000000000
19156 10987654321098765432109876543210
7684e580 19157 x0011111xx1xxxxx1xxxxxxxxxxxxxxx
a06ea964 19158 fnmsub. */
b731bc3b 19159 return 863;
a06ea964
NC
19160 }
19161 }
19162 else
19163 {
19164 if (((word >> 10) & 0x1) == 0)
19165 {
19166 if (((word >> 12) & 0x1) == 0)
19167 {
19168 /* 33222222222211111111110000000000
19169 10987654321098765432109876543210
7684e580 19170 x1011111xxxxxxxx1xx0x0xxxxxxxxxx
a06ea964 19171 sqdmulh. */
193614f2 19172 return 428;
a06ea964
NC
19173 }
19174 else
19175 {
19176 if (((word >> 13) & 0x1) == 0)
19177 {
19178 if (((word >> 14) & 0x1) == 0)
19179 {
5f7728b7
MW
19180 if (((word >> 23) & 0x1) == 0)
19181 {
19182 /* 33222222222211111111110000000000
19183 10987654321098765432109876543210
7684e580 19184 x10111110xxxxxxx1001x0xxxxxxxxxx
5f7728b7 19185 fmul. */
193614f2 19186 return 435;
5f7728b7
MW
19187 }
19188 else
19189 {
19190 /* 33222222222211111111110000000000
19191 10987654321098765432109876543210
7684e580 19192 x10111111xxxxxxx1001x0xxxxxxxxxx
5f7728b7 19193 fmul. */
193614f2 19194 return 434;
5f7728b7 19195 }
a06ea964
NC
19196 }
19197 else
19198 {
19199 /* 33222222222211111111110000000000
19200 10987654321098765432109876543210
7684e580 19201 x1011111xxxxxxxx1101x0xxxxxxxxxx
a06ea964 19202 sqrdmulh. */
193614f2 19203 return 429;
a06ea964
NC
19204 }
19205 }
19206 else
19207 {
19208 /* 33222222222211111111110000000000
19209 10987654321098765432109876543210
7684e580 19210 x1011111xxxxxxxx1x11x0xxxxxxxxxx
a06ea964 19211 sqdmull. */
193614f2 19212 return 427;
a06ea964
NC
19213 }
19214 }
19215 }
19216 else
19217 {
19218 if (((word >> 11) & 0x1) == 0)
19219 {
19220 if (((word >> 12) & 0x1) == 0)
19221 {
19222 /* 33222222222211111111110000000000
19223 10987654321098765432109876543210
7684e580 19224 x1011111xxxxxxxx1xx001xxxxxxxxxx
a06ea964 19225 scvtf. */
193614f2 19226 return 598;
a06ea964
NC
19227 }
19228 else
19229 {
19230 /* 33222222222211111111110000000000
19231 10987654321098765432109876543210
7684e580 19232 x1011111xxxxxxxx1xx101xxxxxxxxxx
a06ea964 19233 sqshrn. */
193614f2 19234 return 596;
a06ea964
NC
19235 }
19236 }
19237 else
19238 {
19239 if (((word >> 13) & 0x1) == 0)
19240 {
19241 /* 33222222222211111111110000000000
19242 10987654321098765432109876543210
7684e580 19243 x1011111xxxxxxxx1x0x11xxxxxxxxxx
a06ea964 19244 sqrshrn. */
193614f2 19245 return 597;
a06ea964
NC
19246 }
19247 else
19248 {
19249 /* 33222222222211111111110000000000
19250 10987654321098765432109876543210
7684e580 19251 x1011111xxxxxxxx1x1x11xxxxxxxxxx
a06ea964 19252 fcvtzs. */
193614f2 19253 return 600;
a06ea964
NC
19254 }
19255 }
19256 }
19257 }
19258 }
19259 else
19260 {
19261 if (((word >> 10) & 0x1) == 0)
19262 {
9e1f0fa7
MW
19263 if (((word >> 13) & 0x1) == 0)
19264 {
19265 if (((word >> 14) & 0x1) == 0)
19266 {
5f7728b7
MW
19267 if (((word >> 23) & 0x1) == 0)
19268 {
19269 /* 33222222222211111111110000000000
19270 10987654321098765432109876543210
7684e580 19271 xx1111110xxxxxxx100xx0xxxxxxxxxx
5f7728b7 19272 fmulx. */
193614f2 19273 return 437;
5f7728b7
MW
19274 }
19275 else
19276 {
19277 /* 33222222222211111111110000000000
19278 10987654321098765432109876543210
7684e580 19279 xx1111111xxxxxxx100xx0xxxxxxxxxx
5f7728b7 19280 fmulx. */
193614f2 19281 return 436;
5f7728b7 19282 }
9e1f0fa7
MW
19283 }
19284 else
19285 {
19286 /* 33222222222211111111110000000000
19287 10987654321098765432109876543210
7684e580 19288 xx111111xxxxxxxx110xx0xxxxxxxxxx
9e1f0fa7 19289 sqrdmlah. */
193614f2 19290 return 438;
9e1f0fa7
MW
19291 }
19292 }
19293 else
19294 {
19295 /* 33222222222211111111110000000000
19296 10987654321098765432109876543210
7684e580 19297 xx111111xxxxxxxx1x1xx0xxxxxxxxxx
9e1f0fa7 19298 sqrdmlsh. */
193614f2 19299 return 439;
9e1f0fa7 19300 }
a06ea964
NC
19301 }
19302 else
19303 {
19304 if (((word >> 11) & 0x1) == 0)
19305 {
19306 if (((word >> 12) & 0x1) == 0)
19307 {
19308 if (((word >> 13) & 0x1) == 0)
19309 {
19310 /* 33222222222211111111110000000000
19311 10987654321098765432109876543210
7684e580 19312 xx111111xxxxxxxx1x0001xxxxxxxxxx
a06ea964 19313 sqshrun. */
193614f2 19314 return 610;
a06ea964
NC
19315 }
19316 else
19317 {
19318 /* 33222222222211111111110000000000
19319 10987654321098765432109876543210
7684e580 19320 xx111111xxxxxxxx1x1001xxxxxxxxxx
a06ea964 19321 ucvtf. */
193614f2 19322 return 614;
a06ea964
NC
19323 }
19324 }
19325 else
19326 {
19327 /* 33222222222211111111110000000000
19328 10987654321098765432109876543210
7684e580 19329 xx111111xxxxxxxx1xx101xxxxxxxxxx
a06ea964 19330 uqshrn. */
193614f2 19331 return 612;
a06ea964
NC
19332 }
19333 }
19334 else
19335 {
19336 if (((word >> 12) & 0x1) == 0)
19337 {
19338 /* 33222222222211111111110000000000
19339 10987654321098765432109876543210
7684e580 19340 xx111111xxxxxxxx1xx011xxxxxxxxxx
a06ea964 19341 sqrshrun. */
193614f2 19342 return 611;
a06ea964
NC
19343 }
19344 else
19345 {
19346 if (((word >> 13) & 0x1) == 0)
19347 {
19348 /* 33222222222211111111110000000000
19349 10987654321098765432109876543210
7684e580 19350 xx111111xxxxxxxx1x0111xxxxxxxxxx
a06ea964 19351 uqrshrn. */
193614f2 19352 return 613;
a06ea964
NC
19353 }
19354 else
19355 {
19356 /* 33222222222211111111110000000000
19357 10987654321098765432109876543210
7684e580 19358 xx111111xxxxxxxx1x1111xxxxxxxxxx
a06ea964 19359 fcvtzu. */
193614f2 19360 return 616;
a06ea964
NC
19361 }
19362 }
19363 }
19364 }
19365 }
19366 }
19367 }
19368 }
19369 }
19370 }
19371 }
19372}
19373
19374/* Lookup opcode WORD in the opcode table. N.B. all alias
19375 opcodes are ignored here. */
19376
19377const aarch64_opcode *
19378aarch64_opcode_lookup (uint32_t word)
19379{
19380 return aarch64_opcode_table + aarch64_opcode_lookup_1 (word);
19381}
19382
19383const aarch64_opcode *
19384aarch64_find_next_opcode (const aarch64_opcode *opcode)
19385{
19386 /* Use the index as the key to locate the next opcode. */
19387 int key = opcode - aarch64_opcode_table;
19388 int value;
19389 switch (key)
19390 {
193614f2
SD
19391 case 12: value = 19; break; /* add --> addg. */
19392 case 19: return NULL; /* addg --> NULL. */
19393 case 16: value = 20; break; /* sub --> subg. */
19394 case 20: return NULL; /* subg --> NULL. */
fb3265b3
SD
19395 case 966: value = 970; break; /* stnp --> stp. */
19396 case 970: return NULL; /* stp --> NULL. */
19397 case 964: value = 965; break; /* stllrb --> stllrh. */
19398 case 965: return NULL; /* stllrh --> NULL. */
19399 case 967: value = 971; break; /* ldnp --> ldp. */
19400 case 971: return NULL; /* ldp --> NULL. */
19401 case 1616: value = 1617; break; /* ldff1b --> ldff1b. */
19402 case 1617: return NULL; /* ldff1b --> NULL. */
19403 case 1672: value = 1673; break; /* ldff1sw --> ldff1sw. */
19404 case 1673: return NULL; /* ldff1sw --> NULL. */
19405 case 1620: value = 1621; break; /* ldff1b --> ldff1b. */
19406 case 1621: return NULL; /* ldff1b --> NULL. */
19407 case 1639: value = 1640; break; /* ldff1h --> ldff1h. */
19408 case 1640: return NULL; /* ldff1h --> NULL. */
19409 case 1618: value = 1619; break; /* ldff1b --> ldff1b. */
19410 case 1619: return NULL; /* ldff1b --> NULL. */
19411 case 1637: value = 1638; break; /* ldff1h --> ldff1h. */
19412 case 1638: return NULL; /* ldff1h --> NULL. */
19413 case 1622: value = 1623; break; /* ldff1b --> ldff1b. */
19414 case 1623: return NULL; /* ldff1b --> NULL. */
19415 case 1641: value = 1642; break; /* ldff1h --> ldff1h. */
19416 case 1642: return NULL; /* ldff1h --> NULL. */
19417 case 1662: value = 1663; break; /* ldff1sh --> ldff1sh. */
19418 case 1663: return NULL; /* ldff1sh --> NULL. */
19419 case 1650: value = 1651; break; /* ldff1sb --> ldff1sb. */
19420 case 1651: return NULL; /* ldff1sb --> NULL. */
19421 case 1681: value = 1682; break; /* ldff1w --> ldff1w. */
19422 case 1682: return NULL; /* ldff1w --> NULL. */
19423 case 1654: value = 1655; break; /* ldff1sb --> ldff1sb. */
19424 case 1655: return NULL; /* ldff1sb --> NULL. */
19425 case 1664: value = 1665; break; /* ldff1sh --> ldff1sh. */
19426 case 1665: return NULL; /* ldff1sh --> NULL. */
19427 case 1652: value = 1653; break; /* ldff1sb --> ldff1sb. */
19428 case 1653: return NULL; /* ldff1sb --> NULL. */
19429 case 1683: value = 1684; break; /* ldff1w --> ldff1w. */
19430 case 1684: return NULL; /* ldff1w --> NULL. */
19431 case 1628: value = 1629; break; /* ldff1d --> ldff1d. */
19432 case 1629: return NULL; /* ldff1d --> NULL. */
b731bc3b 19433 case 810: value = 811; break; /* xaflag --> axflag. */
fb3265b3
SD
19434 case 811: value = 1184; break; /* axflag --> msr. */
19435 case 1184: value = 1185; break; /* msr --> hint. */
19436 case 1185: value = 1201; break; /* hint --> clrex. */
19437 case 1201: value = 1202; break; /* clrex --> dsb. */
19438 case 1202: value = 1205; break; /* dsb --> dmb. */
19439 case 1205: value = 1206; break; /* dmb --> isb. */
19440 case 1206: value = 1207; break; /* isb --> sb. */
19441 case 1207: value = 1208; break; /* sb --> sys. */
19442 case 1208: value = 1216; break; /* sys --> msr. */
19443 case 1216: value = 2074; break; /* msr --> cfinv. */
19444 case 2074: return NULL; /* cfinv --> NULL. */
19445 case 1217: value = 1218; break; /* sysl --> mrs. */
19446 case 1218: return NULL; /* mrs --> NULL. */
193614f2
SD
19447 case 440: value = 441; break; /* st4 --> st1. */
19448 case 441: value = 442; break; /* st1 --> st2. */
19449 case 442: value = 443; break; /* st2 --> st3. */
19450 case 443: return NULL; /* st3 --> NULL. */
19451 case 448: value = 449; break; /* st4 --> st1. */
19452 case 449: value = 450; break; /* st1 --> st2. */
19453 case 450: value = 451; break; /* st2 --> st3. */
19454 case 451: return NULL; /* st3 --> NULL. */
19455 case 444: value = 445; break; /* ld4 --> ld1. */
19456 case 445: value = 446; break; /* ld1 --> ld2. */
19457 case 446: value = 447; break; /* ld2 --> ld3. */
19458 case 447: return NULL; /* ld3 --> NULL. */
19459 case 460: value = 462; break; /* ld1 --> ld1r. */
19460 case 462: return NULL; /* ld1r --> NULL. */
19461 case 464: value = 466; break; /* ld2 --> ld2r. */
19462 case 466: return NULL; /* ld2r --> NULL. */
19463 case 461: value = 463; break; /* ld3 --> ld3r. */
19464 case 463: return NULL; /* ld3r --> NULL. */
19465 case 465: value = 467; break; /* ld4 --> ld4r. */
19466 case 467: return NULL; /* ld4r --> NULL. */
19467 case 452: value = 453; break; /* ld4 --> ld1. */
19468 case 453: value = 454; break; /* ld1 --> ld2. */
19469 case 454: value = 455; break; /* ld2 --> ld3. */
19470 case 455: return NULL; /* ld3 --> NULL. */
19471 case 472: value = 474; break; /* ld1 --> ld1r. */
19472 case 474: return NULL; /* ld1r --> NULL. */
19473 case 473: value = 475; break; /* ld3 --> ld3r. */
19474 case 475: return NULL; /* ld3r --> NULL. */
19475 case 476: value = 478; break; /* ld2 --> ld2r. */
19476 case 478: return NULL; /* ld2r --> NULL. */
19477 case 477: value = 479; break; /* ld4 --> ld4r. */
19478 case 479: return NULL; /* ld4r --> NULL. */
b731bc3b
SD
19479 case 763: value = 764; break; /* fcvtzs --> fcvtzs. */
19480 case 764: return NULL; /* fcvtzs --> NULL. */
19481 case 759: value = 760; break; /* scvtf --> scvtf. */
19482 case 760: return NULL; /* scvtf --> NULL. */
19483 case 765: value = 766; break; /* fcvtzu --> fcvtzu. */
19484 case 766: return NULL; /* fcvtzu --> NULL. */
19485 case 761: value = 762; break; /* ucvtf --> ucvtf. */
19486 case 762: return NULL; /* ucvtf --> NULL. */
19487 case 767: value = 768; break; /* fcvtns --> fcvtns. */
19488 case 768: return NULL; /* fcvtns --> NULL. */
19489 case 787: value = 788; break; /* fcvtms --> fcvtms. */
19490 case 788: return NULL; /* fcvtms --> NULL. */
19491 case 783: value = 784; break; /* fcvtps --> fcvtps. */
19492 case 784: return NULL; /* fcvtps --> NULL. */
19493 case 791: value = 792; break; /* fcvtzs --> fcvtzs. */
19494 case 792: return NULL; /* fcvtzs --> NULL. */
19495 case 775: value = 776; break; /* fcvtas --> fcvtas. */
19496 case 776: return NULL; /* fcvtas --> NULL. */
19497 case 771: value = 772; break; /* scvtf --> scvtf. */
19498 case 772: return NULL; /* scvtf --> NULL. */
19499 case 779: value = 780; break; /* fmov --> fmov. */
19500 case 780: return NULL; /* fmov --> NULL. */
19501 case 769: value = 770; break; /* fcvtnu --> fcvtnu. */
19502 case 770: return NULL; /* fcvtnu --> NULL. */
19503 case 789: value = 790; break; /* fcvtmu --> fcvtmu. */
19504 case 790: return NULL; /* fcvtmu --> NULL. */
19505 case 785: value = 786; break; /* fcvtpu --> fcvtpu. */
19506 case 786: return NULL; /* fcvtpu --> NULL. */
19507 case 793: value = 794; break; /* fcvtzu --> fcvtzu. */
19508 case 794: return NULL; /* fcvtzu --> NULL. */
19509 case 777: value = 778; break; /* fcvtau --> fcvtau. */
19510 case 778: return NULL; /* fcvtau --> NULL. */
19511 case 773: value = 774; break; /* ucvtf --> ucvtf. */
19512 case 774: return NULL; /* ucvtf --> NULL. */
19513 case 781: value = 782; break; /* fmov --> fmov. */
19514 case 782: return NULL; /* fmov --> NULL. */
19515 case 816: value = 817; break; /* fmov --> fmov. */
19516 case 817: return NULL; /* fmov --> NULL. */
19517 case 825: value = 826; break; /* frintn --> frintn. */
19518 case 826: return NULL; /* frintn --> NULL. */
19519 case 820: value = 821; break; /* fneg --> fneg. */
19520 case 821: return NULL; /* fneg --> NULL. */
19521 case 829: value = 830; break; /* frintm --> frintm. */
19522 case 830: return NULL; /* frintm --> NULL. */
19523 case 818: value = 819; break; /* fabs --> fabs. */
19524 case 819: return NULL; /* fabs --> NULL. */
19525 case 827: value = 828; break; /* frintp --> frintp. */
19526 case 828: return NULL; /* frintp --> NULL. */
19527 case 822: value = 823; break; /* fsqrt --> fsqrt. */
19528 case 823: return NULL; /* fsqrt --> NULL. */
19529 case 831: value = 832; break; /* frintz --> frintz. */
19530 case 832: return NULL; /* frintz --> NULL. */
19531 case 833: value = 834; break; /* frinta --> frinta. */
19532 case 834: return NULL; /* frinta --> NULL. */
19533 case 835: value = 836; break; /* frintx --> frintx. */
19534 case 836: return NULL; /* frintx --> NULL. */
19535 case 837: value = 838; break; /* frinti --> frinti. */
19536 case 838: return NULL; /* frinti --> NULL. */
19537 case 802: value = 803; break; /* fcmp --> fcmp. */
19538 case 803: return NULL; /* fcmp --> NULL. */
19539 case 804: value = 805; break; /* fcmpe --> fcmpe. */
19540 case 805: return NULL; /* fcmpe --> NULL. */
19541 case 806: value = 807; break; /* fcmp --> fcmp. */
19542 case 807: return NULL; /* fcmp --> NULL. */
19543 case 808: value = 809; break; /* fcmpe --> fcmpe. */
19544 case 809: return NULL; /* fcmpe --> NULL. */
19545 case 865: value = 866; break; /* fmov --> fmov. */
19546 case 866: return NULL; /* fmov --> NULL. */
19547 case 839: value = 840; break; /* fmul --> fmul. */
19548 case 840: return NULL; /* fmul --> NULL. */
19549 case 855: value = 856; break; /* fnmul --> fnmul. */
19550 case 856: return NULL; /* fnmul --> NULL. */
19551 case 847: value = 848; break; /* fmax --> fmax. */
19552 case 848: return NULL; /* fmax --> NULL. */
19553 case 843: value = 844; break; /* fadd --> fadd. */
19554 case 844: return NULL; /* fadd --> NULL. */
19555 case 851: value = 852; break; /* fmaxnm --> fmaxnm. */
19556 case 852: return NULL; /* fmaxnm --> NULL. */
19557 case 841: value = 842; break; /* fdiv --> fdiv. */
19558 case 842: return NULL; /* fdiv --> NULL. */
19559 case 849: value = 850; break; /* fmin --> fmin. */
19560 case 850: return NULL; /* fmin --> NULL. */
19561 case 845: value = 846; break; /* fsub --> fsub. */
19562 case 846: return NULL; /* fsub --> NULL. */
19563 case 853: value = 854; break; /* fminnm --> fminnm. */
19564 case 854: return NULL; /* fminnm --> NULL. */
19565 case 798: value = 799; break; /* fccmp --> fccmp. */
19566 case 799: return NULL; /* fccmp --> NULL. */
19567 case 800: value = 801; break; /* fccmpe --> fccmpe. */
19568 case 801: return NULL; /* fccmpe --> NULL. */
19569 case 867: value = 868; break; /* fcsel --> fcsel. */
19570 case 868: return NULL; /* fcsel --> NULL. */
193614f2
SD
19571 case 133: value = 374; break; /* movi --> sshr. */
19572 case 374: value = 376; break; /* sshr --> srshr. */
19573 case 376: return NULL; /* srshr --> NULL. */
19574 case 141: value = 396; break; /* mvni --> ushr. */
19575 case 396: value = 398; break; /* ushr --> urshr. */
19576 case 398: value = 400; break; /* urshr --> sri. */
19577 case 400: value = 402; break; /* sri --> sqshlu. */
19578 case 402: return NULL; /* sqshlu --> NULL. */
19579 case 134: value = 375; break; /* orr --> ssra. */
19580 case 375: value = 377; break; /* ssra --> srsra. */
19581 case 377: value = 378; break; /* srsra --> shl. */
19582 case 378: value = 379; break; /* shl --> sqshl. */
19583 case 379: return NULL; /* sqshl --> NULL. */
19584 case 142: value = 397; break; /* bic --> usra. */
19585 case 397: value = 399; break; /* usra --> ursra. */
19586 case 399: value = 401; break; /* ursra --> sli. */
19587 case 401: value = 403; break; /* sli --> uqshl. */
19588 case 403: return NULL; /* uqshl --> NULL. */
b731bc3b
SD
19589 case 857: value = 858; break; /* fmadd --> fmadd. */
19590 case 858: return NULL; /* fmadd --> NULL. */
19591 case 861: value = 862; break; /* fnmadd --> fnmadd. */
19592 case 862: return NULL; /* fnmadd --> NULL. */
193614f2
SD
19593 case 135: value = 380; break; /* movi --> shrn. */
19594 case 380: value = 381; break; /* shrn --> shrn2. */
19595 case 381: value = 388; break; /* shrn2 --> sshll. */
19596 case 388: value = 390; break; /* sshll --> sshll2. */
19597 case 390: return NULL; /* sshll2 --> NULL. */
19598 case 143: value = 404; break; /* mvni --> sqshrun. */
19599 case 404: value = 405; break; /* sqshrun --> sqshrun2. */
19600 case 405: value = 412; break; /* sqshrun2 --> ushll. */
19601 case 412: value = 414; break; /* ushll --> ushll2. */
19602 case 414: return NULL; /* ushll2 --> NULL. */
19603 case 136: value = 384; break; /* orr --> sqshrn. */
19604 case 384: value = 385; break; /* sqshrn --> sqshrn2. */
19605 case 385: return NULL; /* sqshrn2 --> NULL. */
19606 case 144: value = 408; break; /* bic --> uqshrn. */
19607 case 408: value = 409; break; /* uqshrn --> uqshrn2. */
19608 case 409: return NULL; /* uqshrn2 --> NULL. */
19609 case 138: value = 392; break; /* movi --> scvtf. */
19610 case 392: value = 393; break; /* scvtf --> scvtf. */
19611 case 393: return NULL; /* scvtf --> NULL. */
19612 case 146: value = 147; break; /* movi --> movi. */
19613 case 147: value = 416; break; /* movi --> ucvtf. */
19614 case 416: value = 417; break; /* ucvtf --> ucvtf. */
19615 case 417: return NULL; /* ucvtf --> NULL. */
19616 case 140: value = 394; break; /* fmov --> fcvtzs. */
19617 case 394: value = 395; break; /* fcvtzs --> fcvtzs. */
19618 case 395: return NULL; /* fcvtzs --> NULL. */
19619 case 418: value = 419; break; /* fcvtzu --> fcvtzu. */
19620 case 419: return NULL; /* fcvtzu --> NULL. */
b731bc3b
SD
19621 case 859: value = 860; break; /* fmsub --> fmsub. */
19622 case 860: return NULL; /* fmsub --> NULL. */
19623 case 863: value = 864; break; /* fnmsub --> fnmsub. */
19624 case 864: return NULL; /* fnmsub --> NULL. */
193614f2
SD
19625 case 598: value = 599; break; /* scvtf --> scvtf. */
19626 case 599: return NULL; /* scvtf --> NULL. */
19627 case 600: value = 601; break; /* fcvtzs --> fcvtzs. */
19628 case 601: return NULL; /* fcvtzs --> NULL. */
19629 case 614: value = 615; break; /* ucvtf --> ucvtf. */
19630 case 615: return NULL; /* ucvtf --> NULL. */
19631 case 616: value = 617; break; /* fcvtzu --> fcvtzu. */
19632 case 617: return NULL; /* fcvtzu --> NULL. */
a06ea964
NC
19633 default: return NULL;
19634 }
19635
19636 return aarch64_opcode_table + value;
19637}
19638
19639const aarch64_opcode *
19640aarch64_find_alias_opcode (const aarch64_opcode *opcode)
19641{
19642 /* Use the index as the key to locate the alias opcode. */
19643 int key = opcode - aarch64_opcode_table;
19644 int value;
19645 switch (key)
19646 {
19647 case 2: value = 3; break; /* sbc --> ngc. */
19648 case 4: value = 5; break; /* sbcs --> ngcs. */
19649 case 7: value = 8; break; /* adds --> cmn. */
19650 case 10: value = 11; break; /* subs --> cmp. */
19651 case 12: value = 13; break; /* add --> mov. */
19652 case 14: value = 15; break; /* adds --> cmn. */
19653 case 17: value = 18; break; /* subs --> cmp. */
193614f2
SD
19654 case 22: value = 23; break; /* adds --> cmn. */
19655 case 24: value = 25; break; /* sub --> neg. */
19656 case 26: value = 27; break; /* subs --> cmp. */
19657 case 152: value = 153; break; /* umov --> mov. */
c2c4ff8d 19658 case 154: value = 155; break; /* ins --> mov. */
193614f2
SD
19659 case 156: value = 157; break; /* ins --> mov. */
19660 case 242: value = 243; break; /* not --> mvn. */
19661 case 317: value = 318; break; /* orr --> mov. */
19662 case 388: value = 389; break; /* sshll --> sxtl. */
19663 case 390: value = 391; break; /* sshll2 --> sxtl2. */
19664 case 412: value = 413; break; /* ushll --> uxtl. */
19665 case 414: value = 415; break; /* ushll2 --> uxtl2. */
19666 case 535: value = 536; break; /* dup --> mov. */
19667 case 618: value = 623; break; /* sbfm --> sxtw. */
19668 case 625: value = 627; break; /* bfm --> bfc. */
19669 case 629: value = 633; break; /* ubfm --> uxth. */
19670 case 663: value = 665; break; /* csinc --> cset. */
19671 case 666: value = 668; break; /* csinv --> csetm. */
19672 case 669: value = 670; break; /* csneg --> cneg. */
19673 case 688: value = 688; break; /* rev --> rev. */
19674 case 713: value = 714; break; /* lslv --> lsl. */
19675 case 715: value = 716; break; /* lsrv --> lsr. */
19676 case 717: value = 718; break; /* asrv --> asr. */
19677 case 719: value = 720; break; /* rorv --> ror. */
b731bc3b
SD
19678 case 722: value = 723; break; /* subps --> cmpp. */
19679 case 735: value = 736; break; /* madd --> mul. */
19680 case 737: value = 738; break; /* msub --> mneg. */
19681 case 739: value = 740; break; /* smaddl --> smull. */
19682 case 741: value = 742; break; /* smsubl --> smnegl. */
19683 case 744: value = 745; break; /* umaddl --> umull. */
19684 case 746: value = 747; break; /* umsubl --> umnegl. */
19685 case 757: value = 758; break; /* extr --> ror. */
fb3265b3
SD
19686 case 986: value = 987; break; /* and --> bic. */
19687 case 988: value = 989; break; /* orr --> mov. */
19688 case 991: value = 992; break; /* ands --> tst. */
19689 case 995: value = 997; break; /* orr --> uxtw. */
19690 case 998: value = 999; break; /* orn --> mvn. */
19691 case 1002: value = 1003; break; /* ands --> tst. */
19692 case 1033: value = 1129; break; /* ldaddb --> staddb. */
19693 case 1034: value = 1130; break; /* ldaddh --> staddh. */
19694 case 1035: value = 1131; break; /* ldadd --> stadd. */
19695 case 1037: value = 1132; break; /* ldaddlb --> staddlb. */
19696 case 1040: value = 1133; break; /* ldaddlh --> staddlh. */
19697 case 1043: value = 1134; break; /* ldaddl --> staddl. */
19698 case 1045: value = 1135; break; /* ldclrb --> stclrb. */
19699 case 1046: value = 1136; break; /* ldclrh --> stclrh. */
19700 case 1047: value = 1137; break; /* ldclr --> stclr. */
19701 case 1049: value = 1138; break; /* ldclrlb --> stclrlb. */
19702 case 1052: value = 1139; break; /* ldclrlh --> stclrlh. */
19703 case 1055: value = 1140; break; /* ldclrl --> stclrl. */
19704 case 1057: value = 1141; break; /* ldeorb --> steorb. */
19705 case 1058: value = 1142; break; /* ldeorh --> steorh. */
19706 case 1059: value = 1143; break; /* ldeor --> steor. */
19707 case 1061: value = 1144; break; /* ldeorlb --> steorlb. */
19708 case 1064: value = 1145; break; /* ldeorlh --> steorlh. */
19709 case 1067: value = 1146; break; /* ldeorl --> steorl. */
19710 case 1069: value = 1147; break; /* ldsetb --> stsetb. */
19711 case 1070: value = 1148; break; /* ldseth --> stseth. */
19712 case 1071: value = 1149; break; /* ldset --> stset. */
19713 case 1073: value = 1150; break; /* ldsetlb --> stsetlb. */
19714 case 1076: value = 1151; break; /* ldsetlh --> stsetlh. */
19715 case 1079: value = 1152; break; /* ldsetl --> stsetl. */
19716 case 1081: value = 1153; break; /* ldsmaxb --> stsmaxb. */
19717 case 1082: value = 1154; break; /* ldsmaxh --> stsmaxh. */
19718 case 1083: value = 1155; break; /* ldsmax --> stsmax. */
19719 case 1085: value = 1156; break; /* ldsmaxlb --> stsmaxlb. */
19720 case 1088: value = 1157; break; /* ldsmaxlh --> stsmaxlh. */
19721 case 1091: value = 1158; break; /* ldsmaxl --> stsmaxl. */
19722 case 1093: value = 1159; break; /* ldsminb --> stsminb. */
19723 case 1094: value = 1160; break; /* ldsminh --> stsminh. */
19724 case 1095: value = 1161; break; /* ldsmin --> stsmin. */
19725 case 1097: value = 1162; break; /* ldsminlb --> stsminlb. */
19726 case 1100: value = 1163; break; /* ldsminlh --> stsminlh. */
19727 case 1103: value = 1164; break; /* ldsminl --> stsminl. */
19728 case 1105: value = 1165; break; /* ldumaxb --> stumaxb. */
19729 case 1106: value = 1166; break; /* ldumaxh --> stumaxh. */
19730 case 1107: value = 1167; break; /* ldumax --> stumax. */
19731 case 1109: value = 1168; break; /* ldumaxlb --> stumaxlb. */
19732 case 1112: value = 1169; break; /* ldumaxlh --> stumaxlh. */
19733 case 1115: value = 1170; break; /* ldumaxl --> stumaxl. */
19734 case 1117: value = 1171; break; /* lduminb --> stuminb. */
19735 case 1118: value = 1172; break; /* lduminh --> stuminh. */
19736 case 1119: value = 1173; break; /* ldumin --> stumin. */
19737 case 1121: value = 1174; break; /* lduminlb --> stuminlb. */
19738 case 1124: value = 1175; break; /* lduminlh --> stuminlh. */
19739 case 1127: value = 1176; break; /* lduminl --> stuminl. */
19740 case 1177: value = 1178; break; /* movn --> mov. */
19741 case 1179: value = 1180; break; /* movz --> mov. */
19742 case 1185: value = 1226; break; /* hint --> autibsp. */
19743 case 1202: value = 1204; break; /* dsb --> pssbb. */
19744 case 1208: value = 1215; break; /* sys --> cpp. */
19745 case 1274: value = 2024; break; /* and --> bic. */
19746 case 1276: value = 1257; break; /* and --> mov. */
19747 case 1277: value = 1261; break; /* ands --> movs. */
19748 case 1312: value = 2025; break; /* cmpge --> cmple. */
19749 case 1315: value = 2028; break; /* cmpgt --> cmplt. */
19750 case 1317: value = 2026; break; /* cmphi --> cmplo. */
19751 case 1320: value = 2027; break; /* cmphs --> cmpls. */
19752 case 1342: value = 1254; break; /* cpy --> mov. */
19753 case 1343: value = 1256; break; /* cpy --> mov. */
19754 case 1344: value = 2035; break; /* cpy --> fmov. */
19755 case 1356: value = 1249; break; /* dup --> mov. */
19756 case 1357: value = 1251; break; /* dup --> mov. */
19757 case 1358: value = 2034; break; /* dup --> fmov. */
19758 case 1359: value = 1252; break; /* dupm --> mov. */
19759 case 1361: value = 2029; break; /* eor --> eon. */
19760 case 1363: value = 1262; break; /* eor --> not. */
19761 case 1364: value = 1263; break; /* eors --> nots. */
19762 case 1369: value = 2030; break; /* facge --> facle. */
19763 case 1370: value = 2031; break; /* facgt --> faclt. */
19764 case 1383: value = 2032; break; /* fcmge --> fcmle. */
19765 case 1385: value = 2033; break; /* fcmgt --> fcmlt. */
19766 case 1391: value = 1246; break; /* fcpy --> fmov. */
19767 case 1414: value = 1245; break; /* fdup --> fmov. */
19768 case 1745: value = 1247; break; /* orr --> mov. */
19769 case 1746: value = 2036; break; /* orr --> orn. */
19770 case 1748: value = 1250; break; /* orr --> mov. */
19771 case 1749: value = 1260; break; /* orrs --> movs. */
19772 case 1811: value = 1255; break; /* sel --> mov. */
19773 case 1812: value = 1258; break; /* sel --> mov. */
a06ea964
NC
19774 default: return NULL;
19775 }
19776
19777 return aarch64_opcode_table + value;
19778}
19779
19780const aarch64_opcode *
19781aarch64_find_next_alias_opcode (const aarch64_opcode *opcode)
19782{
19783 /* Use the index as the key to locate the next opcode. */
19784 int key = opcode - aarch64_opcode_table;
19785 int value;
19786 switch (key)
19787 {
35822b38
MW
19788 case 3: value = 2; break; /* ngc --> sbc. */
19789 case 5: value = 4; break; /* ngcs --> sbcs. */
19790 case 8: value = 7; break; /* cmn --> adds. */
19791 case 11: value = 10; break; /* cmp --> subs. */
19792 case 13: value = 12; break; /* mov --> add. */
19793 case 15: value = 14; break; /* cmn --> adds. */
19794 case 18: value = 17; break; /* cmp --> subs. */
193614f2
SD
19795 case 23: value = 22; break; /* cmn --> adds. */
19796 case 25: value = 24; break; /* neg --> sub. */
19797 case 27: value = 28; break; /* cmp --> negs. */
19798 case 28: value = 26; break; /* negs --> subs. */
19799 case 153: value = 152; break; /* mov --> umov. */
c2c4ff8d 19800 case 155: value = 154; break; /* mov --> ins. */
193614f2
SD
19801 case 157: value = 156; break; /* mov --> ins. */
19802 case 243: value = 242; break; /* mvn --> not. */
19803 case 318: value = 317; break; /* mov --> orr. */
19804 case 389: value = 388; break; /* sxtl --> sshll. */
19805 case 391: value = 390; break; /* sxtl2 --> sshll2. */
19806 case 413: value = 412; break; /* uxtl --> ushll. */
19807 case 415: value = 414; break; /* uxtl2 --> ushll2. */
19808 case 536: value = 535; break; /* mov --> dup. */
19809 case 623: value = 622; break; /* sxtw --> sxth. */
19810 case 622: value = 621; break; /* sxth --> sxtb. */
19811 case 621: value = 624; break; /* sxtb --> asr. */
19812 case 624: value = 620; break; /* asr --> sbfx. */
19813 case 620: value = 619; break; /* sbfx --> sbfiz. */
19814 case 619: value = 618; break; /* sbfiz --> sbfm. */
19815 case 627: value = 628; break; /* bfc --> bfxil. */
19816 case 628: value = 626; break; /* bfxil --> bfi. */
19817 case 626: value = 625; break; /* bfi --> bfm. */
19818 case 633: value = 632; break; /* uxth --> uxtb. */
19819 case 632: value = 635; break; /* uxtb --> lsr. */
19820 case 635: value = 634; break; /* lsr --> lsl. */
19821 case 634: value = 631; break; /* lsl --> ubfx. */
19822 case 631: value = 630; break; /* ubfx --> ubfiz. */
19823 case 630: value = 629; break; /* ubfiz --> ubfm. */
19824 case 665: value = 664; break; /* cset --> cinc. */
19825 case 664: value = 663; break; /* cinc --> csinc. */
19826 case 668: value = 667; break; /* csetm --> cinv. */
19827 case 667: value = 666; break; /* cinv --> csinv. */
19828 case 670: value = 669; break; /* cneg --> csneg. */
19829 case 688: value = 689; break; /* rev --> rev64. */
19830 case 714: value = 713; break; /* lsl --> lslv. */
19831 case 716: value = 715; break; /* lsr --> lsrv. */
19832 case 718: value = 717; break; /* asr --> asrv. */
19833 case 720: value = 719; break; /* ror --> rorv. */
b731bc3b
SD
19834 case 723: value = 722; break; /* cmpp --> subps. */
19835 case 736: value = 735; break; /* mul --> madd. */
19836 case 738: value = 737; break; /* mneg --> msub. */
19837 case 740: value = 739; break; /* smull --> smaddl. */
19838 case 742: value = 741; break; /* smnegl --> smsubl. */
19839 case 745: value = 744; break; /* umull --> umaddl. */
19840 case 747: value = 746; break; /* umnegl --> umsubl. */
19841 case 758: value = 757; break; /* ror --> extr. */
fb3265b3
SD
19842 case 987: value = 986; break; /* bic --> and. */
19843 case 989: value = 988; break; /* mov --> orr. */
19844 case 992: value = 991; break; /* tst --> ands. */
19845 case 997: value = 996; break; /* uxtw --> mov. */
19846 case 996: value = 995; break; /* mov --> orr. */
19847 case 999: value = 998; break; /* mvn --> orn. */
19848 case 1003: value = 1002; break; /* tst --> ands. */
19849 case 1129: value = 1033; break; /* staddb --> ldaddb. */
19850 case 1130: value = 1034; break; /* staddh --> ldaddh. */
19851 case 1131: value = 1035; break; /* stadd --> ldadd. */
19852 case 1132: value = 1037; break; /* staddlb --> ldaddlb. */
19853 case 1133: value = 1040; break; /* staddlh --> ldaddlh. */
19854 case 1134: value = 1043; break; /* staddl --> ldaddl. */
19855 case 1135: value = 1045; break; /* stclrb --> ldclrb. */
19856 case 1136: value = 1046; break; /* stclrh --> ldclrh. */
19857 case 1137: value = 1047; break; /* stclr --> ldclr. */
19858 case 1138: value = 1049; break; /* stclrlb --> ldclrlb. */
19859 case 1139: value = 1052; break; /* stclrlh --> ldclrlh. */
19860 case 1140: value = 1055; break; /* stclrl --> ldclrl. */
19861 case 1141: value = 1057; break; /* steorb --> ldeorb. */
19862 case 1142: value = 1058; break; /* steorh --> ldeorh. */
19863 case 1143: value = 1059; break; /* steor --> ldeor. */
19864 case 1144: value = 1061; break; /* steorlb --> ldeorlb. */
19865 case 1145: value = 1064; break; /* steorlh --> ldeorlh. */
19866 case 1146: value = 1067; break; /* steorl --> ldeorl. */
19867 case 1147: value = 1069; break; /* stsetb --> ldsetb. */
19868 case 1148: value = 1070; break; /* stseth --> ldseth. */
19869 case 1149: value = 1071; break; /* stset --> ldset. */
19870 case 1150: value = 1073; break; /* stsetlb --> ldsetlb. */
19871 case 1151: value = 1076; break; /* stsetlh --> ldsetlh. */
19872 case 1152: value = 1079; break; /* stsetl --> ldsetl. */
19873 case 1153: value = 1081; break; /* stsmaxb --> ldsmaxb. */
19874 case 1154: value = 1082; break; /* stsmaxh --> ldsmaxh. */
19875 case 1155: value = 1083; break; /* stsmax --> ldsmax. */
19876 case 1156: value = 1085; break; /* stsmaxlb --> ldsmaxlb. */
19877 case 1157: value = 1088; break; /* stsmaxlh --> ldsmaxlh. */
19878 case 1158: value = 1091; break; /* stsmaxl --> ldsmaxl. */
19879 case 1159: value = 1093; break; /* stsminb --> ldsminb. */
19880 case 1160: value = 1094; break; /* stsminh --> ldsminh. */
19881 case 1161: value = 1095; break; /* stsmin --> ldsmin. */
19882 case 1162: value = 1097; break; /* stsminlb --> ldsminlb. */
19883 case 1163: value = 1100; break; /* stsminlh --> ldsminlh. */
19884 case 1164: value = 1103; break; /* stsminl --> ldsminl. */
19885 case 1165: value = 1105; break; /* stumaxb --> ldumaxb. */
19886 case 1166: value = 1106; break; /* stumaxh --> ldumaxh. */
19887 case 1167: value = 1107; break; /* stumax --> ldumax. */
19888 case 1168: value = 1109; break; /* stumaxlb --> ldumaxlb. */
19889 case 1169: value = 1112; break; /* stumaxlh --> ldumaxlh. */
19890 case 1170: value = 1115; break; /* stumaxl --> ldumaxl. */
19891 case 1171: value = 1117; break; /* stuminb --> lduminb. */
19892 case 1172: value = 1118; break; /* stuminh --> lduminh. */
19893 case 1173: value = 1119; break; /* stumin --> ldumin. */
19894 case 1174: value = 1121; break; /* stuminlb --> lduminlb. */
19895 case 1175: value = 1124; break; /* stuminlh --> lduminlh. */
19896 case 1176: value = 1127; break; /* stuminl --> lduminl. */
19897 case 1178: value = 1177; break; /* mov --> movn. */
19898 case 1180: value = 1179; break; /* mov --> movz. */
19899 case 1226: value = 1225; break; /* autibsp --> autibz. */
19900 case 1225: value = 1224; break; /* autibz --> autiasp. */
19901 case 1224: value = 1223; break; /* autiasp --> autiaz. */
19902 case 1223: value = 1222; break; /* autiaz --> pacibsp. */
19903 case 1222: value = 1221; break; /* pacibsp --> pacibz. */
19904 case 1221: value = 1220; break; /* pacibz --> paciasp. */
19905 case 1220: value = 1219; break; /* paciasp --> paciaz. */
19906 case 1219: value = 1200; break; /* paciaz --> psb. */
19907 case 1200: value = 1199; break; /* psb --> esb. */
19908 case 1199: value = 1198; break; /* esb --> autib1716. */
19909 case 1198: value = 1197; break; /* autib1716 --> autia1716. */
19910 case 1197: value = 1196; break; /* autia1716 --> pacib1716. */
19911 case 1196: value = 1195; break; /* pacib1716 --> pacia1716. */
19912 case 1195: value = 1194; break; /* pacia1716 --> xpaclri. */
19913 case 1194: value = 1193; break; /* xpaclri --> sevl. */
19914 case 1193: value = 1192; break; /* sevl --> sev. */
19915 case 1192: value = 1191; break; /* sev --> wfi. */
19916 case 1191: value = 1190; break; /* wfi --> wfe. */
19917 case 1190: value = 1189; break; /* wfe --> yield. */
19918 case 1189: value = 1188; break; /* yield --> bti. */
19919 case 1188: value = 1187; break; /* bti --> csdb. */
19920 case 1187: value = 1186; break; /* csdb --> nop. */
19921 case 1186: value = 1185; break; /* nop --> hint. */
19922 case 1204: value = 1203; break; /* pssbb --> ssbb. */
19923 case 1203: value = 1202; break; /* ssbb --> dsb. */
19924 case 1215: value = 1214; break; /* cpp --> dvp. */
19925 case 1214: value = 1213; break; /* dvp --> cfp. */
19926 case 1213: value = 1212; break; /* cfp --> tlbi. */
19927 case 1212: value = 1211; break; /* tlbi --> ic. */
19928 case 1211: value = 1210; break; /* ic --> dc. */
19929 case 1210: value = 1209; break; /* dc --> at. */
19930 case 1209: value = 1208; break; /* at --> sys. */
19931 case 2024: value = 1274; break; /* bic --> and. */
19932 case 1257: value = 1276; break; /* mov --> and. */
19933 case 1261: value = 1277; break; /* movs --> ands. */
19934 case 2025: value = 1312; break; /* cmple --> cmpge. */
19935 case 2028: value = 1315; break; /* cmplt --> cmpgt. */
19936 case 2026: value = 1317; break; /* cmplo --> cmphi. */
19937 case 2027: value = 1320; break; /* cmpls --> cmphs. */
19938 case 1254: value = 1342; break; /* mov --> cpy. */
19939 case 1256: value = 1343; break; /* mov --> cpy. */
19940 case 2035: value = 1259; break; /* fmov --> mov. */
19941 case 1259: value = 1344; break; /* mov --> cpy. */
19942 case 1249: value = 1356; break; /* mov --> dup. */
19943 case 1251: value = 1248; break; /* mov --> mov. */
19944 case 1248: value = 1357; break; /* mov --> dup. */
19945 case 2034: value = 1253; break; /* fmov --> mov. */
19946 case 1253: value = 1358; break; /* mov --> dup. */
19947 case 1252: value = 1359; break; /* mov --> dupm. */
19948 case 2029: value = 1361; break; /* eon --> eor. */
19949 case 1262: value = 1363; break; /* not --> eor. */
19950 case 1263: value = 1364; break; /* nots --> eors. */
19951 case 2030: value = 1369; break; /* facle --> facge. */
19952 case 2031: value = 1370; break; /* faclt --> facgt. */
19953 case 2032: value = 1383; break; /* fcmle --> fcmge. */
19954 case 2033: value = 1385; break; /* fcmlt --> fcmgt. */
19955 case 1246: value = 1391; break; /* fmov --> fcpy. */
19956 case 1245: value = 1414; break; /* fmov --> fdup. */
19957 case 1247: value = 1745; break; /* mov --> orr. */
19958 case 2036: value = 1746; break; /* orn --> orr. */
19959 case 1250: value = 1748; break; /* mov --> orr. */
19960 case 1260: value = 1749; break; /* movs --> orrs. */
19961 case 1255: value = 1811; break; /* mov --> sel. */
19962 case 1258: value = 1812; break; /* mov --> sel. */
a06ea964
NC
19963 default: return NULL;
19964 }
19965
19966 return aarch64_opcode_table + value;
19967}
19968
561a72d4 19969bfd_boolean
a06ea964
NC
19970aarch64_extract_operand (const aarch64_operand *self,
19971 aarch64_opnd_info *info,
561a72d4
TC
19972 aarch64_insn code, const aarch64_inst *inst,
19973 aarch64_operand_error *errors)
a06ea964
NC
19974{
19975 /* Use the index as the key. */
19976 int key = self - aarch64_operands;
19977 switch (key)
19978 {
19979 case 1:
19980 case 2:
19981 case 3:
19982 case 4:
19983 case 5:
19984 case 6:
19985 case 7:
19986 case 9:
19987 case 10:
c84364ec 19988 case 11:
a06ea964
NC
19989 case 15:
19990 case 16:
ee804238 19991 case 17:
c84364ec 19992 case 18:
a06ea964
NC
19993 case 20:
19994 case 21:
19995 case 22:
19996 case 23:
19997 case 24:
19998 case 25:
19999 case 26:
ee804238 20000 case 27:
c84364ec 20001 case 28:
f42f1a1d 20002 case 29:
f42f1a1d
TC
20003 case 159:
20004 case 160:
c8d59609 20005 case 161:
369c9167 20006 case 162:
2ac435d4 20007 case 163:
ff605452 20008 case 164:
193614f2
SD
20009 case 165:
20010 case 166:
fb3265b3
SD
20011 case 167:
20012 case 168:
582e12bf 20013 case 181:
c8d59609 20014 case 182:
369c9167 20015 case 183:
2ac435d4 20016 case 184:
ff605452 20017 case 185:
193614f2
SD
20018 case 186:
20019 case 187:
fb3265b3
SD
20020 case 188:
20021 case 189:
20022 case 193:
20023 case 196:
561a72d4 20024 return aarch64_ext_regno (self, info, code, inst, errors);
a06ea964 20025 case 8:
561a72d4 20026 return aarch64_ext_regrt_sysins (self, info, code, inst, errors);
a06ea964 20027 case 12:
561a72d4 20028 return aarch64_ext_regno_pair (self, info, code, inst, errors);
ee804238 20029 case 13:
561a72d4 20030 return aarch64_ext_reg_extended (self, info, code, inst, errors);
c84364ec 20031 case 14:
561a72d4 20032 return aarch64_ext_reg_shifted (self, info, code, inst, errors);
c84364ec 20033 case 19:
561a72d4 20034 return aarch64_ext_ft (self, info, code, inst, errors);
a06ea964 20035 case 30:
a06ea964 20036 case 31:
a06ea964 20037 case 32:
a06ea964 20038 case 33:
fb3265b3 20039 case 198:
369c9167 20040 return aarch64_ext_reglane (self, info, code, inst, errors);
ee804238 20041 case 34:
369c9167 20042 return aarch64_ext_reglist (self, info, code, inst, errors);
c84364ec 20043 case 35:
369c9167 20044 return aarch64_ext_ldst_reglist (self, info, code, inst, errors);
a6a51754 20045 case 36:
369c9167 20046 return aarch64_ext_ldst_reglist_r (self, info, code, inst, errors);
a6a51754 20047 case 37:
369c9167 20048 return aarch64_ext_ldst_elemlist (self, info, code, inst, errors);
c84364ec 20049 case 38:
f42f1a1d
TC
20050 case 39:
20051 case 40:
369c9167 20052 case 41:
a06ea964
NC
20053 case 51:
20054 case 52:
20055 case 53:
20056 case 54:
20057 case 55:
20058 case 56:
20059 case 57:
ee804238 20060 case 58:
e950b345 20061 case 59:
c84364ec 20062 case 60:
f42f1a1d
TC
20063 case 61:
20064 case 62:
20065 case 63:
369c9167 20066 case 64:
193614f2
SD
20067 case 65:
20068 case 66:
f42f1a1d
TC
20069 case 77:
20070 case 78:
369c9167 20071 case 79:
193614f2
SD
20072 case 80:
20073 case 81:
193614f2 20074 case 156:
fb3265b3 20075 case 158:
c8d59609 20076 case 173:
369c9167 20077 case 174:
2ac435d4 20078 case 175:
ff605452 20079 case 176:
193614f2
SD
20080 case 177:
20081 case 178:
fb3265b3
SD
20082 case 179:
20083 case 180:
561a72d4 20084 return aarch64_ext_imm (self, info, code, inst, errors);
a06ea964 20085 case 42:
ee804238 20086 case 43:
369c9167 20087 return aarch64_ext_advsimd_imm_shift (self, info, code, inst, errors);
c84364ec 20088 case 44:
f42f1a1d 20089 case 45:
f42f1a1d 20090 case 46:
369c9167
TC
20091 return aarch64_ext_advsimd_imm_modified (self, info, code, inst, errors);
20092 case 47:
561a72d4 20093 return aarch64_ext_shll_imm (self, info, code, inst, errors);
369c9167 20094 case 50:
fb3265b3 20095 case 147:
561a72d4 20096 return aarch64_ext_fpimm (self, info, code, inst, errors);
193614f2 20097 case 67:
fb3265b3 20098 case 154:
369c9167 20099 return aarch64_ext_limm (self, info, code, inst, errors);
193614f2 20100 case 68:
369c9167 20101 return aarch64_ext_aimm (self, info, code, inst, errors);
193614f2 20102 case 69:
369c9167 20103 return aarch64_ext_imm_half (self, info, code, inst, errors);
c2c4ff8d 20104 case 70:
193614f2 20105 return aarch64_ext_fbits (self, info, code, inst, errors);
f42f1a1d
TC
20106 case 72:
20107 case 73:
fb3265b3 20108 case 152:
193614f2 20109 return aarch64_ext_imm_rotate2 (self, info, code, inst, errors);
369c9167 20110 case 74:
fb3265b3 20111 case 151:
193614f2
SD
20112 return aarch64_ext_imm_rotate1 (self, info, code, inst, errors);
20113 case 75:
20114 case 76:
561a72d4 20115 return aarch64_ext_cond (self, info, code, inst, errors);
a06ea964 20116 case 82:
fb3265b3 20117 case 91:
193614f2 20118 return aarch64_ext_addr_simple (self, info, code, inst, errors);
f42f1a1d 20119 case 83:
193614f2 20120 return aarch64_ext_addr_regoff (self, info, code, inst, errors);
a06ea964 20121 case 84:
a06ea964 20122 case 85:
369c9167 20123 case 86:
fb3265b3
SD
20124 case 88:
20125 case 90:
193614f2
SD
20126 return aarch64_ext_addr_simm (self, info, code, inst, errors);
20127 case 87:
20128 return aarch64_ext_addr_simm10 (self, info, code, inst, errors);
fb3265b3 20129 case 89:
193614f2 20130 return aarch64_ext_addr_uimm12 (self, info, code, inst, errors);
4df068de 20131 case 92:
fb3265b3 20132 return aarch64_ext_addr_offset (self, info, code, inst, errors);
4df068de 20133 case 93:
fb3265b3 20134 return aarch64_ext_simd_addr_post (self, info, code, inst, errors);
4df068de 20135 case 94:
fb3265b3 20136 return aarch64_ext_sysreg (self, info, code, inst, errors);
4df068de 20137 case 95:
fb3265b3 20138 return aarch64_ext_pstatefield (self, info, code, inst, errors);
4df068de
RS
20139 case 96:
20140 case 97:
20141 case 98:
20142 case 99:
20143 case 100:
fb3265b3 20144 return aarch64_ext_sysins_op (self, info, code, inst, errors);
4df068de
RS
20145 case 101:
20146 case 102:
fb3265b3 20147 return aarch64_ext_barrier (self, info, code, inst, errors);
4df068de 20148 case 103:
fb3265b3 20149 return aarch64_ext_prfop (self, info, code, inst, errors);
4df068de 20150 case 104:
4df068de 20151 case 105:
fb3265b3 20152 return aarch64_ext_hint (self, info, code, inst, errors);
f11ad6bc 20153 case 106:
fb3265b3 20154 return aarch64_ext_sve_addr_ri_s4 (self, info, code, inst, errors);
2442d846 20155 case 107:
4df068de 20156 case 108:
2442d846 20157 case 109:
4df068de 20158 case 110:
fb3265b3 20159 return aarch64_ext_sve_addr_ri_s4xvl (self, info, code, inst, errors);
4df068de 20160 case 111:
fb3265b3 20161 return aarch64_ext_sve_addr_ri_s6xvl (self, info, code, inst, errors);
4df068de 20162 case 112:
fb3265b3 20163 return aarch64_ext_sve_addr_ri_s9xvl (self, info, code, inst, errors);
4df068de
RS
20164 case 113:
20165 case 114:
20166 case 115:
20167 case 116:
fb3265b3 20168 return aarch64_ext_sve_addr_ri_u6 (self, info, code, inst, errors);
4df068de 20169 case 117:
4df068de 20170 case 118:
4df068de 20171 case 119:
98907a70 20172 case 120:
4df068de 20173 case 121:
98907a70 20174 case 122:
98907a70 20175 case 123:
98907a70 20176 case 124:
98907a70 20177 case 125:
e950b345 20178 case 126:
98907a70 20179 case 127:
e950b345 20180 case 128:
c84364ec 20181 case 129:
fb3265b3 20182 return aarch64_ext_sve_addr_rr_lsl (self, info, code, inst, errors);
3f06e550 20183 case 130:
c2c4ff8d 20184 case 131:
165d4950 20185 case 132:
e950b345 20186 case 133:
582e12bf 20187 case 134:
f42f1a1d 20188 case 135:
c2c4ff8d 20189 case 136:
c2c4ff8d 20190 case 137:
fb3265b3 20191 return aarch64_ext_sve_addr_rz_xtw (self, info, code, inst, errors);
c2c4ff8d 20192 case 138:
c8d59609 20193 case 139:
369c9167 20194 case 140:
2ac435d4 20195 case 141:
fb3265b3 20196 return aarch64_ext_sve_addr_zi_u5 (self, info, code, inst, errors);
ff605452 20197 case 142:
fb3265b3 20198 return aarch64_ext_sve_addr_zz_lsl (self, info, code, inst, errors);
193614f2 20199 case 143:
fb3265b3 20200 return aarch64_ext_sve_addr_zz_sxtw (self, info, code, inst, errors);
369c9167 20201 case 144:
fb3265b3
SD
20202 return aarch64_ext_sve_addr_zz_uxtw (self, info, code, inst, errors);
20203 case 145:
20204 return aarch64_ext_sve_aimm (self, info, code, inst, errors);
193614f2 20205 case 146:
fb3265b3
SD
20206 return aarch64_ext_sve_asimm (self, info, code, inst, errors);
20207 case 148:
ff605452 20208 return aarch64_ext_sve_float_half_one (self, info, code, inst, errors);
fb3265b3 20209 case 149:
ff605452 20210 return aarch64_ext_sve_float_half_two (self, info, code, inst, errors);
fb3265b3 20211 case 150:
561a72d4 20212 return aarch64_ext_sve_float_zero_one (self, info, code, inst, errors);
ff605452 20213 case 153:
fb3265b3 20214 return aarch64_ext_inv_limm (self, info, code, inst, errors);
193614f2 20215 case 155:
fb3265b3
SD
20216 return aarch64_ext_sve_limm_mov (self, info, code, inst, errors);
20217 case 157:
561a72d4 20218 return aarch64_ext_sve_scale (self, info, code, inst, errors);
193614f2
SD
20219 case 169:
20220 case 170:
fb3265b3
SD
20221 return aarch64_ext_sve_shlimm (self, info, code, inst, errors);
20222 case 171:
20223 case 172:
561a72d4 20224 return aarch64_ext_sve_shrimm (self, info, code, inst, errors);
2ac435d4 20225 case 190:
fb3265b3 20226 case 191:
193614f2 20227 case 192:
fb3265b3
SD
20228 return aarch64_ext_sve_quad_index (self, info, code, inst, errors);
20229 case 194:
ff605452 20230 return aarch64_ext_sve_index (self, info, code, inst, errors);
193614f2 20231 case 195:
fb3265b3 20232 case 197:
561a72d4 20233 return aarch64_ext_sve_reglist (self, info, code, inst, errors);
a06ea964
NC
20234 default: assert (0); abort ();
20235 }
20236}
This page took 1.5681 seconds and 4 git commands to generate.