tweak comment in last entry
[deliverable/binutils-gdb.git] / sim / common / Make-common.in
CommitLineData
f2de7dfd
AC
1# Makefile fragment for common parts of all simulators.
2# Copyright (C) 1997 Free Software Foundation, Inc.
3# Contributed by Cygnus Support.
4
5# This program is free software; you can redistribute it and/or modify
6# it under the terms of the GNU General Public License as published by
7# the Free Software Foundation; either version 2 of the License, or
8# (at your option) any later version.
9#
10# This program is distributed in the hope that it will be useful,
11# but WITHOUT ANY WARRANTY; without even the implied warranty of
12# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13# GNU General Public License for more details.
14#
15# You should have received a copy of the GNU General Public License
16# along with this program; if not, write to the Free Software
17# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.
18
19# This Makefile fragment consists of two separate parts.
20# They are merged into the final Makefile at points denoted by
21# "## COMMON_PRE_CONFIG_FRAG" and "## COMMON_POST_CONFIG_FRAG".
22#
23# The target Makefile should look like:
24#
25#># Copyright blah blah
26#>
27#>## COMMON_PRE_CONFIG_FRAG
28#>
29#># Any overrides necessary for the SIM_FOO config vars.
30#>SIM_FOO = ...
31#>
32#>## COMMON_POST_CONFIG_FRAG
33#>
34#># Rules to build target specific .o's.
35
36## COMMON_PRE_CONFIG_FRAG
37
38VPATH = @srcdir@
39srcdir = @srcdir@
38377b3a 40srccom = $(srcdir)/../common
f2de7dfd
AC
41srcroot = $(srcdir)/../..
42
43prefix = @prefix@
44exec_prefix = @exec_prefix@
45
46host_alias = @host_alias@
47target_alias = @target_alias@
48program_transform_name = @program_transform_name@
49bindir = @bindir@
50
51libdir = @libdir@
52tooldir = $(libdir)/$(target_alias)
53
54datadir = @datadir@
55mandir = @mandir@
56man1dir = $(mandir)/man1
57infodir = @infodir@
58includedir = @includedir@
59
63330b2e 60SHELL = @SHELL@
f2de7dfd 61
6808fd61 62INSTALL = @INSTALL@
f2de7dfd
AC
63INSTALL_PROGRAM = @INSTALL_PROGRAM@
64INSTALL_DATA = @INSTALL_DATA@
f2de7dfd
AC
65
66CC = @CC@
67CC_FOR_BUILD = @CC_FOR_BUILD@
68CFLAGS = @CFLAGS@
69SIM_BSWAP = @sim_bswap@
70SIM_CFLAGS = @sim_cflags@
71SIM_DEBUG = @sim_debug@
f2de7dfd 72SIM_TRACE = @sim_trace@
717dbb29 73SIM_PROFILE = @sim_profile@
a77aa7ec 74
92f91d1f
AC
75SIM_ASSERT = @sim_assert@
76SIM_ALIGNMENT = @sim_alignment@
7456a10d 77SIM_BITSIZE = @sim_bitsize@
4141b1c6 78SIM_DEFAULT_MODEL = @sim_default_model@
92f91d1f 79SIM_ENDIAN = @sim_endian@
22469a10 80SIM_ENVIRONMENT = @sim_environment@
92f91d1f
AC
81SIM_FLOAT = @sim_float@
82SIM_HARDWARE = @sim_hardware@
63330b2e
SG
83SIM_HW_OBJS = @sim_hw_obj@
84SIM_DV_OBJS = @sim_dv_obj@
85SIM_DV_SRC = @sim_dv_src@
92f91d1f
AC
86SIM_HOSTENDIAN = @sim_hostendian@
87SIM_INLINE = @sim_inline@
88SIM_PACKAGES = @sim_packages@
89SIM_REGPARM = @sim_regparm@
90SIM_RESERVED_BITS = @sim_reserved_bits@
4141b1c6 91SIM_SCACHE = @sim_scache@
92f91d1f
AC
92SIM_SMP = @sim_smp@
93SIM_STDCALL = @sim_stdcall@
94SIM_WARNINGS = @sim_warnings@
95SIM_XOR_ENDIAN = @sim_xor_endian@
96
f2de7dfd
AC
97HDEFINES = @HDEFINES@
98TDEFINES =
99
100AR = @AR@
101AR_FLAGS = rc
102RANLIB = @RANLIB@
103MAKEINFO = makeinfo
104
f7abc1ca
DE
105DEP = $(srcroot)/mkdep
106
f2de7dfd 107# Each simulator's Makefile.in defines one or more of these variables
0f2811d1
DE
108# to override our settings as necessary. There is no need to define these
109# in the simulator's Makefile.in if one is using the default value. In fact
110# it's preferable not to.
f2de7dfd
AC
111
112# List of object files, less common parts.
113SIM_OBJS =
6808fd61
ILT
114# List of extra dependencies.
115# Generally this consists of simulator specific files included by sim-main.h.
116SIM_EXTRA_DEPS =
f2de7dfd
AC
117# List of flags to always pass to $(CC).
118SIM_EXTRA_CFLAGS =
119# List of extra libraries to link with.
120SIM_EXTRA_LIBS =
121# List of extra program dependencies.
122SIM_EXTRA_LIBDEPS =
123# List of main object files for `run'.
124SIM_RUN_OBJS = run.o
125# Dependency of `all' to build any extra files.
126SIM_EXTRA_ALL =
127# Dependency of `install' to install any extra files.
128SIM_EXTRA_INSTALL =
129# Dependency of `clean' to clean any extra files.
130SIM_EXTRA_CLEAN =
131
e5ce1670
DE
132# Every time a new general purpose source file was added every target's
133# Makefile.in needed to be updated to include the file in SIM_OBJS.
134# This doesn't scale.
135# This variable specifies all the generic stuff common to the newer simulators.
136# Things like sim-reason.o can't go here as the cpu may provide its own
137# (though hopefully in time that won't be so). Things like sim-bits.o can go
138# here. Some files are used by all simulators (e.g. callback.o).
139# Those files are specified in LIB_OBJS below.
140
141SIM_NEW_COMMON_OBJS = \
142 sim-bits.o \
143 sim-break.o \
144 sim-config.o \
145 sim-core.o \
146 sim-endian.o \
147 sim-events.o \
148 sim-fpu.o \
149 sim-io.o \
0e701ac3 150 sim-info.o \
e5ce1670
DE
151 sim-load.o \
152 sim-memopt.o \
153 sim-module.o \
154 sim-options.o \
155 sim-profile.o \
1ebc7e0e 156 sim-signal.o \
e5ce1670
DE
157 sim-trace.o \
158 sim-utils.o \
63330b2e
SG
159 sim-watch.o \
160 \
161 $(SIM_HW_OBJS) \
162 $(SIM_DV_OBJS) \
163
e5ce1670 164
f2de7dfd
AC
165## End COMMON_PRE_CONFIG_FRAG
166
167## COMMON_POST_CONFIG_FRAG
168
63330b2e
SG
169CONFIG_CFLAGS = \
170 @DEFS@ \
92f91d1f
AC
171 $(SIM_CFLAGS) \
172 $(SIM_DEBUG) \
4141b1c6 173 $(SIM_DEFAULT_MODEL) \
92f91d1f
AC
174 $(SIM_TRACE) \
175 $(SIM_PROFILE) \
176 $(SIM_BSWAP) \
177 $(SIM_ASSERT) \
178 $(SIM_ALIGNMENT) \
7456a10d 179 $(SIM_BITSIZE) \
92f91d1f 180 $(SIM_ENDIAN) \
22469a10 181 $(SIM_ENVIRONMENT) \
92f91d1f
AC
182 $(SIM_FLOAT) \
183 $(SIM_HARDWARE) \
184 $(SIM_HOSTENDIAN) \
185 $(SIM_INLINE) \
186 $(SIM_PACKAGES) \
187 $(SIM_REGPARM) \
188 $(SIM_RESERVED_BITS) \
4141b1c6 189 $(SIM_SCACHE) \
92f91d1f
AC
190 $(SIM_SMP) \
191 $(SIM_STDCALL) \
192 $(SIM_WARNINGS) \
193 $(SIM_XOR_ENDIAN) \
63330b2e 194 $(SIM_HARDWARE) \
92f91d1f
AC
195 $(SIM_EXTRA_CFLAGS) \
196 $(HDEFINES) $(TDEFINES)
38377b3a 197CSEARCH = -I. -I$(srcdir) -I../common -I$(srccom) \
f2de7dfd 198 -I../../include -I$(srcroot)/include \
a77aa7ec 199 -I../../bfd -I$(srcroot)/bfd \
f2de7dfd
AC
200 -I../../opcodes -I$(srcroot)/opcodes
201ALL_CFLAGS = $(CONFIG_CFLAGS) $(CSEARCH) $(CFLAGS)
202BUILD_CFLAGS = -g -O $(CSEARCH)
203
f7abc1ca
DE
204COMMON_DEP_CFLAGS = $(CONFIG_CFLAGS) $(CSEARCH)
205
f2de7dfd
AC
206LIBIBERTY_LIB = ../../libiberty/libiberty.a
207BFD_LIB = ../../bfd/libbfd.a
208OPCODES_LIB = ../../opcodes/libopcodes.a
209CONFIG_LIBS = @LIBS@
210LIBDEPS = $(BFD_LIB) $(OPCODES_LIB) $(LIBIBERTY_LIB) \
211 $(SIM_EXTRA_LIBDEPS)
212EXTRA_LIBS = $(BFD_LIB) $(OPCODES_LIB) $(LIBIBERTY_LIB) \
213 $(CONFIG_LIBS) $(SIM_EXTRA_LIBS)
214
f7abc1ca 215LIB_OBJS = callback.o syscall.o targ-map.o $(SIM_OBJS)
f2de7dfd 216
22469a10 217all: $(SIM_EXTRA_ALL) libsim.a run .gdbinit
f2de7dfd
AC
218
219libsim.a: $(LIB_OBJS)
220 rm -f libsim.a
fafce69a 221 $(AR) $(AR_FLAGS) libsim.a $(LIB_OBJS)
f2de7dfd
AC
222 $(RANLIB) libsim.a
223
224run: $(SIM_RUN_OBJS) libsim.a $(LIBDEPS)
225 $(CC) $(ALL_CFLAGS) -o run \
226 $(SIM_RUN_OBJS) libsim.a $(EXTRA_LIBS)
227
38377b3a 228run.o: $(srccom)/run.c config.h tconfig.h \
22469a10 229 $(srcroot)/include/remote-sim.h $(srcroot)/include/callback.h
38377b3a 230 $(CC) -c $(srccom)/run.c $(ALL_CFLAGS)
f2de7dfd
AC
231
232# FIXME: Ideally, callback.o and friends live in a library outside of
233# both the gdb and simulator source trees (e.g. devo/remote. Not
234# devo/libremote because this directory would contain more than just
235# a library).
236
38377b3a 237callback.o: $(srccom)/callback.c config.h tconfig.h \
f2de7dfd 238 $(srcroot)/include/callback.h targ-vals.h
38377b3a 239 $(CC) -c $(srccom)/callback.c $(ALL_CFLAGS)
f2de7dfd 240
38377b3a 241syscall.o: $(srccom)/syscall.c config.h tconfig.h \
f7abc1ca 242 $(srcroot)/include/callback.h targ-vals.h
38377b3a 243 $(CC) -c $(srccom)/syscall.c $(ALL_CFLAGS)
f7abc1ca 244
f2de7dfd
AC
245targ-map.o: targ-map.c targ-vals.h
246
38377b3a
DE
247gentmap: Makefile $(srccom)/gentmap.c targ-vals.def
248 $(CC_FOR_BUILD) $(srccom)/gentmap.c -o gentmap $(BUILD_CFLAGS) $(NL_TARGET)
f2de7dfd 249
6cd37f15
DE
250targ-vals.h targ-map.c: stamp-tvals
251stamp-tvals: gentmap
252 rm -f tmp-tvals.h tmp-tmap.c
253 ./gentmap -h >tmp-tvals.h
63330b2e 254 $(SHELL) $(srcroot)/move-if-change tmp-tvals.h targ-vals.h
6cd37f15 255 ./gentmap -c >tmp-tmap.c
63330b2e 256 $(SHELL) $(srcroot)/move-if-change tmp-tmap.c targ-map.c
6cd37f15 257 touch stamp-tvals
a35e91c3
AC
258
259#
260# Rules for building sim-* components. Triggered by listing the corresponding
261# .o file in the list of simulator targets.
262#
263
6808fd61
ILT
264sim_main_headers = \
265 sim-main.h \
38377b3a
DE
266 $(srccom)/sim-config.h \
267 $(srccom)/sim-base.h \
268 $(srccom)/sim-basics.h \
aaa11abe 269 $(srccom)/sim-signal.h \
38377b3a
DE
270 $(srccom)/sim-memopt.h \
271 $(srccom)/sim-model.h \
272 $(srccom)/sim-module.h \
273 $(srccom)/sim-trace.h \
274 $(srccom)/sim-profile.h \
275 $(srccom)/sim-engine.h \
276 $(srccom)/sim-events.h \
277 $(srccom)/sim-watch.h \
278 $(srccom)/sim-assert.h \
f7abc1ca
DE
279 tconfig.h \
280 $(SIM_EXTRA_DEPS)
6808fd61 281
38377b3a
DE
282sim-assert_h = $(srccom)/sim-assert.h
283sim-endian_h = $(srccom)/sim-endian.h
284sim-n-endian_h = $(srccom)/sim-n-endian.h
285sim-bits_h = $(srccom)/sim-bits.h
286sim-config_h = $(srccom)/sim-config.h
287sim-n-bits_h = $(srccom)/sim-n-bits.h
288sim-core_h = $(srccom)/sim-core.h
289sim-n-core_h = $(srccom)/sim-n-core.h
290sim-engine_h = $(srccom)/sim-engine.h
291sim-events_h = $(srccom)/sim-events.h
292sim-fpu_h = $(srccom)/sim-fpu.h
293sim-io_h = $(srccom)/sim-io.h
294sim-options_h = $(srccom)/sim-options.h
295sim-break_h = $(srccom)/sim-break.h
1ebc7e0e 296sim-signal_h = $(srccom)/sim-signal.h
a35e91c3 297
63330b2e
SG
298hw-base_h = $(srccom)/hw-base.h
299hw-device_h = $(srccom)/hw-device.h
300hw-handles_h = #$(srccom)/hw-handles.h
301hw-instances_h = #$(srccom)/hw-instances.h
302hw-ports_h = $(srccom)/hw-ports.h
303hw-properties_h = $(srccom)/hw-properties.h
304hw-tree_h = $(srccom)/hw-tree.h
305
306hw_base_headers = \
307 $(hw-base_h) \
308 $(hw-device_h) \
309 $(hw-instances_h) \
310 $(hw-handles_h) \
311 $(hw-ports_h) \
312 $(hw-properties_h) \
313
314
6808fd61 315# FIXME: If this complicated way of building .o files from ../common is
c967f187 316# necessary, the reason should be documented here.
6808fd61
ILT
317
318BUILT_SRC_FROM_COMMON= \
5dcf955d 319 sim-inline.c
6808fd61 320
38377b3a 321sim-abort.o: $(srccom)/sim-abort.c \
f7abc1ca 322 $(SIM_EXTRA_DEPS)
38377b3a 323 $(CC) -c $(srccom)/sim-abort.c $(ALL_CFLAGS)
f03b093c 324
38377b3a 325sim-bits.o: $(srccom)/sim-bits.c $(sim-bits_h) $(sim-n-bits_h) \
f7abc1ca 326 $(SIM_EXTRA_DEPS)
38377b3a 327 $(CC) -c $(srccom)/sim-bits.c $(ALL_CFLAGS)
a35e91c3 328
38377b3a 329sim-config.o: $(srccom)/sim-config.c $(sim-config_h) \
189e2694 330 $(SIM_EXTRA_DEPS)
38377b3a 331 $(CC) -c $(srccom)/sim-config.c $(ALL_CFLAGS)
a35e91c3 332
189e2694
DE
333sim-core.o: $(srccom)/sim-core.c $(sim_main_headers) \
334 $(sim-core_h) $(sim-n-core_h) \
f7abc1ca 335 $(SIM_EXTRA_DEPS)
38377b3a 336 $(CC) -c $(srccom)/sim-core.c $(ALL_CFLAGS)
a35e91c3 337
38377b3a 338sim-endian.o: $(srccom)/sim-endian.c $(sim-endian_h) $(sim-n-endian_h) \
f7abc1ca 339 $(SIM_EXTRA_DEPS)
38377b3a 340 $(CC) -c $(srccom)/sim-endian.c $(ALL_CFLAGS)
a35e91c3 341
38377b3a
DE
342sim-engine.o: $(srccom)/sim-engine.c $(sim_main_headers) $(sim-engine_h)
343 $(CC) -c $(srccom)/sim-engine.c $(ALL_CFLAGS)
f03b093c 344
38377b3a 345sim-events.o: $(srccom)/sim-events.c $(sim-events_h) \
f7abc1ca 346 $(SIM_EXTRA_DEPS)
38377b3a 347 $(CC) -c $(srccom)/sim-events.c $(ALL_CFLAGS)
a35e91c3 348
38377b3a 349sim-fpu.o: $(srccom)/sim-fpu.c $(sim-fpu_h) \
f7abc1ca 350 $(SIM_EXTRA_DEPS)
38377b3a 351 $(CC) -c $(srccom)/sim-fpu.c $(ALL_CFLAGS)
fafce69a 352
38377b3a 353sim-hload.o: $(srccom)/sim-hload.c $(sim-assert_h) \
22469a10 354 $(srcroot)/include/remote-sim.h \
f7abc1ca 355 $(SIM_EXTRA_DEPS)
38377b3a 356 $(CC) -c $(srccom)/sim-hload.c $(ALL_CFLAGS)
3971886a 357
22469a10
DE
358sim-hrw.o: $(srccom)/sim-hrw.c $(sim-assert_h) $(sim_core_h) \
359 $(srcroot)/include/remote-sim.h \
f7abc1ca 360 $(SIM_EXTRA_DEPS)
38377b3a 361 $(CC) -c $(srccom)/sim-hrw.c $(ALL_CFLAGS)
92f91d1f 362
0e701ac3
AC
363sim-info.o: $(srccom)/sim-info.c $(sim-assert_h) \
364 $(srcroot)/include/remote-sim.h \
365 $(SIM_EXTRA_DEPS)
366 $(CC) -c $(srccom)/sim-info.c $(ALL_CFLAGS)
367
38377b3a 368sim-inline.c: $(srccom)/sim-inline.c
a35e91c3 369 rm -f $@ tmp-$@
38377b3a
DE
370 echo "# 1 \"$(srccom)/$@\"" > tmp-$@
371 cat $(srccom)/$@ >> tmp-$@
63330b2e 372 $(SHELL) $(srcdir)/../../move-if-change tmp-$@ $@
a35e91c3 373
22469a10
DE
374sim-io.o: $(srccom)/sim-io.c $(sim_main_headers) $(sim-io_h) \
375 $(srcroot)/include/remote-sim.h
38377b3a 376 $(CC) -c $(srccom)/sim-io.c $(ALL_CFLAGS)
a35e91c3 377
38377b3a 378sim-memopt.o: $(srccom)/sim-memopt.c $(sim_main_headers) \
f7abc1ca 379 $(sim-io_h)
38377b3a 380 $(CC) -c $(srccom)/sim-memopt.c $(ALL_CFLAGS)
a34abff8 381
38377b3a 382sim-module.o: $(srccom)/sim-module.c $(sim_main_headers) \
f7abc1ca 383 $(sim-io_h)
38377b3a 384 $(CC) -c $(srccom)/sim-module.c $(ALL_CFLAGS)
717dbb29 385
38377b3a 386sim-options.o: $(srccom)/sim-options.c $(sim_main_headers) \
f7abc1ca 387 $(sim-options_h) $(sim-io_h)
38377b3a 388 $(CC) -c $(srccom)/sim-options.c $(ALL_CFLAGS)
0f2811d1 389
22469a10
DE
390sim-reason.o: $(srccom)/sim-reason.c $(sim_main_headers) \
391 $(srcroot)/include/remote-sim.h
38377b3a 392 $(CC) -c $(srccom)/sim-reason.c $(ALL_CFLAGS)
f03b093c 393
22469a10
DE
394sim-resume.o: $(srccom)/sim-resume.c $(sim_main_headers) \
395 $(srcroot)/include/remote-sim.h
38377b3a 396 $(CC) -c $(srccom)/sim-resume.c $(ALL_CFLAGS)
f03b093c 397
38377b3a
DE
398sim-run.o: $(srccom)/sim-run.c $(sim_main_headers)
399 $(CC) -c $(srccom)/sim-run.c $(ALL_CFLAGS)
f03b093c 400
1ebc7e0e
DE
401sim-signal.o: $(srccom)/sim-signal.c $(sim_main_headers) $(sim-signal_h)
402 $(CC) -c $(srccom)/sim-signal.c $(ALL_CFLAGS)
403
38377b3a
DE
404sim-stop.o: $(srccom)/sim-stop.c $(sim_main_headers)
405 $(CC) -c $(srccom)/sim-stop.c $(ALL_CFLAGS)
f03b093c 406
38377b3a 407sim-trace.o: $(srccom)/sim-trace.c $(sim_main_headers) \
f7abc1ca 408 $(sim-options_h) $(sim-io_h)
38377b3a 409 $(CC) -c $(srccom)/sim-trace.c $(ALL_CFLAGS)
e9b2f579 410
38377b3a 411sim-profile.o: $(srccom)/sim-profile.c $(sim_main_headers) \
f7abc1ca 412 $(sim-options_h) $(sim-io_h)
38377b3a 413 $(CC) -c $(srccom)/sim-profile.c $(ALL_CFLAGS)
717dbb29 414
38377b3a 415sim-model.o: $(srccom)/sim-model.c $(sim_main_headers) \
f7abc1ca 416 $(sim-io_h)
38377b3a 417 $(CC) -c $(srccom)/sim-model.c $(ALL_CFLAGS)
c967f187 418
38377b3a
DE
419sim-utils.o: $(srccom)/sim-utils.c $(sim_main_headers)
420 $(CC) -c $(srccom)/sim-utils.c $(ALL_CFLAGS)
a35e91c3 421
38377b3a
DE
422sim-watch.o: $(srccom)/sim-watch.c $(sim_main_headers)
423 $(CC) -c $(srccom)/sim-watch.c $(ALL_CFLAGS)
fafce69a 424
38377b3a
DE
425sim-load.o: $(srccom)/sim-load.c $(srcroot)/include/callback.h
426 $(CC) -c $(srccom)/sim-load.c $(ALL_CFLAGS)
0f2811d1 427
38377b3a 428sim-break.o: $(srccom)/sim-break.c $(sim_main_headers) \
f7abc1ca 429 $(sim_break_h)
38377b3a 430 $(CC) -c $(srccom)/sim-break.c $(ALL_CFLAGS)
b9d580a4 431
63330b2e
SG
432
433hw-base.o: $(srccom)/hw-base.c $(sim_main_headers) \
434 $(hw_base_headers)
435 $(CC) -c $(srccom)/hw-base.c $(ALL_CFLAGS)
436
437hw-device.o: $(srccom)/hw-device.c $(sim_main_headers) \
438 $(hw-device_h)
439 $(CC) -c $(srccom)/hw-device.c $(ALL_CFLAGS)
440
441hw-instances.o: $(srccom)/hw-instances.c $(sim_main_headers) \
442 $(hw_base_headers)
443 $(CC) -c $(srccom)/hw-instances.c $(ALL_CFLAGS)
444
445hw-handles.o: $(srccom)/hw-handles.c $(sim_main_headers) \
446 $(hw_base_headers)
447 $(CC) -c $(srccom)/hw-handles.c $(ALL_CFLAGS)
448
449hw-ports.o: $(srccom)/hw-ports.c $(sim_main_headers) \
450 $(hw-ports_h)
451 $(CC) -c $(srccom)/hw-ports.c $(ALL_CFLAGS)
452
453hw-properties.o: $(srccom)/hw-properties.c $(sim_main_headers) \
454 $(hw-properties_h)
455 $(CC) -c $(srccom)/hw-properties.c $(ALL_CFLAGS)
456
457hw-tree.o: $(srccom)/hw-tree.c $(sim_main_headers) \
458 $(hw-tree_h) $(hw_base_headers)
459 $(CC) -c $(srccom)/hw-tree.c $(ALL_CFLAGS)
460
461
462dv-core.o: $(srccom)/dv-core.c $(sim_main_headers) \
463 $(sim-main_h) $(hw_base_headers)
464 $(CC) -c $(srccom)/dv-core.c $(ALL_CFLAGS)
465
466dv-glue.o: $(srccom)/dv-glue.c $(sim_main_headers) \
467 $(sim-main_h) $(hw_base_headers)
468 $(CC) -c $(srccom)/dv-glue.c $(ALL_CFLAGS)
469
470dv-pal.o: $(srccom)/dv-pal.c $(sim_main_headers) \
471 $(sim-main_h) $(hw_base_headers)
472 $(CC) -c $(srccom)/dv-pal.c $(ALL_CFLAGS)
473
474
38377b3a 475nrun.o: $(srccom)/nrun.c config.h tconfig.h \
22469a10
DE
476 $(srcroot)/include/remote-sim.h $(srcroot)/include/callback.h \
477 $(sim_main_headers)
38377b3a 478 $(CC) -c $(srccom)/nrun.c $(ALL_CFLAGS)
a35e91c3 479
84c6d152
DE
480# Devices.
481
482dv-sockser.o: $(srccom)/dv-sockser.h $(sim_main_headers)
483 $(CC) -c $(srccom)/dv-sockser.c $(ALL_CFLAGS)
484
c967f187
DE
485# CGEN support.
486
38377b3a 487cgen-run.o: $(srccom)/cgen-run.c $(sim_main_headers) \
4a44afd5 488 $(srccom)/cgen-mem.h $(srccom)/cgen-ops.h
38377b3a 489 $(CC) -c $(srccom)/cgen-run.c $(ALL_CFLAGS)
88d5f8e8 490
4a44afd5 491cgen-scache.o: $(srccom)/cgen-scache.c $(sim_main_headers)
38377b3a 492 $(CC) -c $(srccom)/cgen-scache.c $(ALL_CFLAGS)
c967f187 493
38377b3a
DE
494cgen-trace.o: $(srccom)/cgen-trace.c $(sim_main_headers) \
495 $(srccom)/cgen-trace.h
496 $(CC) -c $(srccom)/cgen-trace.c $(ALL_CFLAGS)
c967f187 497
38377b3a
DE
498cgen-utils.o: $(srccom)/cgen-utils.c $(sim_main_headers) \
499 $(srccom)/cgen-mem.h $(srccom)/cgen-ops.h
500 $(CC) -c $(srccom)/cgen-utils.c $(ALL_CFLAGS)
c967f187
DE
501
502# Support targets.
503
f2de7dfd
AC
504install: install-common $(SIM_EXTRA_INSTALL)
505
6808fd61
ILT
506install-common: installdirs
507 n=`echo run | sed '$(program_transform_name)'`; \
508 $(INSTALL_PROGRAM) run $(bindir)/$$n
509
510installdirs:
511 $(SHELL) $(srcdir)/../../mkinstalldirs $(bindir)
f2de7dfd
AC
512
513check:
6cd37f15 514 cd ../testsuite && $(MAKE) check
f2de7dfd
AC
515
516info:
517clean-info:
518install-info:
519
520.NOEXPORT:
521MAKEOVERRIDES=
522
523tags etags: TAGS
524
84c6d152
DE
525# Macros like EXTERN_SIM_CORE confuse tags.
526# And the sim-n-foo.h files create functions that can't be found either.
f2de7dfd 527TAGS: force
84c6d152
DE
528 cd $(srcdir) && \
529 etags --regex '/^\([a-z_]+\) (/\1/' --regex '/^\/[*] TAGS: .*/' \
530 *.[ch] ../common/*.[ch]
f2de7dfd 531
717dbb29 532clean: $(SIM_EXTRA_CLEAN)
f2de7dfd
AC
533 rm -f *.[oa] *~ core
534 rm -f run libsim.a
6cd37f15 535 rm -f gentmap targ-map.c targ-vals.h stamp-tvals
f7abc1ca 536 if [ ! -f Make-common.in ] ; then \
5dcf955d
DE
537 rm -f $(BUILT_SRC_FROM_COMMON) ; \
538 fi
f2de7dfd 539
717dbb29 540distclean mostlyclean maintainer-clean realclean: clean
f2de7dfd 541 rm -f TAGS
88d5f8e8 542 rm -f Makefile config.cache config.log config.status .gdbinit
f2de7dfd 543 rm -f tconfig.h config.h stamp-h
0f399b0c 544 rm -f targ-vals.def
f2de7dfd
AC
545
546.c.o:
547 $(CC) -c $(ALL_CFLAGS) $<
548
549# Dummy target to force execution of dependent targets.
550force:
551
38377b3a 552Makefile: Makefile.in $(srccom)/Make-common.in config.status
f2de7dfd
AC
553 CONFIG_HEADERS= $(SHELL) ./config.status
554
555config.status: configure
556 $(SHELL) ./config.status --recheck
557
558config.h: stamp-h ; @true
559stamp-h: config.in config.status
560 CONFIG_FILES= CONFIG_HEADERS=config.h:config.in $(SHELL) ./config.status
561
38377b3a 562.gdbinit: # config.status $(srccom)/gdbinit.in
88d5f8e8
DE
563 CONFIG_FILES=$@:../common/gdbinit.in CONFIG_HEADERS= $(SHELL) ./config.status
564
36de6f40
DE
565# start-sanitize-cygnus
566
189e2694
DE
567# CGEN support
568
569SCHEME = @SCHEME@
189e2694
DE
570SCHEMEFLAGS = -s
571srccgen = $(srcroot)/cgen
572
573CGEN_VERBOSE = -v
6cd37f15
DE
574CGEN_MAIN_SCM = $(srccgen)/object.scm $(srccgen)/utils.scm \
575 $(srccgen)/attr.scm $(srccgen)/enum.scm $(srccgen)/types.scm \
576 $(srccgen)/utils-cgen.scm $(srccgen)/cpu.scm \
577 $(srccgen)/mode.scm $(srccgen)/mach.scm \
578 $(srccgen)/model.scm $(srccgen)/hardware.scm \
189e2694
DE
579 $(srccgen)/ifield.scm $(srccgen)/iformat.scm \
580 $(srccgen)/operand.scm $(srccgen)/insn.scm \
6cd37f15
DE
581 $(srccgen)/cdl-c.scm $(srccgen)/sim.scm
582CGEN_CPU_SCM = $(srccgen)/sim-cpu.scm $(srccgen)/sim-model.scm
583CGEN_DECODE_SCM = $(srccgen)/sim-decode.scm
189e2694
DE
584
585# Various choices for which cpu specific files to generate.
586CGEN_CPU_EXTR = -E tmp-ext.c1
587CGEN_CPU_READ = -R tmp-read.c1
588CGEN_CPU_SEM = -S tmp-sem.c1
589CGEN_CPU_SEMSW = -W tmp-semsw.c1
590
591# We store the generated files in the source directory until we decide to
592# ship a Scheme interpreter with gdb/binutils. Maybe we never will.
593
594cgen-arch: force
595 $(SHELL) $(srccom)/cgen.sh arch $(srcdir) \
596 $(SCHEME) $(SCHEMEFLAGS) \
597 $(srccgen) $(CGEN_VERBOSE) \
598 $(arch) "$(FLAGS)" ignored ignored ignored ignored
599
600cgen-cpu: force
601 $(SHELL) $(srccom)/cgen.sh cpu $(srcdir) \
602 $(SCHEME) $(SCHEMEFLAGS) \
603 $(srccgen) $(CGEN_VERBOSE) \
604 $(arch) "$(FLAGS)" $(cpu) $(mach) "$(SUFFIX)" "$(EXTRAFILES)"
605
606cgen-decode: force
607 $(SHELL) $(srccom)/cgen.sh decode $(srcdir) \
608 $(SCHEME) $(SCHEMEFLAGS) \
609 $(srccgen) $(CGEN_VERBOSE) \
610 $(arch) "$(FLAGS)" $(cpu) $(mach) "$(SUFFIX)" ignored
611
36de6f40
DE
612# end-sanitize-cygnus
613
f2de7dfd 614## End COMMON_POST_CONFIG_FRAG
This page took 0.086618 seconds and 4 git commands to generate.