x
[deliverable/binutils-gdb.git] / sim / common / Make-common.in
CommitLineData
f2de7dfd 1# Makefile fragment for common parts of all simulators.
3618a6e9 2# Copyright (C) 1997, 1998 Free Software Foundation, Inc.
f2de7dfd
AC
3# Contributed by Cygnus Support.
4
5# This program is free software; you can redistribute it and/or modify
6# it under the terms of the GNU General Public License as published by
7# the Free Software Foundation; either version 2 of the License, or
8# (at your option) any later version.
9#
10# This program is distributed in the hope that it will be useful,
11# but WITHOUT ANY WARRANTY; without even the implied warranty of
12# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13# GNU General Public License for more details.
14#
15# You should have received a copy of the GNU General Public License
16# along with this program; if not, write to the Free Software
17# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.
18
19# This Makefile fragment consists of two separate parts.
20# They are merged into the final Makefile at points denoted by
21# "## COMMON_PRE_CONFIG_FRAG" and "## COMMON_POST_CONFIG_FRAG".
22#
23# The target Makefile should look like:
24#
25#># Copyright blah blah
26#>
27#>## COMMON_PRE_CONFIG_FRAG
28#>
29#># Any overrides necessary for the SIM_FOO config vars.
30#>SIM_FOO = ...
31#>
32#>## COMMON_POST_CONFIG_FRAG
33#>
34#># Rules to build target specific .o's.
35
36## COMMON_PRE_CONFIG_FRAG
37
38VPATH = @srcdir@
39srcdir = @srcdir@
38377b3a 40srccom = $(srcdir)/../common
f2de7dfd
AC
41srcroot = $(srcdir)/../..
42
43prefix = @prefix@
44exec_prefix = @exec_prefix@
45
46host_alias = @host_alias@
47target_alias = @target_alias@
48program_transform_name = @program_transform_name@
49bindir = @bindir@
50
51libdir = @libdir@
52tooldir = $(libdir)/$(target_alias)
53
54datadir = @datadir@
55mandir = @mandir@
56man1dir = $(mandir)/man1
57infodir = @infodir@
58includedir = @includedir@
59
fc4198bb
TT
60# This can be referenced by the gettext configuration code.
61top_builddir = ..
62
08bae91b 63EXEEXT = @EXEEXT@
63330b2e 64SHELL = @SHELL@
f2de7dfd 65
6808fd61 66INSTALL = @INSTALL@
f2de7dfd
AC
67INSTALL_PROGRAM = @INSTALL_PROGRAM@
68INSTALL_DATA = @INSTALL_DATA@
f2de7dfd
AC
69
70CC = @CC@
71CC_FOR_BUILD = @CC_FOR_BUILD@
72CFLAGS = @CFLAGS@
73SIM_BSWAP = @sim_bswap@
74SIM_CFLAGS = @sim_cflags@
75SIM_DEBUG = @sim_debug@
f2de7dfd 76SIM_TRACE = @sim_trace@
717dbb29 77SIM_PROFILE = @sim_profile@
a77aa7ec 78
92f91d1f
AC
79SIM_ASSERT = @sim_assert@
80SIM_ALIGNMENT = @sim_alignment@
7456a10d 81SIM_BITSIZE = @sim_bitsize@
4141b1c6 82SIM_DEFAULT_MODEL = @sim_default_model@
92f91d1f 83SIM_ENDIAN = @sim_endian@
22469a10 84SIM_ENVIRONMENT = @sim_environment@
92f91d1f 85SIM_FLOAT = @sim_float@
f872d0d6
AC
86SIM_HW_CFLAGS = @sim_hw_cflags@
87SIM_HW_OBJS = @sim_hw_objs@
278bda40 88SIM_HW = @sim_hw@
92f91d1f
AC
89SIM_HOSTENDIAN = @sim_hostendian@
90SIM_INLINE = @sim_inline@
91SIM_PACKAGES = @sim_packages@
92SIM_REGPARM = @sim_regparm@
93SIM_RESERVED_BITS = @sim_reserved_bits@
4141b1c6 94SIM_SCACHE = @sim_scache@
92f91d1f
AC
95SIM_SMP = @sim_smp@
96SIM_STDCALL = @sim_stdcall@
97SIM_WARNINGS = @sim_warnings@
98SIM_XOR_ENDIAN = @sim_xor_endian@
99
f2de7dfd
AC
100HDEFINES = @HDEFINES@
101TDEFINES =
102
103AR = @AR@
104AR_FLAGS = rc
105RANLIB = @RANLIB@
106MAKEINFO = makeinfo
107
f7abc1ca
DE
108DEP = $(srcroot)/mkdep
109
f2de7dfd 110# Each simulator's Makefile.in defines one or more of these variables
0f2811d1
DE
111# to override our settings as necessary. There is no need to define these
112# in the simulator's Makefile.in if one is using the default value. In fact
113# it's preferable not to.
f2de7dfd
AC
114
115# List of object files, less common parts.
116SIM_OBJS =
6808fd61
ILT
117# List of extra dependencies.
118# Generally this consists of simulator specific files included by sim-main.h.
119SIM_EXTRA_DEPS =
f2de7dfd
AC
120# List of flags to always pass to $(CC).
121SIM_EXTRA_CFLAGS =
122# List of extra libraries to link with.
123SIM_EXTRA_LIBS =
124# List of extra program dependencies.
125SIM_EXTRA_LIBDEPS =
126# List of main object files for `run'.
127SIM_RUN_OBJS = run.o
128# Dependency of `all' to build any extra files.
129SIM_EXTRA_ALL =
130# Dependency of `install' to install any extra files.
131SIM_EXTRA_INSTALL =
132# Dependency of `clean' to clean any extra files.
133SIM_EXTRA_CLEAN =
134
e5ce1670
DE
135# Every time a new general purpose source file was added every target's
136# Makefile.in needed to be updated to include the file in SIM_OBJS.
137# This doesn't scale.
138# This variable specifies all the generic stuff common to the newer simulators.
139# Things like sim-reason.o can't go here as the cpu may provide its own
140# (though hopefully in time that won't be so). Things like sim-bits.o can go
141# here. Some files are used by all simulators (e.g. callback.o).
142# Those files are specified in LIB_OBJS below.
143
f872d0d6 144SIM_COMMON_HW_OBJS = \
69be0d4c 145 hw-alloc.o \
f872d0d6 146 hw-device.o \
39e953a7 147 hw-events.o \
f872d0d6
AC
148 hw-ports.o \
149 hw-properties.o \
150 hw-base.o \
151 hw-tree.o \
152 sim-hw.o \
153
e5ce1670
DE
154SIM_NEW_COMMON_OBJS = \
155 sim-bits.o \
156 sim-break.o \
157 sim-config.o \
158 sim-core.o \
159 sim-endian.o \
160 sim-events.o \
161 sim-fpu.o \
162 sim-io.o \
0e701ac3 163 sim-info.o \
e5ce1670
DE
164 sim-load.o \
165 sim-memopt.o \
166 sim-module.o \
167 sim-options.o \
168 sim-profile.o \
1ebc7e0e 169 sim-signal.o \
e5ce1670
DE
170 sim-trace.o \
171 sim-utils.o \
63330b2e
SG
172 sim-watch.o \
173 \
174 $(SIM_HW_OBJS) \
63330b2e 175
e5ce1670 176
f872d0d6 177
f2de7dfd
AC
178## End COMMON_PRE_CONFIG_FRAG
179
180## COMMON_POST_CONFIG_FRAG
181
63330b2e
SG
182CONFIG_CFLAGS = \
183 @DEFS@ \
92f91d1f
AC
184 $(SIM_CFLAGS) \
185 $(SIM_DEBUG) \
4141b1c6 186 $(SIM_DEFAULT_MODEL) \
92f91d1f
AC
187 $(SIM_TRACE) \
188 $(SIM_PROFILE) \
189 $(SIM_BSWAP) \
190 $(SIM_ASSERT) \
191 $(SIM_ALIGNMENT) \
7456a10d 192 $(SIM_BITSIZE) \
92f91d1f 193 $(SIM_ENDIAN) \
22469a10 194 $(SIM_ENVIRONMENT) \
92f91d1f 195 $(SIM_FLOAT) \
f872d0d6 196 $(SIM_HW_CFLAGS) \
92f91d1f
AC
197 $(SIM_HOSTENDIAN) \
198 $(SIM_INLINE) \
199 $(SIM_PACKAGES) \
200 $(SIM_REGPARM) \
201 $(SIM_RESERVED_BITS) \
4141b1c6 202 $(SIM_SCACHE) \
92f91d1f
AC
203 $(SIM_SMP) \
204 $(SIM_STDCALL) \
205 $(SIM_WARNINGS) \
206 $(SIM_XOR_ENDIAN) \
63330b2e 207 $(SIM_HARDWARE) \
92f91d1f
AC
208 $(SIM_EXTRA_CFLAGS) \
209 $(HDEFINES) $(TDEFINES)
38377b3a 210CSEARCH = -I. -I$(srcdir) -I../common -I$(srccom) \
f2de7dfd 211 -I../../include -I$(srcroot)/include \
a77aa7ec 212 -I../../bfd -I$(srcroot)/bfd \
3618a6e9
MM
213 -I../../opcodes -I$(srcroot)/opcodes \
214 -I../../intl -I$(srcroot)/intl
f2de7dfd
AC
215ALL_CFLAGS = $(CONFIG_CFLAGS) $(CSEARCH) $(CFLAGS)
216BUILD_CFLAGS = -g -O $(CSEARCH)
217
f7abc1ca
DE
218COMMON_DEP_CFLAGS = $(CONFIG_CFLAGS) $(CSEARCH)
219
f2de7dfd
AC
220LIBIBERTY_LIB = ../../libiberty/libiberty.a
221BFD_LIB = ../../bfd/libbfd.a
222OPCODES_LIB = ../../opcodes/libopcodes.a
fc4198bb
TT
223INTLLIBS = @INTLLIBS@
224INTLDEPS = @INTLDEPS@
f2de7dfd 225CONFIG_LIBS = @LIBS@
fc4198bb 226LIBDEPS = $(BFD_LIB) $(OPCODES_LIB) $(INTLLIBS) $(LIBIBERTY_LIB) \
f2de7dfd 227 $(SIM_EXTRA_LIBDEPS)
fc4198bb 228EXTRA_LIBS = $(BFD_LIB) $(OPCODES_LIB) $(INTLLIBS) $(LIBIBERTY_LIB) \
f2de7dfd
AC
229 $(CONFIG_LIBS) $(SIM_EXTRA_LIBS)
230
f7abc1ca 231LIB_OBJS = callback.o syscall.o targ-map.o $(SIM_OBJS)
f2de7dfd 232
3618a6e9
MM
233RUNTESTFLAGS =
234
22469a10 235all: $(SIM_EXTRA_ALL) libsim.a run .gdbinit
f2de7dfd
AC
236
237libsim.a: $(LIB_OBJS)
238 rm -f libsim.a
fafce69a 239 $(AR) $(AR_FLAGS) libsim.a $(LIB_OBJS)
f2de7dfd
AC
240 $(RANLIB) libsim.a
241
242run: $(SIM_RUN_OBJS) libsim.a $(LIBDEPS)
08bae91b 243 $(CC) $(ALL_CFLAGS) -o run$(EXEEXT) \
f2de7dfd
AC
244 $(SIM_RUN_OBJS) libsim.a $(EXTRA_LIBS)
245
38377b3a 246run.o: $(srccom)/run.c config.h tconfig.h \
22469a10 247 $(srcroot)/include/remote-sim.h $(srcroot)/include/callback.h
38377b3a 248 $(CC) -c $(srccom)/run.c $(ALL_CFLAGS)
f2de7dfd
AC
249
250# FIXME: Ideally, callback.o and friends live in a library outside of
251# both the gdb and simulator source trees (e.g. devo/remote. Not
252# devo/libremote because this directory would contain more than just
253# a library).
254
38377b3a 255callback.o: $(srccom)/callback.c config.h tconfig.h \
f2de7dfd 256 $(srcroot)/include/callback.h targ-vals.h
38377b3a 257 $(CC) -c $(srccom)/callback.c $(ALL_CFLAGS)
f2de7dfd 258
38377b3a 259syscall.o: $(srccom)/syscall.c config.h tconfig.h \
f7abc1ca 260 $(srcroot)/include/callback.h targ-vals.h
38377b3a 261 $(CC) -c $(srccom)/syscall.c $(ALL_CFLAGS)
f7abc1ca 262
f2de7dfd
AC
263targ-map.o: targ-map.c targ-vals.h
264
38377b3a
DE
265gentmap: Makefile $(srccom)/gentmap.c targ-vals.def
266 $(CC_FOR_BUILD) $(srccom)/gentmap.c -o gentmap $(BUILD_CFLAGS) $(NL_TARGET)
f2de7dfd 267
6cd37f15
DE
268targ-vals.h targ-map.c: stamp-tvals
269stamp-tvals: gentmap
270 rm -f tmp-tvals.h tmp-tmap.c
271 ./gentmap -h >tmp-tvals.h
63330b2e 272 $(SHELL) $(srcroot)/move-if-change tmp-tvals.h targ-vals.h
6cd37f15 273 ./gentmap -c >tmp-tmap.c
63330b2e 274 $(SHELL) $(srcroot)/move-if-change tmp-tmap.c targ-map.c
6cd37f15 275 touch stamp-tvals
a35e91c3
AC
276
277#
278# Rules for building sim-* components. Triggered by listing the corresponding
279# .o file in the list of simulator targets.
280#
281
6808fd61
ILT
282sim_main_headers = \
283 sim-main.h \
08bae91b 284 $(srccom)/sim-assert.h \
38377b3a
DE
285 $(srccom)/sim-base.h \
286 $(srccom)/sim-basics.h \
08bae91b
JJ
287 $(srccom)/sim-config.h \
288 $(srccom)/sim-cpu.h \
289 $(srccom)/sim-engine.h \
290 $(srccom)/sim-events.h \
291 $(srccom)/sim-inline.h \
38377b3a
DE
292 $(srccom)/sim-memopt.h \
293 $(srccom)/sim-model.h \
294 $(srccom)/sim-module.h \
38377b3a 295 $(srccom)/sim-profile.h \
08bae91b
JJ
296 $(srccom)/sim-signal.h \
297 $(srccom)/sim-trace.h \
38377b3a 298 $(srccom)/sim-watch.h \
f7abc1ca
DE
299 tconfig.h \
300 $(SIM_EXTRA_DEPS)
6808fd61 301
38377b3a
DE
302sim-assert_h = $(srccom)/sim-assert.h
303sim-endian_h = $(srccom)/sim-endian.h
304sim-n-endian_h = $(srccom)/sim-n-endian.h
305sim-bits_h = $(srccom)/sim-bits.h
306sim-config_h = $(srccom)/sim-config.h
307sim-n-bits_h = $(srccom)/sim-n-bits.h
308sim-core_h = $(srccom)/sim-core.h
309sim-n-core_h = $(srccom)/sim-n-core.h
310sim-engine_h = $(srccom)/sim-engine.h
311sim-events_h = $(srccom)/sim-events.h
312sim-fpu_h = $(srccom)/sim-fpu.h
313sim-io_h = $(srccom)/sim-io.h
314sim-options_h = $(srccom)/sim-options.h
315sim-break_h = $(srccom)/sim-break.h
1ebc7e0e 316sim-signal_h = $(srccom)/sim-signal.h
a35e91c3 317
69be0d4c 318hw-alloc_h = $(srccom)/hw-alloc.h
63330b2e
SG
319hw-base_h = $(srccom)/hw-base.h
320hw-device_h = $(srccom)/hw-device.h
39e953a7 321hw-events_h = $(srccom)/hw-events.h
63330b2e
SG
322hw-handles_h = #$(srccom)/hw-handles.h
323hw-instances_h = #$(srccom)/hw-instances.h
324hw-ports_h = $(srccom)/hw-ports.h
325hw-properties_h = $(srccom)/hw-properties.h
326hw-tree_h = $(srccom)/hw-tree.h
327
48f83b1a
AC
328hw_main_headers = \
329 $(srccom)/hw-main.h \
69be0d4c 330 $(hw-alloc_h) \
63330b2e
SG
331 $(hw-base_h) \
332 $(hw-device_h) \
39e953a7 333 $(hw-events_h) \
63330b2e
SG
334 $(hw-instances_h) \
335 $(hw-handles_h) \
336 $(hw-ports_h) \
337 $(hw-properties_h) \
63330b2e 338
6808fd61 339# FIXME: If this complicated way of building .o files from ../common is
c967f187 340# necessary, the reason should be documented here.
6808fd61
ILT
341
342BUILT_SRC_FROM_COMMON= \
5dcf955d 343 sim-inline.c
6808fd61 344
38377b3a 345sim-abort.o: $(srccom)/sim-abort.c \
f7abc1ca 346 $(SIM_EXTRA_DEPS)
38377b3a 347 $(CC) -c $(srccom)/sim-abort.c $(ALL_CFLAGS)
f03b093c 348
38377b3a 349sim-bits.o: $(srccom)/sim-bits.c $(sim-bits_h) $(sim-n-bits_h) \
f7abc1ca 350 $(SIM_EXTRA_DEPS)
38377b3a 351 $(CC) -c $(srccom)/sim-bits.c $(ALL_CFLAGS)
a35e91c3 352
38377b3a 353sim-config.o: $(srccom)/sim-config.c $(sim-config_h) \
189e2694 354 $(SIM_EXTRA_DEPS)
38377b3a 355 $(CC) -c $(srccom)/sim-config.c $(ALL_CFLAGS)
a35e91c3 356
189e2694
DE
357sim-core.o: $(srccom)/sim-core.c $(sim_main_headers) \
358 $(sim-core_h) $(sim-n-core_h) \
f7abc1ca 359 $(SIM_EXTRA_DEPS)
38377b3a 360 $(CC) -c $(srccom)/sim-core.c $(ALL_CFLAGS)
a35e91c3 361
08bae91b
JJ
362sim-cpu.o: $(srccom)/sim-cpu.c $(sim_main_headers) \
363 $(SIM_EXTRA_DEPS)
364 $(CC) -c $(srccom)/sim-cpu.c $(ALL_CFLAGS)
365
38377b3a 366sim-endian.o: $(srccom)/sim-endian.c $(sim-endian_h) $(sim-n-endian_h) \
f7abc1ca 367 $(SIM_EXTRA_DEPS)
38377b3a 368 $(CC) -c $(srccom)/sim-endian.c $(ALL_CFLAGS)
a35e91c3 369
38377b3a
DE
370sim-engine.o: $(srccom)/sim-engine.c $(sim_main_headers) $(sim-engine_h)
371 $(CC) -c $(srccom)/sim-engine.c $(ALL_CFLAGS)
f03b093c 372
38377b3a 373sim-events.o: $(srccom)/sim-events.c $(sim-events_h) \
f7abc1ca 374 $(SIM_EXTRA_DEPS)
38377b3a 375 $(CC) -c $(srccom)/sim-events.c $(ALL_CFLAGS)
a35e91c3 376
38377b3a 377sim-fpu.o: $(srccom)/sim-fpu.c $(sim-fpu_h) \
f7abc1ca 378 $(SIM_EXTRA_DEPS)
38377b3a 379 $(CC) -c $(srccom)/sim-fpu.c $(ALL_CFLAGS)
fafce69a 380
38377b3a 381sim-hload.o: $(srccom)/sim-hload.c $(sim-assert_h) \
22469a10 382 $(srcroot)/include/remote-sim.h \
f7abc1ca 383 $(SIM_EXTRA_DEPS)
38377b3a 384 $(CC) -c $(srccom)/sim-hload.c $(ALL_CFLAGS)
3971886a 385
22469a10
DE
386sim-hrw.o: $(srccom)/sim-hrw.c $(sim-assert_h) $(sim_core_h) \
387 $(srcroot)/include/remote-sim.h \
f7abc1ca 388 $(SIM_EXTRA_DEPS)
38377b3a 389 $(CC) -c $(srccom)/sim-hrw.c $(ALL_CFLAGS)
92f91d1f 390
278bda40
AC
391sim-hw.o: $(srccom)/sim-hw.c $(sim_main_headers) $(SIM_EXTRA_DEPS)
392 $(CC) -c $(srccom)/sim-hw.c $(ALL_CFLAGS)
393
0e701ac3
AC
394sim-info.o: $(srccom)/sim-info.c $(sim-assert_h) \
395 $(srcroot)/include/remote-sim.h \
396 $(SIM_EXTRA_DEPS)
397 $(CC) -c $(srccom)/sim-info.c $(ALL_CFLAGS)
398
38377b3a 399sim-inline.c: $(srccom)/sim-inline.c
a35e91c3 400 rm -f $@ tmp-$@
38377b3a
DE
401 echo "# 1 \"$(srccom)/$@\"" > tmp-$@
402 cat $(srccom)/$@ >> tmp-$@
63330b2e 403 $(SHELL) $(srcdir)/../../move-if-change tmp-$@ $@
a35e91c3 404
22469a10
DE
405sim-io.o: $(srccom)/sim-io.c $(sim_main_headers) $(sim-io_h) \
406 $(srcroot)/include/remote-sim.h
38377b3a 407 $(CC) -c $(srccom)/sim-io.c $(ALL_CFLAGS)
a35e91c3 408
38377b3a 409sim-memopt.o: $(srccom)/sim-memopt.c $(sim_main_headers) \
f7abc1ca 410 $(sim-io_h)
38377b3a 411 $(CC) -c $(srccom)/sim-memopt.c $(ALL_CFLAGS)
a34abff8 412
38377b3a 413sim-module.o: $(srccom)/sim-module.c $(sim_main_headers) \
f7abc1ca 414 $(sim-io_h)
38377b3a 415 $(CC) -c $(srccom)/sim-module.c $(ALL_CFLAGS)
717dbb29 416
38377b3a 417sim-options.o: $(srccom)/sim-options.c $(sim_main_headers) \
f7abc1ca 418 $(sim-options_h) $(sim-io_h)
38377b3a 419 $(CC) -c $(srccom)/sim-options.c $(ALL_CFLAGS)
0f2811d1 420
22469a10
DE
421sim-reason.o: $(srccom)/sim-reason.c $(sim_main_headers) \
422 $(srcroot)/include/remote-sim.h
38377b3a 423 $(CC) -c $(srccom)/sim-reason.c $(ALL_CFLAGS)
f03b093c 424
22469a10
DE
425sim-resume.o: $(srccom)/sim-resume.c $(sim_main_headers) \
426 $(srcroot)/include/remote-sim.h
38377b3a 427 $(CC) -c $(srccom)/sim-resume.c $(ALL_CFLAGS)
f03b093c 428
38377b3a
DE
429sim-run.o: $(srccom)/sim-run.c $(sim_main_headers)
430 $(CC) -c $(srccom)/sim-run.c $(ALL_CFLAGS)
f03b093c 431
1ebc7e0e
DE
432sim-signal.o: $(srccom)/sim-signal.c $(sim_main_headers) $(sim-signal_h)
433 $(CC) -c $(srccom)/sim-signal.c $(ALL_CFLAGS)
434
38377b3a
DE
435sim-stop.o: $(srccom)/sim-stop.c $(sim_main_headers)
436 $(CC) -c $(srccom)/sim-stop.c $(ALL_CFLAGS)
f03b093c 437
38377b3a 438sim-trace.o: $(srccom)/sim-trace.c $(sim_main_headers) \
f7abc1ca 439 $(sim-options_h) $(sim-io_h)
38377b3a 440 $(CC) -c $(srccom)/sim-trace.c $(ALL_CFLAGS)
e9b2f579 441
38377b3a 442sim-profile.o: $(srccom)/sim-profile.c $(sim_main_headers) \
f7abc1ca 443 $(sim-options_h) $(sim-io_h)
38377b3a 444 $(CC) -c $(srccom)/sim-profile.c $(ALL_CFLAGS)
717dbb29 445
38377b3a 446sim-model.o: $(srccom)/sim-model.c $(sim_main_headers) \
f7abc1ca 447 $(sim-io_h)
38377b3a 448 $(CC) -c $(srccom)/sim-model.c $(ALL_CFLAGS)
c967f187 449
38377b3a
DE
450sim-utils.o: $(srccom)/sim-utils.c $(sim_main_headers)
451 $(CC) -c $(srccom)/sim-utils.c $(ALL_CFLAGS)
a35e91c3 452
38377b3a
DE
453sim-watch.o: $(srccom)/sim-watch.c $(sim_main_headers)
454 $(CC) -c $(srccom)/sim-watch.c $(ALL_CFLAGS)
fafce69a 455
38377b3a
DE
456sim-load.o: $(srccom)/sim-load.c $(srcroot)/include/callback.h
457 $(CC) -c $(srccom)/sim-load.c $(ALL_CFLAGS)
0f2811d1 458
38377b3a 459sim-break.o: $(srccom)/sim-break.c $(sim_main_headers) \
f7abc1ca 460 $(sim_break_h)
38377b3a 461 $(CC) -c $(srccom)/sim-break.c $(ALL_CFLAGS)
b9d580a4 462
63330b2e 463
278bda40
AC
464# FIXME This is one very simple-minded way of generating the file hw-config.h
465hw-config.h: Makefile.in $(srccom)/Make-common.in config.status Makefile
466 rm -f tmp-hw.h
467 echo "/* generated by Makefile */" > tmp-hw.h
468 for hw in $(SIM_HW) ; do \
48f83b1a 469 echo "extern const struct hw_descriptor dv_$${hw}_descriptor[];" ; \
278bda40 470 done >> tmp-hw.h
48f83b1a 471 echo "const struct hw_descriptor *hw_descriptors[] = {" >> tmp-hw.h
278bda40
AC
472 for hw in $(SIM_HW) ; do \
473 echo " dv_$${hw}_descriptor," ; \
474 done >> tmp-hw.h
475 echo " NULL," >> tmp-hw.h
476 echo "};" >> tmp-hw.h
477 mv tmp-hw.h hw-config.h
478
48f83b1a 479hw-alloc.o: $(srccom)/hw-alloc.c $(hw_main_headers)
69be0d4c
AC
480 $(CC) -c $(srccom)/hw-alloc.c $(ALL_CFLAGS)
481
48f83b1a 482hw-base.o: $(srccom)/hw-base.c $(hw_main_headers) hw-config.h
63330b2e
SG
483 $(CC) -c $(srccom)/hw-base.c $(ALL_CFLAGS)
484
48f83b1a 485hw-device.o: $(srccom)/hw-device.c $(hw_main_headers)
63330b2e
SG
486 $(CC) -c $(srccom)/hw-device.c $(ALL_CFLAGS)
487
48f83b1a 488hw-events.o: $(srccom)/hw-events.c $(hw_main_headers) $(sim_main_headers)
39e953a7
AC
489 $(CC) -c $(srccom)/hw-events.c $(ALL_CFLAGS)
490
48f83b1a 491hw-instances.o: $(srccom)/hw-instances.c $(hw_main_headers)
63330b2e
SG
492 $(CC) -c $(srccom)/hw-instances.c $(ALL_CFLAGS)
493
48f83b1a 494hw-handles.o: $(srccom)/hw-handles.c $(hw_main_headers)
63330b2e
SG
495 $(CC) -c $(srccom)/hw-handles.c $(ALL_CFLAGS)
496
48f83b1a 497hw-ports.o: $(srccom)/hw-ports.c $(hw_main_headers)
63330b2e
SG
498 $(CC) -c $(srccom)/hw-ports.c $(ALL_CFLAGS)
499
48f83b1a 500hw-properties.o: $(srccom)/hw-properties.c $(hw_main_headers)
63330b2e
SG
501 $(CC) -c $(srccom)/hw-properties.c $(ALL_CFLAGS)
502
48f83b1a 503hw-tree.o: $(srccom)/hw-tree.c $(hw_main_headers) $(hw-tree_h)
63330b2e
SG
504 $(CC) -c $(srccom)/hw-tree.c $(ALL_CFLAGS)
505
506
48f83b1a 507dv-core.o: $(srccom)/dv-core.c $(hw_main_headers) $(sim_main_headers)
63330b2e
SG
508 $(CC) -c $(srccom)/dv-core.c $(ALL_CFLAGS)
509
48f83b1a 510dv-glue.o: $(srccom)/dv-glue.c $(hw_main_headers) $(sim_main_headers)
63330b2e
SG
511 $(CC) -c $(srccom)/dv-glue.c $(ALL_CFLAGS)
512
48f83b1a 513dv-pal.o: $(srccom)/dv-pal.c $(hw_main_headers) $(sim_main_headers)
63330b2e
SG
514 $(CC) -c $(srccom)/dv-pal.c $(ALL_CFLAGS)
515
516
38377b3a 517nrun.o: $(srccom)/nrun.c config.h tconfig.h \
22469a10
DE
518 $(srcroot)/include/remote-sim.h $(srcroot)/include/callback.h \
519 $(sim_main_headers)
38377b3a 520 $(CC) -c $(srccom)/nrun.c $(ALL_CFLAGS)
a35e91c3 521
84c6d152
DE
522# Devices.
523
524dv-sockser.o: $(srccom)/dv-sockser.h $(sim_main_headers)
525 $(CC) -c $(srccom)/dv-sockser.c $(ALL_CFLAGS)
526
c967f187
DE
527# CGEN support.
528
08bae91b
JJ
529cgen-scache.o: $(srccom)/cgen-scache.c $(sim_main_headers) \
530 $(srccom)/cgen-sim.h
38377b3a 531 $(CC) -c $(srccom)/cgen-scache.c $(ALL_CFLAGS)
c967f187 532
38377b3a 533cgen-trace.o: $(srccom)/cgen-trace.c $(sim_main_headers) \
08bae91b 534 $(srccom)/cgen-sim.h $(srccom)/cgen-trace.h
38377b3a 535 $(CC) -c $(srccom)/cgen-trace.c $(ALL_CFLAGS)
c967f187 536
38377b3a 537cgen-utils.o: $(srccom)/cgen-utils.c $(sim_main_headers) \
08bae91b 538 $(srccom)/cgen-sim.h $(srccom)/cgen-mem.h $(srccom)/cgen-ops.h
38377b3a 539 $(CC) -c $(srccom)/cgen-utils.c $(ALL_CFLAGS)
c967f187
DE
540
541# Support targets.
542
f2de7dfd
AC
543install: install-common $(SIM_EXTRA_INSTALL)
544
6808fd61
ILT
545install-common: installdirs
546 n=`echo run | sed '$(program_transform_name)'`; \
08bae91b
JJ
547 $(INSTALL_PROGRAM) run$(EXEEXT) $(bindir)/$$n
548 n=`echo libsim.a | sed s/libsim.a/lib$(target_alias)-sim.a/`; \
6cad2395
JJ
549 $(INSTALL_DATA) libsim.a $(libdir)/$$n ; \
550 ( cd $(libdir) ; $(RANLIB) $$n )
6808fd61
ILT
551
552installdirs:
553 $(SHELL) $(srcdir)/../../mkinstalldirs $(bindir)
f2de7dfd
AC
554
555check:
3618a6e9 556 cd ../testsuite && $(MAKE) check RUNTESTFLAGS="$(RUNTESTFLAGS)"
f2de7dfd
AC
557
558info:
559clean-info:
560install-info:
561
562.NOEXPORT:
563MAKEOVERRIDES=
564
565tags etags: TAGS
566
84c6d152
DE
567# Macros like EXTERN_SIM_CORE confuse tags.
568# And the sim-n-foo.h files create functions that can't be found either.
f2de7dfd 569TAGS: force
84c6d152
DE
570 cd $(srcdir) && \
571 etags --regex '/^\([a-z_]+\) (/\1/' --regex '/^\/[*] TAGS: .*/' \
572 *.[ch] ../common/*.[ch]
f2de7dfd 573
717dbb29 574clean: $(SIM_EXTRA_CLEAN)
f2de7dfd
AC
575 rm -f *.[oa] *~ core
576 rm -f run libsim.a
6cd37f15 577 rm -f gentmap targ-map.c targ-vals.h stamp-tvals
f7abc1ca 578 if [ ! -f Make-common.in ] ; then \
5dcf955d
DE
579 rm -f $(BUILT_SRC_FROM_COMMON) ; \
580 fi
f2de7dfd 581
717dbb29 582distclean mostlyclean maintainer-clean realclean: clean
f2de7dfd 583 rm -f TAGS
88d5f8e8 584 rm -f Makefile config.cache config.log config.status .gdbinit
f2de7dfd 585 rm -f tconfig.h config.h stamp-h
0f399b0c 586 rm -f targ-vals.def
f2de7dfd
AC
587
588.c.o:
589 $(CC) -c $(ALL_CFLAGS) $<
590
591# Dummy target to force execution of dependent targets.
592force:
593
38377b3a 594Makefile: Makefile.in $(srccom)/Make-common.in config.status
f2de7dfd
AC
595 CONFIG_HEADERS= $(SHELL) ./config.status
596
597config.status: configure
598 $(SHELL) ./config.status --recheck
599
600config.h: stamp-h ; @true
601stamp-h: config.in config.status
602 CONFIG_FILES= CONFIG_HEADERS=config.h:config.in $(SHELL) ./config.status
603
38377b3a 604.gdbinit: # config.status $(srccom)/gdbinit.in
88d5f8e8
DE
605 CONFIG_FILES=$@:../common/gdbinit.in CONFIG_HEADERS= $(SHELL) ./config.status
606
36de6f40
DE
607# start-sanitize-cygnus
608
189e2694
DE
609# CGEN support
610
611SCHEME = @SCHEME@
189e2694
DE
612SCHEMEFLAGS = -s
613srccgen = $(srcroot)/cgen
614
615CGEN_VERBOSE = -v
6cd37f15
DE
616CGEN_MAIN_SCM = $(srccgen)/object.scm $(srccgen)/utils.scm \
617 $(srccgen)/attr.scm $(srccgen)/enum.scm $(srccgen)/types.scm \
618 $(srccgen)/utils-cgen.scm $(srccgen)/cpu.scm \
619 $(srccgen)/mode.scm $(srccgen)/mach.scm \
620 $(srccgen)/model.scm $(srccgen)/hardware.scm \
189e2694
DE
621 $(srccgen)/ifield.scm $(srccgen)/iformat.scm \
622 $(srccgen)/operand.scm $(srccgen)/insn.scm \
6cd37f15
DE
623 $(srccgen)/cdl-c.scm $(srccgen)/sim.scm
624CGEN_CPU_SCM = $(srccgen)/sim-cpu.scm $(srccgen)/sim-model.scm
625CGEN_DECODE_SCM = $(srccgen)/sim-decode.scm
189e2694
DE
626
627# Various choices for which cpu specific files to generate.
628CGEN_CPU_EXTR = -E tmp-ext.c1
629CGEN_CPU_READ = -R tmp-read.c1
630CGEN_CPU_SEM = -S tmp-sem.c1
631CGEN_CPU_SEMSW = -W tmp-semsw.c1
632
633# We store the generated files in the source directory until we decide to
634# ship a Scheme interpreter with gdb/binutils. Maybe we never will.
635
636cgen-arch: force
637 $(SHELL) $(srccom)/cgen.sh arch $(srcdir) \
638 $(SCHEME) $(SCHEMEFLAGS) \
639 $(srccgen) $(CGEN_VERBOSE) \
640 $(arch) "$(FLAGS)" ignored ignored ignored ignored
641
642cgen-cpu: force
643 $(SHELL) $(srccom)/cgen.sh cpu $(srcdir) \
644 $(SCHEME) $(SCHEMEFLAGS) \
645 $(srccgen) $(CGEN_VERBOSE) \
646 $(arch) "$(FLAGS)" $(cpu) $(mach) "$(SUFFIX)" "$(EXTRAFILES)"
647
648cgen-decode: force
649 $(SHELL) $(srccom)/cgen.sh decode $(srcdir) \
650 $(SCHEME) $(SCHEMEFLAGS) \
651 $(srccgen) $(CGEN_VERBOSE) \
652 $(arch) "$(FLAGS)" $(cpu) $(mach) "$(SUFFIX)" ignored
653
36de6f40
DE
654# end-sanitize-cygnus
655
f2de7dfd 656## End COMMON_POST_CONFIG_FRAG
This page took 0.103691 seconds and 4 git commands to generate.