* sanitize fix for do-shifts.S
[deliverable/binutils-gdb.git] / sim / common / Make-common.in
CommitLineData
f2de7dfd 1# Makefile fragment for common parts of all simulators.
3618a6e9 2# Copyright (C) 1997, 1998 Free Software Foundation, Inc.
f2de7dfd
AC
3# Contributed by Cygnus Support.
4
5# This program is free software; you can redistribute it and/or modify
6# it under the terms of the GNU General Public License as published by
7# the Free Software Foundation; either version 2 of the License, or
8# (at your option) any later version.
9#
10# This program is distributed in the hope that it will be useful,
11# but WITHOUT ANY WARRANTY; without even the implied warranty of
12# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13# GNU General Public License for more details.
14#
15# You should have received a copy of the GNU General Public License
16# along with this program; if not, write to the Free Software
17# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.
18
19# This Makefile fragment consists of two separate parts.
20# They are merged into the final Makefile at points denoted by
21# "## COMMON_PRE_CONFIG_FRAG" and "## COMMON_POST_CONFIG_FRAG".
22#
23# The target Makefile should look like:
24#
25#># Copyright blah blah
26#>
27#>## COMMON_PRE_CONFIG_FRAG
28#>
29#># Any overrides necessary for the SIM_FOO config vars.
30#>SIM_FOO = ...
31#>
32#>## COMMON_POST_CONFIG_FRAG
33#>
34#># Rules to build target specific .o's.
35
36## COMMON_PRE_CONFIG_FRAG
37
38VPATH = @srcdir@
39srcdir = @srcdir@
38377b3a 40srccom = $(srcdir)/../common
f2de7dfd
AC
41srcroot = $(srcdir)/../..
42
43prefix = @prefix@
44exec_prefix = @exec_prefix@
45
46host_alias = @host_alias@
47target_alias = @target_alias@
48program_transform_name = @program_transform_name@
49bindir = @bindir@
50
51libdir = @libdir@
52tooldir = $(libdir)/$(target_alias)
53
54datadir = @datadir@
55mandir = @mandir@
56man1dir = $(mandir)/man1
57infodir = @infodir@
58includedir = @includedir@
59
fc4198bb
TT
60# This can be referenced by the gettext configuration code.
61top_builddir = ..
62
08bae91b 63EXEEXT = @EXEEXT@
63330b2e 64SHELL = @SHELL@
f2de7dfd 65
6808fd61 66INSTALL = @INSTALL@
f2de7dfd
AC
67INSTALL_PROGRAM = @INSTALL_PROGRAM@
68INSTALL_DATA = @INSTALL_DATA@
f2de7dfd
AC
69
70CC = @CC@
71CC_FOR_BUILD = @CC_FOR_BUILD@
72CFLAGS = @CFLAGS@
73SIM_BSWAP = @sim_bswap@
74SIM_CFLAGS = @sim_cflags@
75SIM_DEBUG = @sim_debug@
f2de7dfd 76SIM_TRACE = @sim_trace@
717dbb29 77SIM_PROFILE = @sim_profile@
a77aa7ec 78
92f91d1f
AC
79SIM_ASSERT = @sim_assert@
80SIM_ALIGNMENT = @sim_alignment@
7456a10d 81SIM_BITSIZE = @sim_bitsize@
4141b1c6 82SIM_DEFAULT_MODEL = @sim_default_model@
92f91d1f 83SIM_ENDIAN = @sim_endian@
22469a10 84SIM_ENVIRONMENT = @sim_environment@
92f91d1f 85SIM_FLOAT = @sim_float@
f872d0d6
AC
86SIM_HW_CFLAGS = @sim_hw_cflags@
87SIM_HW_OBJS = @sim_hw_objs@
278bda40 88SIM_HW = @sim_hw@
92f91d1f
AC
89SIM_HOSTENDIAN = @sim_hostendian@
90SIM_INLINE = @sim_inline@
91SIM_PACKAGES = @sim_packages@
92SIM_REGPARM = @sim_regparm@
93SIM_RESERVED_BITS = @sim_reserved_bits@
4141b1c6 94SIM_SCACHE = @sim_scache@
92f91d1f
AC
95SIM_SMP = @sim_smp@
96SIM_STDCALL = @sim_stdcall@
b9a9cde4 97SIM_WARNINGS = @build_warnings@
92f91d1f
AC
98SIM_XOR_ENDIAN = @sim_xor_endian@
99
f2de7dfd
AC
100HDEFINES = @HDEFINES@
101TDEFINES =
102
103AR = @AR@
104AR_FLAGS = rc
105RANLIB = @RANLIB@
106MAKEINFO = makeinfo
107
f7abc1ca
DE
108DEP = $(srcroot)/mkdep
109
f2de7dfd 110# Each simulator's Makefile.in defines one or more of these variables
0f2811d1
DE
111# to override our settings as necessary. There is no need to define these
112# in the simulator's Makefile.in if one is using the default value. In fact
113# it's preferable not to.
f2de7dfd
AC
114
115# List of object files, less common parts.
116SIM_OBJS =
6808fd61
ILT
117# List of extra dependencies.
118# Generally this consists of simulator specific files included by sim-main.h.
119SIM_EXTRA_DEPS =
f2de7dfd
AC
120# List of flags to always pass to $(CC).
121SIM_EXTRA_CFLAGS =
122# List of extra libraries to link with.
123SIM_EXTRA_LIBS =
124# List of extra program dependencies.
125SIM_EXTRA_LIBDEPS =
126# List of main object files for `run'.
127SIM_RUN_OBJS = run.o
128# Dependency of `all' to build any extra files.
129SIM_EXTRA_ALL =
130# Dependency of `install' to install any extra files.
131SIM_EXTRA_INSTALL =
132# Dependency of `clean' to clean any extra files.
133SIM_EXTRA_CLEAN =
134
e5ce1670
DE
135# Every time a new general purpose source file was added every target's
136# Makefile.in needed to be updated to include the file in SIM_OBJS.
137# This doesn't scale.
138# This variable specifies all the generic stuff common to the newer simulators.
139# Things like sim-reason.o can't go here as the cpu may provide its own
140# (though hopefully in time that won't be so). Things like sim-bits.o can go
141# here. Some files are used by all simulators (e.g. callback.o).
142# Those files are specified in LIB_OBJS below.
143
f872d0d6 144SIM_COMMON_HW_OBJS = \
69be0d4c 145 hw-alloc.o \
05f6bf9c 146 hw-base.o \
f872d0d6 147 hw-device.o \
39e953a7 148 hw-events.o \
05f6bf9c
AC
149 hw-handles.o \
150 hw-instances.o \
f872d0d6
AC
151 hw-ports.o \
152 hw-properties.o \
f872d0d6
AC
153 hw-tree.o \
154 sim-hw.o \
155
e5ce1670
DE
156SIM_NEW_COMMON_OBJS = \
157 sim-bits.o \
158 sim-break.o \
159 sim-config.o \
160 sim-core.o \
161 sim-endian.o \
162 sim-events.o \
163 sim-fpu.o \
164 sim-io.o \
0e701ac3 165 sim-info.o \
e5ce1670
DE
166 sim-load.o \
167 sim-memopt.o \
168 sim-module.o \
169 sim-options.o \
170 sim-profile.o \
1ebc7e0e 171 sim-signal.o \
e5ce1670
DE
172 sim-trace.o \
173 sim-utils.o \
63330b2e
SG
174 sim-watch.o \
175 \
176 $(SIM_HW_OBJS) \
63330b2e 177
b9a9cde4
AC
178CGEN_INCLUDE_DEPS = \
179 $(srccom)/cgen-types.h \
180 $(srccom)/cgen-sim.h \
181 $(srccom)/cgen-scache.h \
182 $(srccom)/cgen-cpu.h \
183 $(srccom)/cgen-trace.h \
184 $(srcdir)/../../include/opcode/cgen.h
f872d0d6 185
f2de7dfd
AC
186## End COMMON_PRE_CONFIG_FRAG
187
188## COMMON_POST_CONFIG_FRAG
189
63330b2e
SG
190CONFIG_CFLAGS = \
191 @DEFS@ \
92f91d1f
AC
192 $(SIM_CFLAGS) \
193 $(SIM_DEBUG) \
4141b1c6 194 $(SIM_DEFAULT_MODEL) \
92f91d1f
AC
195 $(SIM_TRACE) \
196 $(SIM_PROFILE) \
197 $(SIM_BSWAP) \
198 $(SIM_ASSERT) \
199 $(SIM_ALIGNMENT) \
7456a10d 200 $(SIM_BITSIZE) \
92f91d1f 201 $(SIM_ENDIAN) \
22469a10 202 $(SIM_ENVIRONMENT) \
92f91d1f 203 $(SIM_FLOAT) \
f872d0d6 204 $(SIM_HW_CFLAGS) \
92f91d1f
AC
205 $(SIM_HOSTENDIAN) \
206 $(SIM_INLINE) \
207 $(SIM_PACKAGES) \
208 $(SIM_REGPARM) \
209 $(SIM_RESERVED_BITS) \
4141b1c6 210 $(SIM_SCACHE) \
92f91d1f
AC
211 $(SIM_SMP) \
212 $(SIM_STDCALL) \
213 $(SIM_WARNINGS) \
214 $(SIM_XOR_ENDIAN) \
63330b2e 215 $(SIM_HARDWARE) \
92f91d1f
AC
216 $(SIM_EXTRA_CFLAGS) \
217 $(HDEFINES) $(TDEFINES)
38377b3a 218CSEARCH = -I. -I$(srcdir) -I../common -I$(srccom) \
f2de7dfd 219 -I../../include -I$(srcroot)/include \
a77aa7ec 220 -I../../bfd -I$(srcroot)/bfd \
3618a6e9
MM
221 -I../../opcodes -I$(srcroot)/opcodes \
222 -I../../intl -I$(srcroot)/intl
f2de7dfd
AC
223ALL_CFLAGS = $(CONFIG_CFLAGS) $(CSEARCH) $(CFLAGS)
224BUILD_CFLAGS = -g -O $(CSEARCH)
225
f7abc1ca
DE
226COMMON_DEP_CFLAGS = $(CONFIG_CFLAGS) $(CSEARCH)
227
f2de7dfd
AC
228LIBIBERTY_LIB = ../../libiberty/libiberty.a
229BFD_LIB = ../../bfd/libbfd.a
230OPCODES_LIB = ../../opcodes/libopcodes.a
fc4198bb
TT
231INTLLIBS = @INTLLIBS@
232INTLDEPS = @INTLDEPS@
f2de7dfd 233CONFIG_LIBS = @LIBS@
fc4198bb 234LIBDEPS = $(BFD_LIB) $(OPCODES_LIB) $(INTLLIBS) $(LIBIBERTY_LIB) \
f2de7dfd 235 $(SIM_EXTRA_LIBDEPS)
fc4198bb 236EXTRA_LIBS = $(BFD_LIB) $(OPCODES_LIB) $(INTLLIBS) $(LIBIBERTY_LIB) \
f2de7dfd
AC
237 $(CONFIG_LIBS) $(SIM_EXTRA_LIBS)
238
f7abc1ca 239LIB_OBJS = callback.o syscall.o targ-map.o $(SIM_OBJS)
f2de7dfd 240
3618a6e9
MM
241RUNTESTFLAGS =
242
22469a10 243all: $(SIM_EXTRA_ALL) libsim.a run .gdbinit
f2de7dfd
AC
244
245libsim.a: $(LIB_OBJS)
246 rm -f libsim.a
fafce69a 247 $(AR) $(AR_FLAGS) libsim.a $(LIB_OBJS)
f2de7dfd
AC
248 $(RANLIB) libsim.a
249
250run: $(SIM_RUN_OBJS) libsim.a $(LIBDEPS)
08bae91b 251 $(CC) $(ALL_CFLAGS) -o run$(EXEEXT) \
f2de7dfd
AC
252 $(SIM_RUN_OBJS) libsim.a $(EXTRA_LIBS)
253
38377b3a 254run.o: $(srccom)/run.c config.h tconfig.h \
22469a10 255 $(srcroot)/include/remote-sim.h $(srcroot)/include/callback.h
38377b3a 256 $(CC) -c $(srccom)/run.c $(ALL_CFLAGS)
f2de7dfd
AC
257
258# FIXME: Ideally, callback.o and friends live in a library outside of
259# both the gdb and simulator source trees (e.g. devo/remote. Not
260# devo/libremote because this directory would contain more than just
261# a library).
262
38377b3a 263callback.o: $(srccom)/callback.c config.h tconfig.h \
f2de7dfd 264 $(srcroot)/include/callback.h targ-vals.h
38377b3a 265 $(CC) -c $(srccom)/callback.c $(ALL_CFLAGS)
f2de7dfd 266
38377b3a 267syscall.o: $(srccom)/syscall.c config.h tconfig.h \
f7abc1ca 268 $(srcroot)/include/callback.h targ-vals.h
38377b3a 269 $(CC) -c $(srccom)/syscall.c $(ALL_CFLAGS)
f7abc1ca 270
f2de7dfd
AC
271targ-map.o: targ-map.c targ-vals.h
272
38377b3a
DE
273gentmap: Makefile $(srccom)/gentmap.c targ-vals.def
274 $(CC_FOR_BUILD) $(srccom)/gentmap.c -o gentmap $(BUILD_CFLAGS) $(NL_TARGET)
f2de7dfd 275
6cd37f15
DE
276targ-vals.h targ-map.c: stamp-tvals
277stamp-tvals: gentmap
278 rm -f tmp-tvals.h tmp-tmap.c
279 ./gentmap -h >tmp-tvals.h
63330b2e 280 $(SHELL) $(srcroot)/move-if-change tmp-tvals.h targ-vals.h
6cd37f15 281 ./gentmap -c >tmp-tmap.c
63330b2e 282 $(SHELL) $(srcroot)/move-if-change tmp-tmap.c targ-map.c
6cd37f15 283 touch stamp-tvals
a35e91c3
AC
284
285#
286# Rules for building sim-* components. Triggered by listing the corresponding
287# .o file in the list of simulator targets.
288#
289
6808fd61
ILT
290sim_main_headers = \
291 sim-main.h \
08bae91b 292 $(srccom)/sim-assert.h \
38377b3a
DE
293 $(srccom)/sim-base.h \
294 $(srccom)/sim-basics.h \
08bae91b
JJ
295 $(srccom)/sim-config.h \
296 $(srccom)/sim-cpu.h \
297 $(srccom)/sim-engine.h \
298 $(srccom)/sim-events.h \
299 $(srccom)/sim-inline.h \
38377b3a
DE
300 $(srccom)/sim-memopt.h \
301 $(srccom)/sim-model.h \
302 $(srccom)/sim-module.h \
38377b3a 303 $(srccom)/sim-profile.h \
08bae91b
JJ
304 $(srccom)/sim-signal.h \
305 $(srccom)/sim-trace.h \
38377b3a 306 $(srccom)/sim-watch.h \
f7abc1ca
DE
307 tconfig.h \
308 $(SIM_EXTRA_DEPS)
6808fd61 309
38377b3a
DE
310sim-assert_h = $(srccom)/sim-assert.h
311sim-endian_h = $(srccom)/sim-endian.h
312sim-n-endian_h = $(srccom)/sim-n-endian.h
313sim-bits_h = $(srccom)/sim-bits.h
314sim-config_h = $(srccom)/sim-config.h
315sim-n-bits_h = $(srccom)/sim-n-bits.h
316sim-core_h = $(srccom)/sim-core.h
317sim-n-core_h = $(srccom)/sim-n-core.h
318sim-engine_h = $(srccom)/sim-engine.h
319sim-events_h = $(srccom)/sim-events.h
320sim-fpu_h = $(srccom)/sim-fpu.h
321sim-io_h = $(srccom)/sim-io.h
322sim-options_h = $(srccom)/sim-options.h
323sim-break_h = $(srccom)/sim-break.h
1ebc7e0e 324sim-signal_h = $(srccom)/sim-signal.h
a35e91c3 325
69be0d4c 326hw-alloc_h = $(srccom)/hw-alloc.h
63330b2e
SG
327hw-base_h = $(srccom)/hw-base.h
328hw-device_h = $(srccom)/hw-device.h
39e953a7 329hw-events_h = $(srccom)/hw-events.h
05f6bf9c
AC
330hw-handles_h = $(srccom)/hw-handles.h
331hw-instances_h = $(srccom)/hw-instances.h
63330b2e
SG
332hw-ports_h = $(srccom)/hw-ports.h
333hw-properties_h = $(srccom)/hw-properties.h
334hw-tree_h = $(srccom)/hw-tree.h
335
48f83b1a
AC
336hw_main_headers = \
337 $(srccom)/hw-main.h \
69be0d4c 338 $(hw-alloc_h) \
63330b2e
SG
339 $(hw-base_h) \
340 $(hw-device_h) \
39e953a7 341 $(hw-events_h) \
63330b2e
SG
342 $(hw-instances_h) \
343 $(hw-handles_h) \
344 $(hw-ports_h) \
345 $(hw-properties_h) \
63330b2e 346
6808fd61 347# FIXME: If this complicated way of building .o files from ../common is
c967f187 348# necessary, the reason should be documented here.
6808fd61
ILT
349
350BUILT_SRC_FROM_COMMON= \
5dcf955d 351 sim-inline.c
6808fd61 352
38377b3a 353sim-abort.o: $(srccom)/sim-abort.c \
f7abc1ca 354 $(SIM_EXTRA_DEPS)
38377b3a 355 $(CC) -c $(srccom)/sim-abort.c $(ALL_CFLAGS)
f03b093c 356
38377b3a 357sim-bits.o: $(srccom)/sim-bits.c $(sim-bits_h) $(sim-n-bits_h) \
f7abc1ca 358 $(SIM_EXTRA_DEPS)
38377b3a 359 $(CC) -c $(srccom)/sim-bits.c $(ALL_CFLAGS)
a35e91c3 360
38377b3a 361sim-config.o: $(srccom)/sim-config.c $(sim-config_h) \
189e2694 362 $(SIM_EXTRA_DEPS)
38377b3a 363 $(CC) -c $(srccom)/sim-config.c $(ALL_CFLAGS)
a35e91c3 364
189e2694 365sim-core.o: $(srccom)/sim-core.c $(sim_main_headers) \
b9a9cde4 366 $(sim-core_h) $(sim-n-core_h)
38377b3a 367 $(CC) -c $(srccom)/sim-core.c $(ALL_CFLAGS)
a35e91c3 368
b9a9cde4 369sim-cpu.o: $(srccom)/sim-cpu.c $(sim_main_headers)
08bae91b
JJ
370 $(CC) -c $(srccom)/sim-cpu.c $(ALL_CFLAGS)
371
b9a9cde4 372sim-endian.o: $(srccom)/sim-endian.c $(sim-endian_h) $(sim-n-endian_h)
38377b3a 373 $(CC) -c $(srccom)/sim-endian.c $(ALL_CFLAGS)
a35e91c3 374
38377b3a
DE
375sim-engine.o: $(srccom)/sim-engine.c $(sim_main_headers) $(sim-engine_h)
376 $(CC) -c $(srccom)/sim-engine.c $(ALL_CFLAGS)
f03b093c 377
38377b3a 378sim-events.o: $(srccom)/sim-events.c $(sim-events_h) \
f7abc1ca 379 $(SIM_EXTRA_DEPS)
38377b3a 380 $(CC) -c $(srccom)/sim-events.c $(ALL_CFLAGS)
a35e91c3 381
38377b3a 382sim-fpu.o: $(srccom)/sim-fpu.c $(sim-fpu_h) \
f7abc1ca 383 $(SIM_EXTRA_DEPS)
38377b3a 384 $(CC) -c $(srccom)/sim-fpu.c $(ALL_CFLAGS)
fafce69a 385
38377b3a 386sim-hload.o: $(srccom)/sim-hload.c $(sim-assert_h) \
22469a10 387 $(srcroot)/include/remote-sim.h \
f7abc1ca 388 $(SIM_EXTRA_DEPS)
38377b3a 389 $(CC) -c $(srccom)/sim-hload.c $(ALL_CFLAGS)
3971886a 390
22469a10
DE
391sim-hrw.o: $(srccom)/sim-hrw.c $(sim-assert_h) $(sim_core_h) \
392 $(srcroot)/include/remote-sim.h \
f7abc1ca 393 $(SIM_EXTRA_DEPS)
38377b3a 394 $(CC) -c $(srccom)/sim-hrw.c $(ALL_CFLAGS)
92f91d1f 395
b9a9cde4 396sim-hw.o: $(srccom)/sim-hw.c $(sim_main_headers)
278bda40
AC
397 $(CC) -c $(srccom)/sim-hw.c $(ALL_CFLAGS)
398
0e701ac3
AC
399sim-info.o: $(srccom)/sim-info.c $(sim-assert_h) \
400 $(srcroot)/include/remote-sim.h \
401 $(SIM_EXTRA_DEPS)
402 $(CC) -c $(srccom)/sim-info.c $(ALL_CFLAGS)
403
38377b3a 404sim-inline.c: $(srccom)/sim-inline.c
a35e91c3 405 rm -f $@ tmp-$@
38377b3a
DE
406 echo "# 1 \"$(srccom)/$@\"" > tmp-$@
407 cat $(srccom)/$@ >> tmp-$@
63330b2e 408 $(SHELL) $(srcdir)/../../move-if-change tmp-$@ $@
a35e91c3 409
22469a10
DE
410sim-io.o: $(srccom)/sim-io.c $(sim_main_headers) $(sim-io_h) \
411 $(srcroot)/include/remote-sim.h
38377b3a 412 $(CC) -c $(srccom)/sim-io.c $(ALL_CFLAGS)
a35e91c3 413
38377b3a 414sim-memopt.o: $(srccom)/sim-memopt.c $(sim_main_headers) \
f7abc1ca 415 $(sim-io_h)
38377b3a 416 $(CC) -c $(srccom)/sim-memopt.c $(ALL_CFLAGS)
a34abff8 417
38377b3a 418sim-module.o: $(srccom)/sim-module.c $(sim_main_headers) \
f7abc1ca 419 $(sim-io_h)
38377b3a 420 $(CC) -c $(srccom)/sim-module.c $(ALL_CFLAGS)
717dbb29 421
38377b3a 422sim-options.o: $(srccom)/sim-options.c $(sim_main_headers) \
f7abc1ca 423 $(sim-options_h) $(sim-io_h)
38377b3a 424 $(CC) -c $(srccom)/sim-options.c $(ALL_CFLAGS)
0f2811d1 425
22469a10
DE
426sim-reason.o: $(srccom)/sim-reason.c $(sim_main_headers) \
427 $(srcroot)/include/remote-sim.h
38377b3a 428 $(CC) -c $(srccom)/sim-reason.c $(ALL_CFLAGS)
f03b093c 429
b9a9cde4
AC
430sim-reg.o: $(srccom)/sim-reg.c $(sim_main_headers) \
431 $(srcroot)/include/remote-sim.h
432 $(CC) -c $(srccom)/sim-reg.c $(ALL_CFLAGS)
433
22469a10
DE
434sim-resume.o: $(srccom)/sim-resume.c $(sim_main_headers) \
435 $(srcroot)/include/remote-sim.h
38377b3a 436 $(CC) -c $(srccom)/sim-resume.c $(ALL_CFLAGS)
f03b093c 437
38377b3a
DE
438sim-run.o: $(srccom)/sim-run.c $(sim_main_headers)
439 $(CC) -c $(srccom)/sim-run.c $(ALL_CFLAGS)
f03b093c 440
1ebc7e0e
DE
441sim-signal.o: $(srccom)/sim-signal.c $(sim_main_headers) $(sim-signal_h)
442 $(CC) -c $(srccom)/sim-signal.c $(ALL_CFLAGS)
443
38377b3a
DE
444sim-stop.o: $(srccom)/sim-stop.c $(sim_main_headers)
445 $(CC) -c $(srccom)/sim-stop.c $(ALL_CFLAGS)
f03b093c 446
38377b3a 447sim-trace.o: $(srccom)/sim-trace.c $(sim_main_headers) \
f7abc1ca 448 $(sim-options_h) $(sim-io_h)
38377b3a 449 $(CC) -c $(srccom)/sim-trace.c $(ALL_CFLAGS)
e9b2f579 450
38377b3a 451sim-profile.o: $(srccom)/sim-profile.c $(sim_main_headers) \
f7abc1ca 452 $(sim-options_h) $(sim-io_h)
38377b3a 453 $(CC) -c $(srccom)/sim-profile.c $(ALL_CFLAGS)
717dbb29 454
38377b3a 455sim-model.o: $(srccom)/sim-model.c $(sim_main_headers) \
f7abc1ca 456 $(sim-io_h)
38377b3a 457 $(CC) -c $(srccom)/sim-model.c $(ALL_CFLAGS)
c967f187 458
38377b3a
DE
459sim-utils.o: $(srccom)/sim-utils.c $(sim_main_headers)
460 $(CC) -c $(srccom)/sim-utils.c $(ALL_CFLAGS)
a35e91c3 461
38377b3a
DE
462sim-watch.o: $(srccom)/sim-watch.c $(sim_main_headers)
463 $(CC) -c $(srccom)/sim-watch.c $(ALL_CFLAGS)
fafce69a 464
38377b3a
DE
465sim-load.o: $(srccom)/sim-load.c $(srcroot)/include/callback.h
466 $(CC) -c $(srccom)/sim-load.c $(ALL_CFLAGS)
0f2811d1 467
38377b3a 468sim-break.o: $(srccom)/sim-break.c $(sim_main_headers) \
f7abc1ca 469 $(sim_break_h)
38377b3a 470 $(CC) -c $(srccom)/sim-break.c $(ALL_CFLAGS)
b9d580a4 471
63330b2e 472
278bda40
AC
473# FIXME This is one very simple-minded way of generating the file hw-config.h
474hw-config.h: Makefile.in $(srccom)/Make-common.in config.status Makefile
475 rm -f tmp-hw.h
476 echo "/* generated by Makefile */" > tmp-hw.h
477 for hw in $(SIM_HW) ; do \
48f83b1a 478 echo "extern const struct hw_descriptor dv_$${hw}_descriptor[];" ; \
278bda40 479 done >> tmp-hw.h
48f83b1a 480 echo "const struct hw_descriptor *hw_descriptors[] = {" >> tmp-hw.h
278bda40
AC
481 for hw in $(SIM_HW) ; do \
482 echo " dv_$${hw}_descriptor," ; \
483 done >> tmp-hw.h
484 echo " NULL," >> tmp-hw.h
485 echo "};" >> tmp-hw.h
486 mv tmp-hw.h hw-config.h
487
48f83b1a 488hw-alloc.o: $(srccom)/hw-alloc.c $(hw_main_headers)
69be0d4c
AC
489 $(CC) -c $(srccom)/hw-alloc.c $(ALL_CFLAGS)
490
48f83b1a 491hw-base.o: $(srccom)/hw-base.c $(hw_main_headers) hw-config.h
63330b2e
SG
492 $(CC) -c $(srccom)/hw-base.c $(ALL_CFLAGS)
493
48f83b1a 494hw-device.o: $(srccom)/hw-device.c $(hw_main_headers)
63330b2e
SG
495 $(CC) -c $(srccom)/hw-device.c $(ALL_CFLAGS)
496
48f83b1a 497hw-events.o: $(srccom)/hw-events.c $(hw_main_headers) $(sim_main_headers)
39e953a7
AC
498 $(CC) -c $(srccom)/hw-events.c $(ALL_CFLAGS)
499
05f6bf9c
AC
500test-hw-events: $(srccom)/hw-events.c libsim.a
501 $(CC) $(ALL_CFLAGS) -DMAIN -o test-hw-events$(EXEEXT) \
502 $(srccom)/hw-events.c libsim.a $(EXTRA_LIBS)
503
48f83b1a 504hw-instances.o: $(srccom)/hw-instances.c $(hw_main_headers)
63330b2e
SG
505 $(CC) -c $(srccom)/hw-instances.c $(ALL_CFLAGS)
506
48f83b1a 507hw-handles.o: $(srccom)/hw-handles.c $(hw_main_headers)
63330b2e
SG
508 $(CC) -c $(srccom)/hw-handles.c $(ALL_CFLAGS)
509
48f83b1a 510hw-ports.o: $(srccom)/hw-ports.c $(hw_main_headers)
63330b2e
SG
511 $(CC) -c $(srccom)/hw-ports.c $(ALL_CFLAGS)
512
48f83b1a 513hw-properties.o: $(srccom)/hw-properties.c $(hw_main_headers)
63330b2e
SG
514 $(CC) -c $(srccom)/hw-properties.c $(ALL_CFLAGS)
515
48f83b1a 516hw-tree.o: $(srccom)/hw-tree.c $(hw_main_headers) $(hw-tree_h)
63330b2e
SG
517 $(CC) -c $(srccom)/hw-tree.c $(ALL_CFLAGS)
518
b9a9cde4 519# Devices.
63330b2e 520
48f83b1a 521dv-core.o: $(srccom)/dv-core.c $(hw_main_headers) $(sim_main_headers)
63330b2e
SG
522 $(CC) -c $(srccom)/dv-core.c $(ALL_CFLAGS)
523
48f83b1a 524dv-glue.o: $(srccom)/dv-glue.c $(hw_main_headers) $(sim_main_headers)
63330b2e
SG
525 $(CC) -c $(srccom)/dv-glue.c $(ALL_CFLAGS)
526
48f83b1a 527dv-pal.o: $(srccom)/dv-pal.c $(hw_main_headers) $(sim_main_headers)
63330b2e
SG
528 $(CC) -c $(srccom)/dv-pal.c $(ALL_CFLAGS)
529
b9a9cde4
AC
530dv-sockser.o: $(srccom)/dv-sockser.h $(sim_main_headers)
531 $(CC) -c $(srccom)/dv-sockser.c $(ALL_CFLAGS)
532
63330b2e 533
38377b3a 534nrun.o: $(srccom)/nrun.c config.h tconfig.h \
22469a10
DE
535 $(srcroot)/include/remote-sim.h $(srcroot)/include/callback.h \
536 $(sim_main_headers)
38377b3a 537 $(CC) -c $(srccom)/nrun.c $(ALL_CFLAGS)
a35e91c3 538
c967f187
DE
539# CGEN support.
540
b9a9cde4
AC
541cgen-run.o: $(srccom)/cgen-run.c $(sim_main_headers)
542 $(CC) -c $(srccom)/cgen-run.c $(ALL_CFLAGS)
543
544cgen-scache.o: $(srccom)/cgen-scache.c $(sim_main_headers)
38377b3a 545 $(CC) -c $(srccom)/cgen-scache.c $(ALL_CFLAGS)
c967f187 546
b9a9cde4 547cgen-trace.o: $(srccom)/cgen-trace.c $(sim_main_headers)
38377b3a 548 $(CC) -c $(srccom)/cgen-trace.c $(ALL_CFLAGS)
c967f187 549
38377b3a 550cgen-utils.o: $(srccom)/cgen-utils.c $(sim_main_headers) \
b9a9cde4 551 $(srccom)/cgen-mem.h $(srccom)/cgen-ops.h
38377b3a 552 $(CC) -c $(srccom)/cgen-utils.c $(ALL_CFLAGS)
c967f187
DE
553
554# Support targets.
555
f2de7dfd
AC
556install: install-common $(SIM_EXTRA_INSTALL)
557
6808fd61
ILT
558install-common: installdirs
559 n=`echo run | sed '$(program_transform_name)'`; \
b9a9cde4 560 $(INSTALL_PROGRAM) run$(EXEEXT) $(bindir)/$$n$(EXEEXT)
08bae91b 561 n=`echo libsim.a | sed s/libsim.a/lib$(target_alias)-sim.a/`; \
6cad2395
JJ
562 $(INSTALL_DATA) libsim.a $(libdir)/$$n ; \
563 ( cd $(libdir) ; $(RANLIB) $$n )
6808fd61
ILT
564
565installdirs:
566 $(SHELL) $(srcdir)/../../mkinstalldirs $(bindir)
f2de7dfd
AC
567
568check:
3618a6e9 569 cd ../testsuite && $(MAKE) check RUNTESTFLAGS="$(RUNTESTFLAGS)"
f2de7dfd
AC
570
571info:
572clean-info:
573install-info:
574
575.NOEXPORT:
576MAKEOVERRIDES=
577
578tags etags: TAGS
579
84c6d152
DE
580# Macros like EXTERN_SIM_CORE confuse tags.
581# And the sim-n-foo.h files create functions that can't be found either.
f2de7dfd 582TAGS: force
84c6d152
DE
583 cd $(srcdir) && \
584 etags --regex '/^\([a-z_]+\) (/\1/' --regex '/^\/[*] TAGS: .*/' \
585 *.[ch] ../common/*.[ch]
f2de7dfd 586
717dbb29 587clean: $(SIM_EXTRA_CLEAN)
f2de7dfd
AC
588 rm -f *.[oa] *~ core
589 rm -f run libsim.a
6cd37f15 590 rm -f gentmap targ-map.c targ-vals.h stamp-tvals
f7abc1ca 591 if [ ! -f Make-common.in ] ; then \
5dcf955d
DE
592 rm -f $(BUILT_SRC_FROM_COMMON) ; \
593 fi
b9a9cde4 594 rm -f tmp-mloop.hin tmp-mloop.h tmp-mloop.cin tmp-mloop.c
f2de7dfd 595
717dbb29 596distclean mostlyclean maintainer-clean realclean: clean
f2de7dfd 597 rm -f TAGS
88d5f8e8 598 rm -f Makefile config.cache config.log config.status .gdbinit
f2de7dfd 599 rm -f tconfig.h config.h stamp-h
0f399b0c 600 rm -f targ-vals.def
f2de7dfd
AC
601
602.c.o:
603 $(CC) -c $(ALL_CFLAGS) $<
604
605# Dummy target to force execution of dependent targets.
606force:
607
38377b3a 608Makefile: Makefile.in $(srccom)/Make-common.in config.status
f2de7dfd
AC
609 CONFIG_HEADERS= $(SHELL) ./config.status
610
611config.status: configure
612 $(SHELL) ./config.status --recheck
613
614config.h: stamp-h ; @true
615stamp-h: config.in config.status
616 CONFIG_FILES= CONFIG_HEADERS=config.h:config.in $(SHELL) ./config.status
617
38377b3a 618.gdbinit: # config.status $(srccom)/gdbinit.in
88d5f8e8
DE
619 CONFIG_FILES=$@:../common/gdbinit.in CONFIG_HEADERS= $(SHELL) ./config.status
620
36de6f40
DE
621# start-sanitize-cygnus
622
189e2694
DE
623# CGEN support
624
b9a9cde4
AC
625CGENDIR = @cgendir@
626CGEN = @cgen@
627CGENFLAGS = -v
628srccgen = $(CGENDIR)
189e2694 629
b9a9cde4 630CGEN_MAIN_SCM = $(srccgen)/cos.scm $(srccgen)/utils.scm \
6cd37f15
DE
631 $(srccgen)/attr.scm $(srccgen)/enum.scm $(srccgen)/types.scm \
632 $(srccgen)/utils-cgen.scm $(srccgen)/cpu.scm \
633 $(srccgen)/mode.scm $(srccgen)/mach.scm \
634 $(srccgen)/model.scm $(srccgen)/hardware.scm \
189e2694
DE
635 $(srccgen)/ifield.scm $(srccgen)/iformat.scm \
636 $(srccgen)/operand.scm $(srccgen)/insn.scm \
b9a9cde4 637 $(srccgen)/rtl.scm $(srccgen)/sim.scm
6cd37f15
DE
638CGEN_CPU_SCM = $(srccgen)/sim-cpu.scm $(srccgen)/sim-model.scm
639CGEN_DECODE_SCM = $(srccgen)/sim-decode.scm
189e2694
DE
640
641# Various choices for which cpu specific files to generate.
642CGEN_CPU_EXTR = -E tmp-ext.c1
643CGEN_CPU_READ = -R tmp-read.c1
b9a9cde4 644CGEN_CPU_WRITE = -W tmp-write.c1
189e2694 645CGEN_CPU_SEM = -S tmp-sem.c1
b9a9cde4
AC
646CGEN_CPU_SEMSW = -X tmp-semsw.c1
647
648CGEN_FLAGS_TO_PASS = \
649 CGEN=$(CGEN) \
650 CGENFLAGS=$(CGENFLAGS)
189e2694
DE
651
652# We store the generated files in the source directory until we decide to
653# ship a Scheme interpreter with gdb/binutils. Maybe we never will.
654
655cgen-arch: force
656 $(SHELL) $(srccom)/cgen.sh arch $(srcdir) \
b9a9cde4 657 $(CGEN) $(CGENDIR) $(CGENFLAGS) \
189e2694
DE
658 $(arch) "$(FLAGS)" ignored ignored ignored ignored
659
660cgen-cpu: force
661 $(SHELL) $(srccom)/cgen.sh cpu $(srcdir) \
b9a9cde4 662 $(CGEN) $(CGENDIR) $(CGENFLAGS) \
189e2694
DE
663 $(arch) "$(FLAGS)" $(cpu) $(mach) "$(SUFFIX)" "$(EXTRAFILES)"
664
665cgen-decode: force
666 $(SHELL) $(srccom)/cgen.sh decode $(srcdir) \
b9a9cde4 667 $(CGEN) $(CGENDIR) $(CGENFLAGS) \
189e2694
DE
668 $(arch) "$(FLAGS)" $(cpu) $(mach) "$(SUFFIX)" ignored
669
b9a9cde4
AC
670cgen-cpu-decode: force
671 $(SHELL) $(srccom)/cgen.sh cpu-decode $(srcdir) \
672 $(CGEN) $(CGENDIR) $(CGENFLAGS) \
673 $(arch) "$(FLAGS)" $(cpu) $(mach) "$(SUFFIX)" "$(EXTRAFILES)"
674
36de6f40
DE
675# end-sanitize-cygnus
676
f2de7dfd 677## End COMMON_POST_CONFIG_FRAG
This page took 0.113094 seconds and 4 git commands to generate.