Change return type of gdbarch_software_single_step to vector<CORE_ADDR>
[deliverable/binutils-gdb.git] / gdb / arm-tdep.c
... / ...
CommitLineData
1/* Common target dependent code for GDB on ARM systems.
2
3 Copyright (C) 1988-2017 Free Software Foundation, Inc.
4
5 This file is part of GDB.
6
7 This program is free software; you can redistribute it and/or modify
8 it under the terms of the GNU General Public License as published by
9 the Free Software Foundation; either version 3 of the License, or
10 (at your option) any later version.
11
12 This program is distributed in the hope that it will be useful,
13 but WITHOUT ANY WARRANTY; without even the implied warranty of
14 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
15 GNU General Public License for more details.
16
17 You should have received a copy of the GNU General Public License
18 along with this program. If not, see <http://www.gnu.org/licenses/>. */
19
20#include "defs.h"
21
22#include <ctype.h> /* XXX for isupper (). */
23
24#include "frame.h"
25#include "inferior.h"
26#include "infrun.h"
27#include "gdbcmd.h"
28#include "gdbcore.h"
29#include "dis-asm.h" /* For register styles. */
30#include "disasm.h"
31#include "regcache.h"
32#include "reggroups.h"
33#include "doublest.h"
34#include "value.h"
35#include "arch-utils.h"
36#include "osabi.h"
37#include "frame-unwind.h"
38#include "frame-base.h"
39#include "trad-frame.h"
40#include "objfiles.h"
41#include "dwarf2-frame.h"
42#include "gdbtypes.h"
43#include "prologue-value.h"
44#include "remote.h"
45#include "target-descriptions.h"
46#include "user-regs.h"
47#include "observer.h"
48
49#include "arch/arm.h"
50#include "arch/arm-get-next-pcs.h"
51#include "arm-tdep.h"
52#include "gdb/sim-arm.h"
53
54#include "elf-bfd.h"
55#include "coff/internal.h"
56#include "elf/arm.h"
57
58#include "vec.h"
59
60#include "record.h"
61#include "record-full.h"
62#include <algorithm>
63
64#include "features/arm/arm-with-m.c"
65#include "features/arm/arm-with-m-fpa-layout.c"
66#include "features/arm/arm-with-m-vfp-d16.c"
67#include "features/arm/arm-with-iwmmxt.c"
68#include "features/arm/arm-with-vfpv2.c"
69#include "features/arm/arm-with-vfpv3.c"
70#include "features/arm/arm-with-neon.c"
71
72#if GDB_SELF_TEST
73#include "selftest.h"
74#endif
75
76static int arm_debug;
77
78/* Macros for setting and testing a bit in a minimal symbol that marks
79 it as Thumb function. The MSB of the minimal symbol's "info" field
80 is used for this purpose.
81
82 MSYMBOL_SET_SPECIAL Actually sets the "special" bit.
83 MSYMBOL_IS_SPECIAL Tests the "special" bit in a minimal symbol. */
84
85#define MSYMBOL_SET_SPECIAL(msym) \
86 MSYMBOL_TARGET_FLAG_1 (msym) = 1
87
88#define MSYMBOL_IS_SPECIAL(msym) \
89 MSYMBOL_TARGET_FLAG_1 (msym)
90
91/* Per-objfile data used for mapping symbols. */
92static const struct objfile_data *arm_objfile_data_key;
93
94struct arm_mapping_symbol
95{
96 bfd_vma value;
97 char type;
98};
99typedef struct arm_mapping_symbol arm_mapping_symbol_s;
100DEF_VEC_O(arm_mapping_symbol_s);
101
102struct arm_per_objfile
103{
104 VEC(arm_mapping_symbol_s) **section_maps;
105};
106
107/* The list of available "set arm ..." and "show arm ..." commands. */
108static struct cmd_list_element *setarmcmdlist = NULL;
109static struct cmd_list_element *showarmcmdlist = NULL;
110
111/* The type of floating-point to use. Keep this in sync with enum
112 arm_float_model, and the help string in _initialize_arm_tdep. */
113static const char *const fp_model_strings[] =
114{
115 "auto",
116 "softfpa",
117 "fpa",
118 "softvfp",
119 "vfp",
120 NULL
121};
122
123/* A variable that can be configured by the user. */
124static enum arm_float_model arm_fp_model = ARM_FLOAT_AUTO;
125static const char *current_fp_model = "auto";
126
127/* The ABI to use. Keep this in sync with arm_abi_kind. */
128static const char *const arm_abi_strings[] =
129{
130 "auto",
131 "APCS",
132 "AAPCS",
133 NULL
134};
135
136/* A variable that can be configured by the user. */
137static enum arm_abi_kind arm_abi_global = ARM_ABI_AUTO;
138static const char *arm_abi_string = "auto";
139
140/* The execution mode to assume. */
141static const char *const arm_mode_strings[] =
142 {
143 "auto",
144 "arm",
145 "thumb",
146 NULL
147 };
148
149static const char *arm_fallback_mode_string = "auto";
150static const char *arm_force_mode_string = "auto";
151
152/* The standard register names, and all the valid aliases for them. Note
153 that `fp', `sp' and `pc' are not added in this alias list, because they
154 have been added as builtin user registers in
155 std-regs.c:_initialize_frame_reg. */
156static const struct
157{
158 const char *name;
159 int regnum;
160} arm_register_aliases[] = {
161 /* Basic register numbers. */
162 { "r0", 0 },
163 { "r1", 1 },
164 { "r2", 2 },
165 { "r3", 3 },
166 { "r4", 4 },
167 { "r5", 5 },
168 { "r6", 6 },
169 { "r7", 7 },
170 { "r8", 8 },
171 { "r9", 9 },
172 { "r10", 10 },
173 { "r11", 11 },
174 { "r12", 12 },
175 { "r13", 13 },
176 { "r14", 14 },
177 { "r15", 15 },
178 /* Synonyms (argument and variable registers). */
179 { "a1", 0 },
180 { "a2", 1 },
181 { "a3", 2 },
182 { "a4", 3 },
183 { "v1", 4 },
184 { "v2", 5 },
185 { "v3", 6 },
186 { "v4", 7 },
187 { "v5", 8 },
188 { "v6", 9 },
189 { "v7", 10 },
190 { "v8", 11 },
191 /* Other platform-specific names for r9. */
192 { "sb", 9 },
193 { "tr", 9 },
194 /* Special names. */
195 { "ip", 12 },
196 { "lr", 14 },
197 /* Names used by GCC (not listed in the ARM EABI). */
198 { "sl", 10 },
199 /* A special name from the older ATPCS. */
200 { "wr", 7 },
201};
202
203static const char *const arm_register_names[] =
204{"r0", "r1", "r2", "r3", /* 0 1 2 3 */
205 "r4", "r5", "r6", "r7", /* 4 5 6 7 */
206 "r8", "r9", "r10", "r11", /* 8 9 10 11 */
207 "r12", "sp", "lr", "pc", /* 12 13 14 15 */
208 "f0", "f1", "f2", "f3", /* 16 17 18 19 */
209 "f4", "f5", "f6", "f7", /* 20 21 22 23 */
210 "fps", "cpsr" }; /* 24 25 */
211
212/* Holds the current set of options to be passed to the disassembler. */
213static char *arm_disassembler_options;
214
215/* Valid register name styles. */
216static const char **valid_disassembly_styles;
217
218/* Disassembly style to use. Default to "std" register names. */
219static const char *disassembly_style;
220
221/* This is used to keep the bfd arch_info in sync with the disassembly
222 style. */
223static void set_disassembly_style_sfunc(char *, int,
224 struct cmd_list_element *);
225static void show_disassembly_style_sfunc (struct ui_file *, int,
226 struct cmd_list_element *,
227 const char *);
228
229static void convert_from_extended (const struct floatformat *, const void *,
230 void *, int);
231static void convert_to_extended (const struct floatformat *, void *,
232 const void *, int);
233
234static enum register_status arm_neon_quad_read (struct gdbarch *gdbarch,
235 struct regcache *regcache,
236 int regnum, gdb_byte *buf);
237static void arm_neon_quad_write (struct gdbarch *gdbarch,
238 struct regcache *regcache,
239 int regnum, const gdb_byte *buf);
240
241static CORE_ADDR
242 arm_get_next_pcs_syscall_next_pc (struct arm_get_next_pcs *self);
243
244
245/* get_next_pcs operations. */
246static struct arm_get_next_pcs_ops arm_get_next_pcs_ops = {
247 arm_get_next_pcs_read_memory_unsigned_integer,
248 arm_get_next_pcs_syscall_next_pc,
249 arm_get_next_pcs_addr_bits_remove,
250 arm_get_next_pcs_is_thumb,
251 NULL,
252};
253
254struct arm_prologue_cache
255{
256 /* The stack pointer at the time this frame was created; i.e. the
257 caller's stack pointer when this function was called. It is used
258 to identify this frame. */
259 CORE_ADDR prev_sp;
260
261 /* The frame base for this frame is just prev_sp - frame size.
262 FRAMESIZE is the distance from the frame pointer to the
263 initial stack pointer. */
264
265 int framesize;
266
267 /* The register used to hold the frame pointer for this frame. */
268 int framereg;
269
270 /* Saved register offsets. */
271 struct trad_frame_saved_reg *saved_regs;
272};
273
274static CORE_ADDR arm_analyze_prologue (struct gdbarch *gdbarch,
275 CORE_ADDR prologue_start,
276 CORE_ADDR prologue_end,
277 struct arm_prologue_cache *cache);
278
279/* Architecture version for displaced stepping. This effects the behaviour of
280 certain instructions, and really should not be hard-wired. */
281
282#define DISPLACED_STEPPING_ARCH_VERSION 5
283
284/* Set to true if the 32-bit mode is in use. */
285
286int arm_apcs_32 = 1;
287
288/* Return the bit mask in ARM_PS_REGNUM that indicates Thumb mode. */
289
290int
291arm_psr_thumb_bit (struct gdbarch *gdbarch)
292{
293 if (gdbarch_tdep (gdbarch)->is_m)
294 return XPSR_T;
295 else
296 return CPSR_T;
297}
298
299/* Determine if the processor is currently executing in Thumb mode. */
300
301int
302arm_is_thumb (struct regcache *regcache)
303{
304 ULONGEST cpsr;
305 ULONGEST t_bit = arm_psr_thumb_bit (get_regcache_arch (regcache));
306
307 cpsr = regcache_raw_get_unsigned (regcache, ARM_PS_REGNUM);
308
309 return (cpsr & t_bit) != 0;
310}
311
312/* Determine if FRAME is executing in Thumb mode. */
313
314int
315arm_frame_is_thumb (struct frame_info *frame)
316{
317 CORE_ADDR cpsr;
318 ULONGEST t_bit = arm_psr_thumb_bit (get_frame_arch (frame));
319
320 /* Every ARM frame unwinder can unwind the T bit of the CPSR, either
321 directly (from a signal frame or dummy frame) or by interpreting
322 the saved LR (from a prologue or DWARF frame). So consult it and
323 trust the unwinders. */
324 cpsr = get_frame_register_unsigned (frame, ARM_PS_REGNUM);
325
326 return (cpsr & t_bit) != 0;
327}
328
329/* Callback for VEC_lower_bound. */
330
331static inline int
332arm_compare_mapping_symbols (const struct arm_mapping_symbol *lhs,
333 const struct arm_mapping_symbol *rhs)
334{
335 return lhs->value < rhs->value;
336}
337
338/* Search for the mapping symbol covering MEMADDR. If one is found,
339 return its type. Otherwise, return 0. If START is non-NULL,
340 set *START to the location of the mapping symbol. */
341
342static char
343arm_find_mapping_symbol (CORE_ADDR memaddr, CORE_ADDR *start)
344{
345 struct obj_section *sec;
346
347 /* If there are mapping symbols, consult them. */
348 sec = find_pc_section (memaddr);
349 if (sec != NULL)
350 {
351 struct arm_per_objfile *data;
352 VEC(arm_mapping_symbol_s) *map;
353 struct arm_mapping_symbol map_key = { memaddr - obj_section_addr (sec),
354 0 };
355 unsigned int idx;
356
357 data = (struct arm_per_objfile *) objfile_data (sec->objfile,
358 arm_objfile_data_key);
359 if (data != NULL)
360 {
361 map = data->section_maps[sec->the_bfd_section->index];
362 if (!VEC_empty (arm_mapping_symbol_s, map))
363 {
364 struct arm_mapping_symbol *map_sym;
365
366 idx = VEC_lower_bound (arm_mapping_symbol_s, map, &map_key,
367 arm_compare_mapping_symbols);
368
369 /* VEC_lower_bound finds the earliest ordered insertion
370 point. If the following symbol starts at this exact
371 address, we use that; otherwise, the preceding
372 mapping symbol covers this address. */
373 if (idx < VEC_length (arm_mapping_symbol_s, map))
374 {
375 map_sym = VEC_index (arm_mapping_symbol_s, map, idx);
376 if (map_sym->value == map_key.value)
377 {
378 if (start)
379 *start = map_sym->value + obj_section_addr (sec);
380 return map_sym->type;
381 }
382 }
383
384 if (idx > 0)
385 {
386 map_sym = VEC_index (arm_mapping_symbol_s, map, idx - 1);
387 if (start)
388 *start = map_sym->value + obj_section_addr (sec);
389 return map_sym->type;
390 }
391 }
392 }
393 }
394
395 return 0;
396}
397
398/* Determine if the program counter specified in MEMADDR is in a Thumb
399 function. This function should be called for addresses unrelated to
400 any executing frame; otherwise, prefer arm_frame_is_thumb. */
401
402int
403arm_pc_is_thumb (struct gdbarch *gdbarch, CORE_ADDR memaddr)
404{
405 struct bound_minimal_symbol sym;
406 char type;
407 struct displaced_step_closure* dsc
408 = get_displaced_step_closure_by_addr(memaddr);
409
410 /* If checking the mode of displaced instruction in copy area, the mode
411 should be determined by instruction on the original address. */
412 if (dsc)
413 {
414 if (debug_displaced)
415 fprintf_unfiltered (gdb_stdlog,
416 "displaced: check mode of %.8lx instead of %.8lx\n",
417 (unsigned long) dsc->insn_addr,
418 (unsigned long) memaddr);
419 memaddr = dsc->insn_addr;
420 }
421
422 /* If bit 0 of the address is set, assume this is a Thumb address. */
423 if (IS_THUMB_ADDR (memaddr))
424 return 1;
425
426 /* If the user wants to override the symbol table, let him. */
427 if (strcmp (arm_force_mode_string, "arm") == 0)
428 return 0;
429 if (strcmp (arm_force_mode_string, "thumb") == 0)
430 return 1;
431
432 /* ARM v6-M and v7-M are always in Thumb mode. */
433 if (gdbarch_tdep (gdbarch)->is_m)
434 return 1;
435
436 /* If there are mapping symbols, consult them. */
437 type = arm_find_mapping_symbol (memaddr, NULL);
438 if (type)
439 return type == 't';
440
441 /* Thumb functions have a "special" bit set in minimal symbols. */
442 sym = lookup_minimal_symbol_by_pc (memaddr);
443 if (sym.minsym)
444 return (MSYMBOL_IS_SPECIAL (sym.minsym));
445
446 /* If the user wants to override the fallback mode, let them. */
447 if (strcmp (arm_fallback_mode_string, "arm") == 0)
448 return 0;
449 if (strcmp (arm_fallback_mode_string, "thumb") == 0)
450 return 1;
451
452 /* If we couldn't find any symbol, but we're talking to a running
453 target, then trust the current value of $cpsr. This lets
454 "display/i $pc" always show the correct mode (though if there is
455 a symbol table we will not reach here, so it still may not be
456 displayed in the mode it will be executed). */
457 if (target_has_registers)
458 return arm_frame_is_thumb (get_current_frame ());
459
460 /* Otherwise we're out of luck; we assume ARM. */
461 return 0;
462}
463
464/* Determine if the address specified equals any of these magic return
465 values, called EXC_RETURN, defined by the ARM v6-M and v7-M
466 architectures.
467
468 From ARMv6-M Reference Manual B1.5.8
469 Table B1-5 Exception return behavior
470
471 EXC_RETURN Return To Return Stack
472 0xFFFFFFF1 Handler mode Main
473 0xFFFFFFF9 Thread mode Main
474 0xFFFFFFFD Thread mode Process
475
476 From ARMv7-M Reference Manual B1.5.8
477 Table B1-8 EXC_RETURN definition of exception return behavior, no FP
478
479 EXC_RETURN Return To Return Stack
480 0xFFFFFFF1 Handler mode Main
481 0xFFFFFFF9 Thread mode Main
482 0xFFFFFFFD Thread mode Process
483
484 Table B1-9 EXC_RETURN definition of exception return behavior, with
485 FP
486
487 EXC_RETURN Return To Return Stack Frame Type
488 0xFFFFFFE1 Handler mode Main Extended
489 0xFFFFFFE9 Thread mode Main Extended
490 0xFFFFFFED Thread mode Process Extended
491 0xFFFFFFF1 Handler mode Main Basic
492 0xFFFFFFF9 Thread mode Main Basic
493 0xFFFFFFFD Thread mode Process Basic
494
495 For more details see "B1.5.8 Exception return behavior"
496 in both ARMv6-M and ARMv7-M Architecture Reference Manuals. */
497
498static int
499arm_m_addr_is_magic (CORE_ADDR addr)
500{
501 switch (addr)
502 {
503 /* Values from Tables in B1.5.8 the EXC_RETURN definitions of
504 the exception return behavior. */
505 case 0xffffffe1:
506 case 0xffffffe9:
507 case 0xffffffed:
508 case 0xfffffff1:
509 case 0xfffffff9:
510 case 0xfffffffd:
511 /* Address is magic. */
512 return 1;
513
514 default:
515 /* Address is not magic. */
516 return 0;
517 }
518}
519
520/* Remove useless bits from addresses in a running program. */
521static CORE_ADDR
522arm_addr_bits_remove (struct gdbarch *gdbarch, CORE_ADDR val)
523{
524 /* On M-profile devices, do not strip the low bit from EXC_RETURN
525 (the magic exception return address). */
526 if (gdbarch_tdep (gdbarch)->is_m
527 && arm_m_addr_is_magic (val))
528 return val;
529
530 if (arm_apcs_32)
531 return UNMAKE_THUMB_ADDR (val);
532 else
533 return (val & 0x03fffffc);
534}
535
536/* Return 1 if PC is the start of a compiler helper function which
537 can be safely ignored during prologue skipping. IS_THUMB is true
538 if the function is known to be a Thumb function due to the way it
539 is being called. */
540static int
541skip_prologue_function (struct gdbarch *gdbarch, CORE_ADDR pc, int is_thumb)
542{
543 enum bfd_endian byte_order_for_code = gdbarch_byte_order_for_code (gdbarch);
544 struct bound_minimal_symbol msym;
545
546 msym = lookup_minimal_symbol_by_pc (pc);
547 if (msym.minsym != NULL
548 && BMSYMBOL_VALUE_ADDRESS (msym) == pc
549 && MSYMBOL_LINKAGE_NAME (msym.minsym) != NULL)
550 {
551 const char *name = MSYMBOL_LINKAGE_NAME (msym.minsym);
552
553 /* The GNU linker's Thumb call stub to foo is named
554 __foo_from_thumb. */
555 if (strstr (name, "_from_thumb") != NULL)
556 name += 2;
557
558 /* On soft-float targets, __truncdfsf2 is called to convert promoted
559 arguments to their argument types in non-prototyped
560 functions. */
561 if (startswith (name, "__truncdfsf2"))
562 return 1;
563 if (startswith (name, "__aeabi_d2f"))
564 return 1;
565
566 /* Internal functions related to thread-local storage. */
567 if (startswith (name, "__tls_get_addr"))
568 return 1;
569 if (startswith (name, "__aeabi_read_tp"))
570 return 1;
571 }
572 else
573 {
574 /* If we run against a stripped glibc, we may be unable to identify
575 special functions by name. Check for one important case,
576 __aeabi_read_tp, by comparing the *code* against the default
577 implementation (this is hand-written ARM assembler in glibc). */
578
579 if (!is_thumb
580 && read_code_unsigned_integer (pc, 4, byte_order_for_code)
581 == 0xe3e00a0f /* mov r0, #0xffff0fff */
582 && read_code_unsigned_integer (pc + 4, 4, byte_order_for_code)
583 == 0xe240f01f) /* sub pc, r0, #31 */
584 return 1;
585 }
586
587 return 0;
588}
589
590/* Extract the immediate from instruction movw/movt of encoding T. INSN1 is
591 the first 16-bit of instruction, and INSN2 is the second 16-bit of
592 instruction. */
593#define EXTRACT_MOVW_MOVT_IMM_T(insn1, insn2) \
594 ((bits ((insn1), 0, 3) << 12) \
595 | (bits ((insn1), 10, 10) << 11) \
596 | (bits ((insn2), 12, 14) << 8) \
597 | bits ((insn2), 0, 7))
598
599/* Extract the immediate from instruction movw/movt of encoding A. INSN is
600 the 32-bit instruction. */
601#define EXTRACT_MOVW_MOVT_IMM_A(insn) \
602 ((bits ((insn), 16, 19) << 12) \
603 | bits ((insn), 0, 11))
604
605/* Decode immediate value; implements ThumbExpandImmediate pseudo-op. */
606
607static unsigned int
608thumb_expand_immediate (unsigned int imm)
609{
610 unsigned int count = imm >> 7;
611
612 if (count < 8)
613 switch (count / 2)
614 {
615 case 0:
616 return imm & 0xff;
617 case 1:
618 return (imm & 0xff) | ((imm & 0xff) << 16);
619 case 2:
620 return ((imm & 0xff) << 8) | ((imm & 0xff) << 24);
621 case 3:
622 return (imm & 0xff) | ((imm & 0xff) << 8)
623 | ((imm & 0xff) << 16) | ((imm & 0xff) << 24);
624 }
625
626 return (0x80 | (imm & 0x7f)) << (32 - count);
627}
628
629/* Return 1 if the 16-bit Thumb instruction INSN restores SP in
630 epilogue, 0 otherwise. */
631
632static int
633thumb_instruction_restores_sp (unsigned short insn)
634{
635 return (insn == 0x46bd /* mov sp, r7 */
636 || (insn & 0xff80) == 0xb000 /* add sp, imm */
637 || (insn & 0xfe00) == 0xbc00); /* pop <registers> */
638}
639
640/* Analyze a Thumb prologue, looking for a recognizable stack frame
641 and frame pointer. Scan until we encounter a store that could
642 clobber the stack frame unexpectedly, or an unknown instruction.
643 Return the last address which is definitely safe to skip for an
644 initial breakpoint. */
645
646static CORE_ADDR
647thumb_analyze_prologue (struct gdbarch *gdbarch,
648 CORE_ADDR start, CORE_ADDR limit,
649 struct arm_prologue_cache *cache)
650{
651 enum bfd_endian byte_order = gdbarch_byte_order (gdbarch);
652 enum bfd_endian byte_order_for_code = gdbarch_byte_order_for_code (gdbarch);
653 int i;
654 pv_t regs[16];
655 struct pv_area *stack;
656 struct cleanup *back_to;
657 CORE_ADDR offset;
658 CORE_ADDR unrecognized_pc = 0;
659
660 for (i = 0; i < 16; i++)
661 regs[i] = pv_register (i, 0);
662 stack = make_pv_area (ARM_SP_REGNUM, gdbarch_addr_bit (gdbarch));
663 back_to = make_cleanup_free_pv_area (stack);
664
665 while (start < limit)
666 {
667 unsigned short insn;
668
669 insn = read_code_unsigned_integer (start, 2, byte_order_for_code);
670
671 if ((insn & 0xfe00) == 0xb400) /* push { rlist } */
672 {
673 int regno;
674 int mask;
675
676 if (pv_area_store_would_trash (stack, regs[ARM_SP_REGNUM]))
677 break;
678
679 /* Bits 0-7 contain a mask for registers R0-R7. Bit 8 says
680 whether to save LR (R14). */
681 mask = (insn & 0xff) | ((insn & 0x100) << 6);
682
683 /* Calculate offsets of saved R0-R7 and LR. */
684 for (regno = ARM_LR_REGNUM; regno >= 0; regno--)
685 if (mask & (1 << regno))
686 {
687 regs[ARM_SP_REGNUM] = pv_add_constant (regs[ARM_SP_REGNUM],
688 -4);
689 pv_area_store (stack, regs[ARM_SP_REGNUM], 4, regs[regno]);
690 }
691 }
692 else if ((insn & 0xff80) == 0xb080) /* sub sp, #imm */
693 {
694 offset = (insn & 0x7f) << 2; /* get scaled offset */
695 regs[ARM_SP_REGNUM] = pv_add_constant (regs[ARM_SP_REGNUM],
696 -offset);
697 }
698 else if (thumb_instruction_restores_sp (insn))
699 {
700 /* Don't scan past the epilogue. */
701 break;
702 }
703 else if ((insn & 0xf800) == 0xa800) /* add Rd, sp, #imm */
704 regs[bits (insn, 8, 10)] = pv_add_constant (regs[ARM_SP_REGNUM],
705 (insn & 0xff) << 2);
706 else if ((insn & 0xfe00) == 0x1c00 /* add Rd, Rn, #imm */
707 && pv_is_register (regs[bits (insn, 3, 5)], ARM_SP_REGNUM))
708 regs[bits (insn, 0, 2)] = pv_add_constant (regs[bits (insn, 3, 5)],
709 bits (insn, 6, 8));
710 else if ((insn & 0xf800) == 0x3000 /* add Rd, #imm */
711 && pv_is_register (regs[bits (insn, 8, 10)], ARM_SP_REGNUM))
712 regs[bits (insn, 8, 10)] = pv_add_constant (regs[bits (insn, 8, 10)],
713 bits (insn, 0, 7));
714 else if ((insn & 0xfe00) == 0x1800 /* add Rd, Rn, Rm */
715 && pv_is_register (regs[bits (insn, 6, 8)], ARM_SP_REGNUM)
716 && pv_is_constant (regs[bits (insn, 3, 5)]))
717 regs[bits (insn, 0, 2)] = pv_add (regs[bits (insn, 3, 5)],
718 regs[bits (insn, 6, 8)]);
719 else if ((insn & 0xff00) == 0x4400 /* add Rd, Rm */
720 && pv_is_constant (regs[bits (insn, 3, 6)]))
721 {
722 int rd = (bit (insn, 7) << 3) + bits (insn, 0, 2);
723 int rm = bits (insn, 3, 6);
724 regs[rd] = pv_add (regs[rd], regs[rm]);
725 }
726 else if ((insn & 0xff00) == 0x4600) /* mov hi, lo or mov lo, hi */
727 {
728 int dst_reg = (insn & 0x7) + ((insn & 0x80) >> 4);
729 int src_reg = (insn & 0x78) >> 3;
730 regs[dst_reg] = regs[src_reg];
731 }
732 else if ((insn & 0xf800) == 0x9000) /* str rd, [sp, #off] */
733 {
734 /* Handle stores to the stack. Normally pushes are used,
735 but with GCC -mtpcs-frame, there may be other stores
736 in the prologue to create the frame. */
737 int regno = (insn >> 8) & 0x7;
738 pv_t addr;
739
740 offset = (insn & 0xff) << 2;
741 addr = pv_add_constant (regs[ARM_SP_REGNUM], offset);
742
743 if (pv_area_store_would_trash (stack, addr))
744 break;
745
746 pv_area_store (stack, addr, 4, regs[regno]);
747 }
748 else if ((insn & 0xf800) == 0x6000) /* str rd, [rn, #off] */
749 {
750 int rd = bits (insn, 0, 2);
751 int rn = bits (insn, 3, 5);
752 pv_t addr;
753
754 offset = bits (insn, 6, 10) << 2;
755 addr = pv_add_constant (regs[rn], offset);
756
757 if (pv_area_store_would_trash (stack, addr))
758 break;
759
760 pv_area_store (stack, addr, 4, regs[rd]);
761 }
762 else if (((insn & 0xf800) == 0x7000 /* strb Rd, [Rn, #off] */
763 || (insn & 0xf800) == 0x8000) /* strh Rd, [Rn, #off] */
764 && pv_is_register (regs[bits (insn, 3, 5)], ARM_SP_REGNUM))
765 /* Ignore stores of argument registers to the stack. */
766 ;
767 else if ((insn & 0xf800) == 0xc800 /* ldmia Rn!, { registers } */
768 && pv_is_register (regs[bits (insn, 8, 10)], ARM_SP_REGNUM))
769 /* Ignore block loads from the stack, potentially copying
770 parameters from memory. */
771 ;
772 else if ((insn & 0xf800) == 0x9800 /* ldr Rd, [Rn, #immed] */
773 || ((insn & 0xf800) == 0x6800 /* ldr Rd, [sp, #immed] */
774 && pv_is_register (regs[bits (insn, 3, 5)], ARM_SP_REGNUM)))
775 /* Similarly ignore single loads from the stack. */
776 ;
777 else if ((insn & 0xffc0) == 0x0000 /* lsls Rd, Rm, #0 */
778 || (insn & 0xffc0) == 0x1c00) /* add Rd, Rn, #0 */
779 /* Skip register copies, i.e. saves to another register
780 instead of the stack. */
781 ;
782 else if ((insn & 0xf800) == 0x2000) /* movs Rd, #imm */
783 /* Recognize constant loads; even with small stacks these are necessary
784 on Thumb. */
785 regs[bits (insn, 8, 10)] = pv_constant (bits (insn, 0, 7));
786 else if ((insn & 0xf800) == 0x4800) /* ldr Rd, [pc, #imm] */
787 {
788 /* Constant pool loads, for the same reason. */
789 unsigned int constant;
790 CORE_ADDR loc;
791
792 loc = start + 4 + bits (insn, 0, 7) * 4;
793 constant = read_memory_unsigned_integer (loc, 4, byte_order);
794 regs[bits (insn, 8, 10)] = pv_constant (constant);
795 }
796 else if (thumb_insn_size (insn) == 4) /* 32-bit Thumb-2 instructions. */
797 {
798 unsigned short inst2;
799
800 inst2 = read_code_unsigned_integer (start + 2, 2,
801 byte_order_for_code);
802
803 if ((insn & 0xf800) == 0xf000 && (inst2 & 0xe800) == 0xe800)
804 {
805 /* BL, BLX. Allow some special function calls when
806 skipping the prologue; GCC generates these before
807 storing arguments to the stack. */
808 CORE_ADDR nextpc;
809 int j1, j2, imm1, imm2;
810
811 imm1 = sbits (insn, 0, 10);
812 imm2 = bits (inst2, 0, 10);
813 j1 = bit (inst2, 13);
814 j2 = bit (inst2, 11);
815
816 offset = ((imm1 << 12) + (imm2 << 1));
817 offset ^= ((!j2) << 22) | ((!j1) << 23);
818
819 nextpc = start + 4 + offset;
820 /* For BLX make sure to clear the low bits. */
821 if (bit (inst2, 12) == 0)
822 nextpc = nextpc & 0xfffffffc;
823
824 if (!skip_prologue_function (gdbarch, nextpc,
825 bit (inst2, 12) != 0))
826 break;
827 }
828
829 else if ((insn & 0xffd0) == 0xe900 /* stmdb Rn{!},
830 { registers } */
831 && pv_is_register (regs[bits (insn, 0, 3)], ARM_SP_REGNUM))
832 {
833 pv_t addr = regs[bits (insn, 0, 3)];
834 int regno;
835
836 if (pv_area_store_would_trash (stack, addr))
837 break;
838
839 /* Calculate offsets of saved registers. */
840 for (regno = ARM_LR_REGNUM; regno >= 0; regno--)
841 if (inst2 & (1 << regno))
842 {
843 addr = pv_add_constant (addr, -4);
844 pv_area_store (stack, addr, 4, regs[regno]);
845 }
846
847 if (insn & 0x0020)
848 regs[bits (insn, 0, 3)] = addr;
849 }
850
851 else if ((insn & 0xff50) == 0xe940 /* strd Rt, Rt2,
852 [Rn, #+/-imm]{!} */
853 && pv_is_register (regs[bits (insn, 0, 3)], ARM_SP_REGNUM))
854 {
855 int regno1 = bits (inst2, 12, 15);
856 int regno2 = bits (inst2, 8, 11);
857 pv_t addr = regs[bits (insn, 0, 3)];
858
859 offset = inst2 & 0xff;
860 if (insn & 0x0080)
861 addr = pv_add_constant (addr, offset);
862 else
863 addr = pv_add_constant (addr, -offset);
864
865 if (pv_area_store_would_trash (stack, addr))
866 break;
867
868 pv_area_store (stack, addr, 4, regs[regno1]);
869 pv_area_store (stack, pv_add_constant (addr, 4),
870 4, regs[regno2]);
871
872 if (insn & 0x0020)
873 regs[bits (insn, 0, 3)] = addr;
874 }
875
876 else if ((insn & 0xfff0) == 0xf8c0 /* str Rt,[Rn,+/-#imm]{!} */
877 && (inst2 & 0x0c00) == 0x0c00
878 && pv_is_register (regs[bits (insn, 0, 3)], ARM_SP_REGNUM))
879 {
880 int regno = bits (inst2, 12, 15);
881 pv_t addr = regs[bits (insn, 0, 3)];
882
883 offset = inst2 & 0xff;
884 if (inst2 & 0x0200)
885 addr = pv_add_constant (addr, offset);
886 else
887 addr = pv_add_constant (addr, -offset);
888
889 if (pv_area_store_would_trash (stack, addr))
890 break;
891
892 pv_area_store (stack, addr, 4, regs[regno]);
893
894 if (inst2 & 0x0100)
895 regs[bits (insn, 0, 3)] = addr;
896 }
897
898 else if ((insn & 0xfff0) == 0xf8c0 /* str.w Rt,[Rn,#imm] */
899 && pv_is_register (regs[bits (insn, 0, 3)], ARM_SP_REGNUM))
900 {
901 int regno = bits (inst2, 12, 15);
902 pv_t addr;
903
904 offset = inst2 & 0xfff;
905 addr = pv_add_constant (regs[bits (insn, 0, 3)], offset);
906
907 if (pv_area_store_would_trash (stack, addr))
908 break;
909
910 pv_area_store (stack, addr, 4, regs[regno]);
911 }
912
913 else if ((insn & 0xffd0) == 0xf880 /* str{bh}.w Rt,[Rn,#imm] */
914 && pv_is_register (regs[bits (insn, 0, 3)], ARM_SP_REGNUM))
915 /* Ignore stores of argument registers to the stack. */
916 ;
917
918 else if ((insn & 0xffd0) == 0xf800 /* str{bh} Rt,[Rn,#+/-imm] */
919 && (inst2 & 0x0d00) == 0x0c00
920 && pv_is_register (regs[bits (insn, 0, 3)], ARM_SP_REGNUM))
921 /* Ignore stores of argument registers to the stack. */
922 ;
923
924 else if ((insn & 0xffd0) == 0xe890 /* ldmia Rn[!],
925 { registers } */
926 && (inst2 & 0x8000) == 0x0000
927 && pv_is_register (regs[bits (insn, 0, 3)], ARM_SP_REGNUM))
928 /* Ignore block loads from the stack, potentially copying
929 parameters from memory. */
930 ;
931
932 else if ((insn & 0xffb0) == 0xe950 /* ldrd Rt, Rt2,
933 [Rn, #+/-imm] */
934 && pv_is_register (regs[bits (insn, 0, 3)], ARM_SP_REGNUM))
935 /* Similarly ignore dual loads from the stack. */
936 ;
937
938 else if ((insn & 0xfff0) == 0xf850 /* ldr Rt,[Rn,#+/-imm] */
939 && (inst2 & 0x0d00) == 0x0c00
940 && pv_is_register (regs[bits (insn, 0, 3)], ARM_SP_REGNUM))
941 /* Similarly ignore single loads from the stack. */
942 ;
943
944 else if ((insn & 0xfff0) == 0xf8d0 /* ldr.w Rt,[Rn,#imm] */
945 && pv_is_register (regs[bits (insn, 0, 3)], ARM_SP_REGNUM))
946 /* Similarly ignore single loads from the stack. */
947 ;
948
949 else if ((insn & 0xfbf0) == 0xf100 /* add.w Rd, Rn, #imm */
950 && (inst2 & 0x8000) == 0x0000)
951 {
952 unsigned int imm = ((bits (insn, 10, 10) << 11)
953 | (bits (inst2, 12, 14) << 8)
954 | bits (inst2, 0, 7));
955
956 regs[bits (inst2, 8, 11)]
957 = pv_add_constant (regs[bits (insn, 0, 3)],
958 thumb_expand_immediate (imm));
959 }
960
961 else if ((insn & 0xfbf0) == 0xf200 /* addw Rd, Rn, #imm */
962 && (inst2 & 0x8000) == 0x0000)
963 {
964 unsigned int imm = ((bits (insn, 10, 10) << 11)
965 | (bits (inst2, 12, 14) << 8)
966 | bits (inst2, 0, 7));
967
968 regs[bits (inst2, 8, 11)]
969 = pv_add_constant (regs[bits (insn, 0, 3)], imm);
970 }
971
972 else if ((insn & 0xfbf0) == 0xf1a0 /* sub.w Rd, Rn, #imm */
973 && (inst2 & 0x8000) == 0x0000)
974 {
975 unsigned int imm = ((bits (insn, 10, 10) << 11)
976 | (bits (inst2, 12, 14) << 8)
977 | bits (inst2, 0, 7));
978
979 regs[bits (inst2, 8, 11)]
980 = pv_add_constant (regs[bits (insn, 0, 3)],
981 - (CORE_ADDR) thumb_expand_immediate (imm));
982 }
983
984 else if ((insn & 0xfbf0) == 0xf2a0 /* subw Rd, Rn, #imm */
985 && (inst2 & 0x8000) == 0x0000)
986 {
987 unsigned int imm = ((bits (insn, 10, 10) << 11)
988 | (bits (inst2, 12, 14) << 8)
989 | bits (inst2, 0, 7));
990
991 regs[bits (inst2, 8, 11)]
992 = pv_add_constant (regs[bits (insn, 0, 3)], - (CORE_ADDR) imm);
993 }
994
995 else if ((insn & 0xfbff) == 0xf04f) /* mov.w Rd, #const */
996 {
997 unsigned int imm = ((bits (insn, 10, 10) << 11)
998 | (bits (inst2, 12, 14) << 8)
999 | bits (inst2, 0, 7));
1000
1001 regs[bits (inst2, 8, 11)]
1002 = pv_constant (thumb_expand_immediate (imm));
1003 }
1004
1005 else if ((insn & 0xfbf0) == 0xf240) /* movw Rd, #const */
1006 {
1007 unsigned int imm
1008 = EXTRACT_MOVW_MOVT_IMM_T (insn, inst2);
1009
1010 regs[bits (inst2, 8, 11)] = pv_constant (imm);
1011 }
1012
1013 else if (insn == 0xea5f /* mov.w Rd,Rm */
1014 && (inst2 & 0xf0f0) == 0)
1015 {
1016 int dst_reg = (inst2 & 0x0f00) >> 8;
1017 int src_reg = inst2 & 0xf;
1018 regs[dst_reg] = regs[src_reg];
1019 }
1020
1021 else if ((insn & 0xff7f) == 0xf85f) /* ldr.w Rt,<label> */
1022 {
1023 /* Constant pool loads. */
1024 unsigned int constant;
1025 CORE_ADDR loc;
1026
1027 offset = bits (inst2, 0, 11);
1028 if (insn & 0x0080)
1029 loc = start + 4 + offset;
1030 else
1031 loc = start + 4 - offset;
1032
1033 constant = read_memory_unsigned_integer (loc, 4, byte_order);
1034 regs[bits (inst2, 12, 15)] = pv_constant (constant);
1035 }
1036
1037 else if ((insn & 0xff7f) == 0xe95f) /* ldrd Rt,Rt2,<label> */
1038 {
1039 /* Constant pool loads. */
1040 unsigned int constant;
1041 CORE_ADDR loc;
1042
1043 offset = bits (inst2, 0, 7) << 2;
1044 if (insn & 0x0080)
1045 loc = start + 4 + offset;
1046 else
1047 loc = start + 4 - offset;
1048
1049 constant = read_memory_unsigned_integer (loc, 4, byte_order);
1050 regs[bits (inst2, 12, 15)] = pv_constant (constant);
1051
1052 constant = read_memory_unsigned_integer (loc + 4, 4, byte_order);
1053 regs[bits (inst2, 8, 11)] = pv_constant (constant);
1054 }
1055
1056 else if (thumb2_instruction_changes_pc (insn, inst2))
1057 {
1058 /* Don't scan past anything that might change control flow. */
1059 break;
1060 }
1061 else
1062 {
1063 /* The optimizer might shove anything into the prologue,
1064 so we just skip what we don't recognize. */
1065 unrecognized_pc = start;
1066 }
1067
1068 start += 2;
1069 }
1070 else if (thumb_instruction_changes_pc (insn))
1071 {
1072 /* Don't scan past anything that might change control flow. */
1073 break;
1074 }
1075 else
1076 {
1077 /* The optimizer might shove anything into the prologue,
1078 so we just skip what we don't recognize. */
1079 unrecognized_pc = start;
1080 }
1081
1082 start += 2;
1083 }
1084
1085 if (arm_debug)
1086 fprintf_unfiltered (gdb_stdlog, "Prologue scan stopped at %s\n",
1087 paddress (gdbarch, start));
1088
1089 if (unrecognized_pc == 0)
1090 unrecognized_pc = start;
1091
1092 if (cache == NULL)
1093 {
1094 do_cleanups (back_to);
1095 return unrecognized_pc;
1096 }
1097
1098 if (pv_is_register (regs[ARM_FP_REGNUM], ARM_SP_REGNUM))
1099 {
1100 /* Frame pointer is fp. Frame size is constant. */
1101 cache->framereg = ARM_FP_REGNUM;
1102 cache->framesize = -regs[ARM_FP_REGNUM].k;
1103 }
1104 else if (pv_is_register (regs[THUMB_FP_REGNUM], ARM_SP_REGNUM))
1105 {
1106 /* Frame pointer is r7. Frame size is constant. */
1107 cache->framereg = THUMB_FP_REGNUM;
1108 cache->framesize = -regs[THUMB_FP_REGNUM].k;
1109 }
1110 else
1111 {
1112 /* Try the stack pointer... this is a bit desperate. */
1113 cache->framereg = ARM_SP_REGNUM;
1114 cache->framesize = -regs[ARM_SP_REGNUM].k;
1115 }
1116
1117 for (i = 0; i < 16; i++)
1118 if (pv_area_find_reg (stack, gdbarch, i, &offset))
1119 cache->saved_regs[i].addr = offset;
1120
1121 do_cleanups (back_to);
1122 return unrecognized_pc;
1123}
1124
1125
1126/* Try to analyze the instructions starting from PC, which load symbol
1127 __stack_chk_guard. Return the address of instruction after loading this
1128 symbol, set the dest register number to *BASEREG, and set the size of
1129 instructions for loading symbol in OFFSET. Return 0 if instructions are
1130 not recognized. */
1131
1132static CORE_ADDR
1133arm_analyze_load_stack_chk_guard(CORE_ADDR pc, struct gdbarch *gdbarch,
1134 unsigned int *destreg, int *offset)
1135{
1136 enum bfd_endian byte_order_for_code = gdbarch_byte_order_for_code (gdbarch);
1137 int is_thumb = arm_pc_is_thumb (gdbarch, pc);
1138 unsigned int low, high, address;
1139
1140 address = 0;
1141 if (is_thumb)
1142 {
1143 unsigned short insn1
1144 = read_code_unsigned_integer (pc, 2, byte_order_for_code);
1145
1146 if ((insn1 & 0xf800) == 0x4800) /* ldr Rd, #immed */
1147 {
1148 *destreg = bits (insn1, 8, 10);
1149 *offset = 2;
1150 address = (pc & 0xfffffffc) + 4 + (bits (insn1, 0, 7) << 2);
1151 address = read_memory_unsigned_integer (address, 4,
1152 byte_order_for_code);
1153 }
1154 else if ((insn1 & 0xfbf0) == 0xf240) /* movw Rd, #const */
1155 {
1156 unsigned short insn2
1157 = read_code_unsigned_integer (pc + 2, 2, byte_order_for_code);
1158
1159 low = EXTRACT_MOVW_MOVT_IMM_T (insn1, insn2);
1160
1161 insn1
1162 = read_code_unsigned_integer (pc + 4, 2, byte_order_for_code);
1163 insn2
1164 = read_code_unsigned_integer (pc + 6, 2, byte_order_for_code);
1165
1166 /* movt Rd, #const */
1167 if ((insn1 & 0xfbc0) == 0xf2c0)
1168 {
1169 high = EXTRACT_MOVW_MOVT_IMM_T (insn1, insn2);
1170 *destreg = bits (insn2, 8, 11);
1171 *offset = 8;
1172 address = (high << 16 | low);
1173 }
1174 }
1175 }
1176 else
1177 {
1178 unsigned int insn
1179 = read_code_unsigned_integer (pc, 4, byte_order_for_code);
1180
1181 if ((insn & 0x0e5f0000) == 0x041f0000) /* ldr Rd, [PC, #immed] */
1182 {
1183 address = bits (insn, 0, 11) + pc + 8;
1184 address = read_memory_unsigned_integer (address, 4,
1185 byte_order_for_code);
1186
1187 *destreg = bits (insn, 12, 15);
1188 *offset = 4;
1189 }
1190 else if ((insn & 0x0ff00000) == 0x03000000) /* movw Rd, #const */
1191 {
1192 low = EXTRACT_MOVW_MOVT_IMM_A (insn);
1193
1194 insn
1195 = read_code_unsigned_integer (pc + 4, 4, byte_order_for_code);
1196
1197 if ((insn & 0x0ff00000) == 0x03400000) /* movt Rd, #const */
1198 {
1199 high = EXTRACT_MOVW_MOVT_IMM_A (insn);
1200 *destreg = bits (insn, 12, 15);
1201 *offset = 8;
1202 address = (high << 16 | low);
1203 }
1204 }
1205 }
1206
1207 return address;
1208}
1209
1210/* Try to skip a sequence of instructions used for stack protector. If PC
1211 points to the first instruction of this sequence, return the address of
1212 first instruction after this sequence, otherwise, return original PC.
1213
1214 On arm, this sequence of instructions is composed of mainly three steps,
1215 Step 1: load symbol __stack_chk_guard,
1216 Step 2: load from address of __stack_chk_guard,
1217 Step 3: store it to somewhere else.
1218
1219 Usually, instructions on step 2 and step 3 are the same on various ARM
1220 architectures. On step 2, it is one instruction 'ldr Rx, [Rn, #0]', and
1221 on step 3, it is also one instruction 'str Rx, [r7, #immd]'. However,
1222 instructions in step 1 vary from different ARM architectures. On ARMv7,
1223 they are,
1224
1225 movw Rn, #:lower16:__stack_chk_guard
1226 movt Rn, #:upper16:__stack_chk_guard
1227
1228 On ARMv5t, it is,
1229
1230 ldr Rn, .Label
1231 ....
1232 .Lable:
1233 .word __stack_chk_guard
1234
1235 Since ldr/str is a very popular instruction, we can't use them as
1236 'fingerprint' or 'signature' of stack protector sequence. Here we choose
1237 sequence {movw/movt, ldr}/ldr/str plus symbol __stack_chk_guard, if not
1238 stripped, as the 'fingerprint' of a stack protector cdoe sequence. */
1239
1240static CORE_ADDR
1241arm_skip_stack_protector(CORE_ADDR pc, struct gdbarch *gdbarch)
1242{
1243 enum bfd_endian byte_order_for_code = gdbarch_byte_order_for_code (gdbarch);
1244 unsigned int basereg;
1245 struct bound_minimal_symbol stack_chk_guard;
1246 int offset;
1247 int is_thumb = arm_pc_is_thumb (gdbarch, pc);
1248 CORE_ADDR addr;
1249
1250 /* Try to parse the instructions in Step 1. */
1251 addr = arm_analyze_load_stack_chk_guard (pc, gdbarch,
1252 &basereg, &offset);
1253 if (!addr)
1254 return pc;
1255
1256 stack_chk_guard = lookup_minimal_symbol_by_pc (addr);
1257 /* ADDR must correspond to a symbol whose name is __stack_chk_guard.
1258 Otherwise, this sequence cannot be for stack protector. */
1259 if (stack_chk_guard.minsym == NULL
1260 || !startswith (MSYMBOL_LINKAGE_NAME (stack_chk_guard.minsym), "__stack_chk_guard"))
1261 return pc;
1262
1263 if (is_thumb)
1264 {
1265 unsigned int destreg;
1266 unsigned short insn
1267 = read_code_unsigned_integer (pc + offset, 2, byte_order_for_code);
1268
1269 /* Step 2: ldr Rd, [Rn, #immed], encoding T1. */
1270 if ((insn & 0xf800) != 0x6800)
1271 return pc;
1272 if (bits (insn, 3, 5) != basereg)
1273 return pc;
1274 destreg = bits (insn, 0, 2);
1275
1276 insn = read_code_unsigned_integer (pc + offset + 2, 2,
1277 byte_order_for_code);
1278 /* Step 3: str Rd, [Rn, #immed], encoding T1. */
1279 if ((insn & 0xf800) != 0x6000)
1280 return pc;
1281 if (destreg != bits (insn, 0, 2))
1282 return pc;
1283 }
1284 else
1285 {
1286 unsigned int destreg;
1287 unsigned int insn
1288 = read_code_unsigned_integer (pc + offset, 4, byte_order_for_code);
1289
1290 /* Step 2: ldr Rd, [Rn, #immed], encoding A1. */
1291 if ((insn & 0x0e500000) != 0x04100000)
1292 return pc;
1293 if (bits (insn, 16, 19) != basereg)
1294 return pc;
1295 destreg = bits (insn, 12, 15);
1296 /* Step 3: str Rd, [Rn, #immed], encoding A1. */
1297 insn = read_code_unsigned_integer (pc + offset + 4,
1298 4, byte_order_for_code);
1299 if ((insn & 0x0e500000) != 0x04000000)
1300 return pc;
1301 if (bits (insn, 12, 15) != destreg)
1302 return pc;
1303 }
1304 /* The size of total two instructions ldr/str is 4 on Thumb-2, while 8
1305 on arm. */
1306 if (is_thumb)
1307 return pc + offset + 4;
1308 else
1309 return pc + offset + 8;
1310}
1311
1312/* Advance the PC across any function entry prologue instructions to
1313 reach some "real" code.
1314
1315 The APCS (ARM Procedure Call Standard) defines the following
1316 prologue:
1317
1318 mov ip, sp
1319 [stmfd sp!, {a1,a2,a3,a4}]
1320 stmfd sp!, {...,fp,ip,lr,pc}
1321 [stfe f7, [sp, #-12]!]
1322 [stfe f6, [sp, #-12]!]
1323 [stfe f5, [sp, #-12]!]
1324 [stfe f4, [sp, #-12]!]
1325 sub fp, ip, #nn @@ nn == 20 or 4 depending on second insn. */
1326
1327static CORE_ADDR
1328arm_skip_prologue (struct gdbarch *gdbarch, CORE_ADDR pc)
1329{
1330 CORE_ADDR func_addr, limit_pc;
1331
1332 /* See if we can determine the end of the prologue via the symbol table.
1333 If so, then return either PC, or the PC after the prologue, whichever
1334 is greater. */
1335 if (find_pc_partial_function (pc, NULL, &func_addr, NULL))
1336 {
1337 CORE_ADDR post_prologue_pc
1338 = skip_prologue_using_sal (gdbarch, func_addr);
1339 struct compunit_symtab *cust = find_pc_compunit_symtab (func_addr);
1340
1341 if (post_prologue_pc)
1342 post_prologue_pc
1343 = arm_skip_stack_protector (post_prologue_pc, gdbarch);
1344
1345
1346 /* GCC always emits a line note before the prologue and another
1347 one after, even if the two are at the same address or on the
1348 same line. Take advantage of this so that we do not need to
1349 know every instruction that might appear in the prologue. We
1350 will have producer information for most binaries; if it is
1351 missing (e.g. for -gstabs), assuming the GNU tools. */
1352 if (post_prologue_pc
1353 && (cust == NULL
1354 || COMPUNIT_PRODUCER (cust) == NULL
1355 || startswith (COMPUNIT_PRODUCER (cust), "GNU ")
1356 || startswith (COMPUNIT_PRODUCER (cust), "clang ")))
1357 return post_prologue_pc;
1358
1359 if (post_prologue_pc != 0)
1360 {
1361 CORE_ADDR analyzed_limit;
1362
1363 /* For non-GCC compilers, make sure the entire line is an
1364 acceptable prologue; GDB will round this function's
1365 return value up to the end of the following line so we
1366 can not skip just part of a line (and we do not want to).
1367
1368 RealView does not treat the prologue specially, but does
1369 associate prologue code with the opening brace; so this
1370 lets us skip the first line if we think it is the opening
1371 brace. */
1372 if (arm_pc_is_thumb (gdbarch, func_addr))
1373 analyzed_limit = thumb_analyze_prologue (gdbarch, func_addr,
1374 post_prologue_pc, NULL);
1375 else
1376 analyzed_limit = arm_analyze_prologue (gdbarch, func_addr,
1377 post_prologue_pc, NULL);
1378
1379 if (analyzed_limit != post_prologue_pc)
1380 return func_addr;
1381
1382 return post_prologue_pc;
1383 }
1384 }
1385
1386 /* Can't determine prologue from the symbol table, need to examine
1387 instructions. */
1388
1389 /* Find an upper limit on the function prologue using the debug
1390 information. If the debug information could not be used to provide
1391 that bound, then use an arbitrary large number as the upper bound. */
1392 /* Like arm_scan_prologue, stop no later than pc + 64. */
1393 limit_pc = skip_prologue_using_sal (gdbarch, pc);
1394 if (limit_pc == 0)
1395 limit_pc = pc + 64; /* Magic. */
1396
1397
1398 /* Check if this is Thumb code. */
1399 if (arm_pc_is_thumb (gdbarch, pc))
1400 return thumb_analyze_prologue (gdbarch, pc, limit_pc, NULL);
1401 else
1402 return arm_analyze_prologue (gdbarch, pc, limit_pc, NULL);
1403}
1404
1405/* *INDENT-OFF* */
1406/* Function: thumb_scan_prologue (helper function for arm_scan_prologue)
1407 This function decodes a Thumb function prologue to determine:
1408 1) the size of the stack frame
1409 2) which registers are saved on it
1410 3) the offsets of saved regs
1411 4) the offset from the stack pointer to the frame pointer
1412
1413 A typical Thumb function prologue would create this stack frame
1414 (offsets relative to FP)
1415 old SP -> 24 stack parameters
1416 20 LR
1417 16 R7
1418 R7 -> 0 local variables (16 bytes)
1419 SP -> -12 additional stack space (12 bytes)
1420 The frame size would thus be 36 bytes, and the frame offset would be
1421 12 bytes. The frame register is R7.
1422
1423 The comments for thumb_skip_prolog() describe the algorithm we use
1424 to detect the end of the prolog. */
1425/* *INDENT-ON* */
1426
1427static void
1428thumb_scan_prologue (struct gdbarch *gdbarch, CORE_ADDR prev_pc,
1429 CORE_ADDR block_addr, struct arm_prologue_cache *cache)
1430{
1431 CORE_ADDR prologue_start;
1432 CORE_ADDR prologue_end;
1433
1434 if (find_pc_partial_function (block_addr, NULL, &prologue_start,
1435 &prologue_end))
1436 {
1437 /* See comment in arm_scan_prologue for an explanation of
1438 this heuristics. */
1439 if (prologue_end > prologue_start + 64)
1440 {
1441 prologue_end = prologue_start + 64;
1442 }
1443 }
1444 else
1445 /* We're in the boondocks: we have no idea where the start of the
1446 function is. */
1447 return;
1448
1449 prologue_end = std::min (prologue_end, prev_pc);
1450
1451 thumb_analyze_prologue (gdbarch, prologue_start, prologue_end, cache);
1452}
1453
1454/* Return 1 if the ARM instruction INSN restores SP in epilogue, 0
1455 otherwise. */
1456
1457static int
1458arm_instruction_restores_sp (unsigned int insn)
1459{
1460 if (bits (insn, 28, 31) != INST_NV)
1461 {
1462 if ((insn & 0x0df0f000) == 0x0080d000
1463 /* ADD SP (register or immediate). */
1464 || (insn & 0x0df0f000) == 0x0040d000
1465 /* SUB SP (register or immediate). */
1466 || (insn & 0x0ffffff0) == 0x01a0d000
1467 /* MOV SP. */
1468 || (insn & 0x0fff0000) == 0x08bd0000
1469 /* POP (LDMIA). */
1470 || (insn & 0x0fff0000) == 0x049d0000)
1471 /* POP of a single register. */
1472 return 1;
1473 }
1474
1475 return 0;
1476}
1477
1478/* Analyze an ARM mode prologue starting at PROLOGUE_START and
1479 continuing no further than PROLOGUE_END. If CACHE is non-NULL,
1480 fill it in. Return the first address not recognized as a prologue
1481 instruction.
1482
1483 We recognize all the instructions typically found in ARM prologues,
1484 plus harmless instructions which can be skipped (either for analysis
1485 purposes, or a more restrictive set that can be skipped when finding
1486 the end of the prologue). */
1487
1488static CORE_ADDR
1489arm_analyze_prologue (struct gdbarch *gdbarch,
1490 CORE_ADDR prologue_start, CORE_ADDR prologue_end,
1491 struct arm_prologue_cache *cache)
1492{
1493 enum bfd_endian byte_order_for_code = gdbarch_byte_order_for_code (gdbarch);
1494 int regno;
1495 CORE_ADDR offset, current_pc;
1496 pv_t regs[ARM_FPS_REGNUM];
1497 struct pv_area *stack;
1498 struct cleanup *back_to;
1499 CORE_ADDR unrecognized_pc = 0;
1500
1501 /* Search the prologue looking for instructions that set up the
1502 frame pointer, adjust the stack pointer, and save registers.
1503
1504 Be careful, however, and if it doesn't look like a prologue,
1505 don't try to scan it. If, for instance, a frameless function
1506 begins with stmfd sp!, then we will tell ourselves there is
1507 a frame, which will confuse stack traceback, as well as "finish"
1508 and other operations that rely on a knowledge of the stack
1509 traceback. */
1510
1511 for (regno = 0; regno < ARM_FPS_REGNUM; regno++)
1512 regs[regno] = pv_register (regno, 0);
1513 stack = make_pv_area (ARM_SP_REGNUM, gdbarch_addr_bit (gdbarch));
1514 back_to = make_cleanup_free_pv_area (stack);
1515
1516 for (current_pc = prologue_start;
1517 current_pc < prologue_end;
1518 current_pc += 4)
1519 {
1520 unsigned int insn
1521 = read_code_unsigned_integer (current_pc, 4, byte_order_for_code);
1522
1523 if (insn == 0xe1a0c00d) /* mov ip, sp */
1524 {
1525 regs[ARM_IP_REGNUM] = regs[ARM_SP_REGNUM];
1526 continue;
1527 }
1528 else if ((insn & 0xfff00000) == 0xe2800000 /* add Rd, Rn, #n */
1529 && pv_is_register (regs[bits (insn, 16, 19)], ARM_SP_REGNUM))
1530 {
1531 unsigned imm = insn & 0xff; /* immediate value */
1532 unsigned rot = (insn & 0xf00) >> 7; /* rotate amount */
1533 int rd = bits (insn, 12, 15);
1534 imm = (imm >> rot) | (imm << (32 - rot));
1535 regs[rd] = pv_add_constant (regs[bits (insn, 16, 19)], imm);
1536 continue;
1537 }
1538 else if ((insn & 0xfff00000) == 0xe2400000 /* sub Rd, Rn, #n */
1539 && pv_is_register (regs[bits (insn, 16, 19)], ARM_SP_REGNUM))
1540 {
1541 unsigned imm = insn & 0xff; /* immediate value */
1542 unsigned rot = (insn & 0xf00) >> 7; /* rotate amount */
1543 int rd = bits (insn, 12, 15);
1544 imm = (imm >> rot) | (imm << (32 - rot));
1545 regs[rd] = pv_add_constant (regs[bits (insn, 16, 19)], -imm);
1546 continue;
1547 }
1548 else if ((insn & 0xffff0fff) == 0xe52d0004) /* str Rd,
1549 [sp, #-4]! */
1550 {
1551 if (pv_area_store_would_trash (stack, regs[ARM_SP_REGNUM]))
1552 break;
1553 regs[ARM_SP_REGNUM] = pv_add_constant (regs[ARM_SP_REGNUM], -4);
1554 pv_area_store (stack, regs[ARM_SP_REGNUM], 4,
1555 regs[bits (insn, 12, 15)]);
1556 continue;
1557 }
1558 else if ((insn & 0xffff0000) == 0xe92d0000)
1559 /* stmfd sp!, {..., fp, ip, lr, pc}
1560 or
1561 stmfd sp!, {a1, a2, a3, a4} */
1562 {
1563 int mask = insn & 0xffff;
1564
1565 if (pv_area_store_would_trash (stack, regs[ARM_SP_REGNUM]))
1566 break;
1567
1568 /* Calculate offsets of saved registers. */
1569 for (regno = ARM_PC_REGNUM; regno >= 0; regno--)
1570 if (mask & (1 << regno))
1571 {
1572 regs[ARM_SP_REGNUM]
1573 = pv_add_constant (regs[ARM_SP_REGNUM], -4);
1574 pv_area_store (stack, regs[ARM_SP_REGNUM], 4, regs[regno]);
1575 }
1576 }
1577 else if ((insn & 0xffff0000) == 0xe54b0000 /* strb rx,[r11,#-n] */
1578 || (insn & 0xffff00f0) == 0xe14b00b0 /* strh rx,[r11,#-n] */
1579 || (insn & 0xffffc000) == 0xe50b0000) /* str rx,[r11,#-n] */
1580 {
1581 /* No need to add this to saved_regs -- it's just an arg reg. */
1582 continue;
1583 }
1584 else if ((insn & 0xffff0000) == 0xe5cd0000 /* strb rx,[sp,#n] */
1585 || (insn & 0xffff00f0) == 0xe1cd00b0 /* strh rx,[sp,#n] */
1586 || (insn & 0xffffc000) == 0xe58d0000) /* str rx,[sp,#n] */
1587 {
1588 /* No need to add this to saved_regs -- it's just an arg reg. */
1589 continue;
1590 }
1591 else if ((insn & 0xfff00000) == 0xe8800000 /* stm Rn,
1592 { registers } */
1593 && pv_is_register (regs[bits (insn, 16, 19)], ARM_SP_REGNUM))
1594 {
1595 /* No need to add this to saved_regs -- it's just arg regs. */
1596 continue;
1597 }
1598 else if ((insn & 0xfffff000) == 0xe24cb000) /* sub fp, ip #n */
1599 {
1600 unsigned imm = insn & 0xff; /* immediate value */
1601 unsigned rot = (insn & 0xf00) >> 7; /* rotate amount */
1602 imm = (imm >> rot) | (imm << (32 - rot));
1603 regs[ARM_FP_REGNUM] = pv_add_constant (regs[ARM_IP_REGNUM], -imm);
1604 }
1605 else if ((insn & 0xfffff000) == 0xe24dd000) /* sub sp, sp #n */
1606 {
1607 unsigned imm = insn & 0xff; /* immediate value */
1608 unsigned rot = (insn & 0xf00) >> 7; /* rotate amount */
1609 imm = (imm >> rot) | (imm << (32 - rot));
1610 regs[ARM_SP_REGNUM] = pv_add_constant (regs[ARM_SP_REGNUM], -imm);
1611 }
1612 else if ((insn & 0xffff7fff) == 0xed6d0103 /* stfe f?,
1613 [sp, -#c]! */
1614 && gdbarch_tdep (gdbarch)->have_fpa_registers)
1615 {
1616 if (pv_area_store_would_trash (stack, regs[ARM_SP_REGNUM]))
1617 break;
1618
1619 regs[ARM_SP_REGNUM] = pv_add_constant (regs[ARM_SP_REGNUM], -12);
1620 regno = ARM_F0_REGNUM + ((insn >> 12) & 0x07);
1621 pv_area_store (stack, regs[ARM_SP_REGNUM], 12, regs[regno]);
1622 }
1623 else if ((insn & 0xffbf0fff) == 0xec2d0200 /* sfmfd f0, 4,
1624 [sp!] */
1625 && gdbarch_tdep (gdbarch)->have_fpa_registers)
1626 {
1627 int n_saved_fp_regs;
1628 unsigned int fp_start_reg, fp_bound_reg;
1629
1630 if (pv_area_store_would_trash (stack, regs[ARM_SP_REGNUM]))
1631 break;
1632
1633 if ((insn & 0x800) == 0x800) /* N0 is set */
1634 {
1635 if ((insn & 0x40000) == 0x40000) /* N1 is set */
1636 n_saved_fp_regs = 3;
1637 else
1638 n_saved_fp_regs = 1;
1639 }
1640 else
1641 {
1642 if ((insn & 0x40000) == 0x40000) /* N1 is set */
1643 n_saved_fp_regs = 2;
1644 else
1645 n_saved_fp_regs = 4;
1646 }
1647
1648 fp_start_reg = ARM_F0_REGNUM + ((insn >> 12) & 0x7);
1649 fp_bound_reg = fp_start_reg + n_saved_fp_regs;
1650 for (; fp_start_reg < fp_bound_reg; fp_start_reg++)
1651 {
1652 regs[ARM_SP_REGNUM] = pv_add_constant (regs[ARM_SP_REGNUM], -12);
1653 pv_area_store (stack, regs[ARM_SP_REGNUM], 12,
1654 regs[fp_start_reg++]);
1655 }
1656 }
1657 else if ((insn & 0xff000000) == 0xeb000000 && cache == NULL) /* bl */
1658 {
1659 /* Allow some special function calls when skipping the
1660 prologue; GCC generates these before storing arguments to
1661 the stack. */
1662 CORE_ADDR dest = BranchDest (current_pc, insn);
1663
1664 if (skip_prologue_function (gdbarch, dest, 0))
1665 continue;
1666 else
1667 break;
1668 }
1669 else if ((insn & 0xf0000000) != 0xe0000000)
1670 break; /* Condition not true, exit early. */
1671 else if (arm_instruction_changes_pc (insn))
1672 /* Don't scan past anything that might change control flow. */
1673 break;
1674 else if (arm_instruction_restores_sp (insn))
1675 {
1676 /* Don't scan past the epilogue. */
1677 break;
1678 }
1679 else if ((insn & 0xfe500000) == 0xe8100000 /* ldm */
1680 && pv_is_register (regs[bits (insn, 16, 19)], ARM_SP_REGNUM))
1681 /* Ignore block loads from the stack, potentially copying
1682 parameters from memory. */
1683 continue;
1684 else if ((insn & 0xfc500000) == 0xe4100000
1685 && pv_is_register (regs[bits (insn, 16, 19)], ARM_SP_REGNUM))
1686 /* Similarly ignore single loads from the stack. */
1687 continue;
1688 else if ((insn & 0xffff0ff0) == 0xe1a00000)
1689 /* MOV Rd, Rm. Skip register copies, i.e. saves to another
1690 register instead of the stack. */
1691 continue;
1692 else
1693 {
1694 /* The optimizer might shove anything into the prologue, if
1695 we build up cache (cache != NULL) from scanning prologue,
1696 we just skip what we don't recognize and scan further to
1697 make cache as complete as possible. However, if we skip
1698 prologue, we'll stop immediately on unrecognized
1699 instruction. */
1700 unrecognized_pc = current_pc;
1701 if (cache != NULL)
1702 continue;
1703 else
1704 break;
1705 }
1706 }
1707
1708 if (unrecognized_pc == 0)
1709 unrecognized_pc = current_pc;
1710
1711 if (cache)
1712 {
1713 int framereg, framesize;
1714
1715 /* The frame size is just the distance from the frame register
1716 to the original stack pointer. */
1717 if (pv_is_register (regs[ARM_FP_REGNUM], ARM_SP_REGNUM))
1718 {
1719 /* Frame pointer is fp. */
1720 framereg = ARM_FP_REGNUM;
1721 framesize = -regs[ARM_FP_REGNUM].k;
1722 }
1723 else
1724 {
1725 /* Try the stack pointer... this is a bit desperate. */
1726 framereg = ARM_SP_REGNUM;
1727 framesize = -regs[ARM_SP_REGNUM].k;
1728 }
1729
1730 cache->framereg = framereg;
1731 cache->framesize = framesize;
1732
1733 for (regno = 0; regno < ARM_FPS_REGNUM; regno++)
1734 if (pv_area_find_reg (stack, gdbarch, regno, &offset))
1735 cache->saved_regs[regno].addr = offset;
1736 }
1737
1738 if (arm_debug)
1739 fprintf_unfiltered (gdb_stdlog, "Prologue scan stopped at %s\n",
1740 paddress (gdbarch, unrecognized_pc));
1741
1742 do_cleanups (back_to);
1743 return unrecognized_pc;
1744}
1745
1746static void
1747arm_scan_prologue (struct frame_info *this_frame,
1748 struct arm_prologue_cache *cache)
1749{
1750 struct gdbarch *gdbarch = get_frame_arch (this_frame);
1751 enum bfd_endian byte_order = gdbarch_byte_order (gdbarch);
1752 CORE_ADDR prologue_start, prologue_end;
1753 CORE_ADDR prev_pc = get_frame_pc (this_frame);
1754 CORE_ADDR block_addr = get_frame_address_in_block (this_frame);
1755
1756 /* Assume there is no frame until proven otherwise. */
1757 cache->framereg = ARM_SP_REGNUM;
1758 cache->framesize = 0;
1759
1760 /* Check for Thumb prologue. */
1761 if (arm_frame_is_thumb (this_frame))
1762 {
1763 thumb_scan_prologue (gdbarch, prev_pc, block_addr, cache);
1764 return;
1765 }
1766
1767 /* Find the function prologue. If we can't find the function in
1768 the symbol table, peek in the stack frame to find the PC. */
1769 if (find_pc_partial_function (block_addr, NULL, &prologue_start,
1770 &prologue_end))
1771 {
1772 /* One way to find the end of the prologue (which works well
1773 for unoptimized code) is to do the following:
1774
1775 struct symtab_and_line sal = find_pc_line (prologue_start, 0);
1776
1777 if (sal.line == 0)
1778 prologue_end = prev_pc;
1779 else if (sal.end < prologue_end)
1780 prologue_end = sal.end;
1781
1782 This mechanism is very accurate so long as the optimizer
1783 doesn't move any instructions from the function body into the
1784 prologue. If this happens, sal.end will be the last
1785 instruction in the first hunk of prologue code just before
1786 the first instruction that the scheduler has moved from
1787 the body to the prologue.
1788
1789 In order to make sure that we scan all of the prologue
1790 instructions, we use a slightly less accurate mechanism which
1791 may scan more than necessary. To help compensate for this
1792 lack of accuracy, the prologue scanning loop below contains
1793 several clauses which'll cause the loop to terminate early if
1794 an implausible prologue instruction is encountered.
1795
1796 The expression
1797
1798 prologue_start + 64
1799
1800 is a suitable endpoint since it accounts for the largest
1801 possible prologue plus up to five instructions inserted by
1802 the scheduler. */
1803
1804 if (prologue_end > prologue_start + 64)
1805 {
1806 prologue_end = prologue_start + 64; /* See above. */
1807 }
1808 }
1809 else
1810 {
1811 /* We have no symbol information. Our only option is to assume this
1812 function has a standard stack frame and the normal frame register.
1813 Then, we can find the value of our frame pointer on entrance to
1814 the callee (or at the present moment if this is the innermost frame).
1815 The value stored there should be the address of the stmfd + 8. */
1816 CORE_ADDR frame_loc;
1817 ULONGEST return_value;
1818
1819 frame_loc = get_frame_register_unsigned (this_frame, ARM_FP_REGNUM);
1820 if (!safe_read_memory_unsigned_integer (frame_loc, 4, byte_order,
1821 &return_value))
1822 return;
1823 else
1824 {
1825 prologue_start = gdbarch_addr_bits_remove
1826 (gdbarch, return_value) - 8;
1827 prologue_end = prologue_start + 64; /* See above. */
1828 }
1829 }
1830
1831 if (prev_pc < prologue_end)
1832 prologue_end = prev_pc;
1833
1834 arm_analyze_prologue (gdbarch, prologue_start, prologue_end, cache);
1835}
1836
1837static struct arm_prologue_cache *
1838arm_make_prologue_cache (struct frame_info *this_frame)
1839{
1840 int reg;
1841 struct arm_prologue_cache *cache;
1842 CORE_ADDR unwound_fp;
1843
1844 cache = FRAME_OBSTACK_ZALLOC (struct arm_prologue_cache);
1845 cache->saved_regs = trad_frame_alloc_saved_regs (this_frame);
1846
1847 arm_scan_prologue (this_frame, cache);
1848
1849 unwound_fp = get_frame_register_unsigned (this_frame, cache->framereg);
1850 if (unwound_fp == 0)
1851 return cache;
1852
1853 cache->prev_sp = unwound_fp + cache->framesize;
1854
1855 /* Calculate actual addresses of saved registers using offsets
1856 determined by arm_scan_prologue. */
1857 for (reg = 0; reg < gdbarch_num_regs (get_frame_arch (this_frame)); reg++)
1858 if (trad_frame_addr_p (cache->saved_regs, reg))
1859 cache->saved_regs[reg].addr += cache->prev_sp;
1860
1861 return cache;
1862}
1863
1864/* Implementation of the stop_reason hook for arm_prologue frames. */
1865
1866static enum unwind_stop_reason
1867arm_prologue_unwind_stop_reason (struct frame_info *this_frame,
1868 void **this_cache)
1869{
1870 struct arm_prologue_cache *cache;
1871 CORE_ADDR pc;
1872
1873 if (*this_cache == NULL)
1874 *this_cache = arm_make_prologue_cache (this_frame);
1875 cache = (struct arm_prologue_cache *) *this_cache;
1876
1877 /* This is meant to halt the backtrace at "_start". */
1878 pc = get_frame_pc (this_frame);
1879 if (pc <= gdbarch_tdep (get_frame_arch (this_frame))->lowest_pc)
1880 return UNWIND_OUTERMOST;
1881
1882 /* If we've hit a wall, stop. */
1883 if (cache->prev_sp == 0)
1884 return UNWIND_OUTERMOST;
1885
1886 return UNWIND_NO_REASON;
1887}
1888
1889/* Our frame ID for a normal frame is the current function's starting PC
1890 and the caller's SP when we were called. */
1891
1892static void
1893arm_prologue_this_id (struct frame_info *this_frame,
1894 void **this_cache,
1895 struct frame_id *this_id)
1896{
1897 struct arm_prologue_cache *cache;
1898 struct frame_id id;
1899 CORE_ADDR pc, func;
1900
1901 if (*this_cache == NULL)
1902 *this_cache = arm_make_prologue_cache (this_frame);
1903 cache = (struct arm_prologue_cache *) *this_cache;
1904
1905 /* Use function start address as part of the frame ID. If we cannot
1906 identify the start address (due to missing symbol information),
1907 fall back to just using the current PC. */
1908 pc = get_frame_pc (this_frame);
1909 func = get_frame_func (this_frame);
1910 if (!func)
1911 func = pc;
1912
1913 id = frame_id_build (cache->prev_sp, func);
1914 *this_id = id;
1915}
1916
1917static struct value *
1918arm_prologue_prev_register (struct frame_info *this_frame,
1919 void **this_cache,
1920 int prev_regnum)
1921{
1922 struct gdbarch *gdbarch = get_frame_arch (this_frame);
1923 struct arm_prologue_cache *cache;
1924
1925 if (*this_cache == NULL)
1926 *this_cache = arm_make_prologue_cache (this_frame);
1927 cache = (struct arm_prologue_cache *) *this_cache;
1928
1929 /* If we are asked to unwind the PC, then we need to return the LR
1930 instead. The prologue may save PC, but it will point into this
1931 frame's prologue, not the next frame's resume location. Also
1932 strip the saved T bit. A valid LR may have the low bit set, but
1933 a valid PC never does. */
1934 if (prev_regnum == ARM_PC_REGNUM)
1935 {
1936 CORE_ADDR lr;
1937
1938 lr = frame_unwind_register_unsigned (this_frame, ARM_LR_REGNUM);
1939 return frame_unwind_got_constant (this_frame, prev_regnum,
1940 arm_addr_bits_remove (gdbarch, lr));
1941 }
1942
1943 /* SP is generally not saved to the stack, but this frame is
1944 identified by the next frame's stack pointer at the time of the call.
1945 The value was already reconstructed into PREV_SP. */
1946 if (prev_regnum == ARM_SP_REGNUM)
1947 return frame_unwind_got_constant (this_frame, prev_regnum, cache->prev_sp);
1948
1949 /* The CPSR may have been changed by the call instruction and by the
1950 called function. The only bit we can reconstruct is the T bit,
1951 by checking the low bit of LR as of the call. This is a reliable
1952 indicator of Thumb-ness except for some ARM v4T pre-interworking
1953 Thumb code, which could get away with a clear low bit as long as
1954 the called function did not use bx. Guess that all other
1955 bits are unchanged; the condition flags are presumably lost,
1956 but the processor status is likely valid. */
1957 if (prev_regnum == ARM_PS_REGNUM)
1958 {
1959 CORE_ADDR lr, cpsr;
1960 ULONGEST t_bit = arm_psr_thumb_bit (gdbarch);
1961
1962 cpsr = get_frame_register_unsigned (this_frame, prev_regnum);
1963 lr = frame_unwind_register_unsigned (this_frame, ARM_LR_REGNUM);
1964 if (IS_THUMB_ADDR (lr))
1965 cpsr |= t_bit;
1966 else
1967 cpsr &= ~t_bit;
1968 return frame_unwind_got_constant (this_frame, prev_regnum, cpsr);
1969 }
1970
1971 return trad_frame_get_prev_register (this_frame, cache->saved_regs,
1972 prev_regnum);
1973}
1974
1975struct frame_unwind arm_prologue_unwind = {
1976 NORMAL_FRAME,
1977 arm_prologue_unwind_stop_reason,
1978 arm_prologue_this_id,
1979 arm_prologue_prev_register,
1980 NULL,
1981 default_frame_sniffer
1982};
1983
1984/* Maintain a list of ARM exception table entries per objfile, similar to the
1985 list of mapping symbols. We only cache entries for standard ARM-defined
1986 personality routines; the cache will contain only the frame unwinding
1987 instructions associated with the entry (not the descriptors). */
1988
1989static const struct objfile_data *arm_exidx_data_key;
1990
1991struct arm_exidx_entry
1992{
1993 bfd_vma addr;
1994 gdb_byte *entry;
1995};
1996typedef struct arm_exidx_entry arm_exidx_entry_s;
1997DEF_VEC_O(arm_exidx_entry_s);
1998
1999struct arm_exidx_data
2000{
2001 VEC(arm_exidx_entry_s) **section_maps;
2002};
2003
2004static void
2005arm_exidx_data_free (struct objfile *objfile, void *arg)
2006{
2007 struct arm_exidx_data *data = (struct arm_exidx_data *) arg;
2008 unsigned int i;
2009
2010 for (i = 0; i < objfile->obfd->section_count; i++)
2011 VEC_free (arm_exidx_entry_s, data->section_maps[i]);
2012}
2013
2014static inline int
2015arm_compare_exidx_entries (const struct arm_exidx_entry *lhs,
2016 const struct arm_exidx_entry *rhs)
2017{
2018 return lhs->addr < rhs->addr;
2019}
2020
2021static struct obj_section *
2022arm_obj_section_from_vma (struct objfile *objfile, bfd_vma vma)
2023{
2024 struct obj_section *osect;
2025
2026 ALL_OBJFILE_OSECTIONS (objfile, osect)
2027 if (bfd_get_section_flags (objfile->obfd,
2028 osect->the_bfd_section) & SEC_ALLOC)
2029 {
2030 bfd_vma start, size;
2031 start = bfd_get_section_vma (objfile->obfd, osect->the_bfd_section);
2032 size = bfd_get_section_size (osect->the_bfd_section);
2033
2034 if (start <= vma && vma < start + size)
2035 return osect;
2036 }
2037
2038 return NULL;
2039}
2040
2041/* Parse contents of exception table and exception index sections
2042 of OBJFILE, and fill in the exception table entry cache.
2043
2044 For each entry that refers to a standard ARM-defined personality
2045 routine, extract the frame unwinding instructions (from either
2046 the index or the table section). The unwinding instructions
2047 are normalized by:
2048 - extracting them from the rest of the table data
2049 - converting to host endianness
2050 - appending the implicit 0xb0 ("Finish") code
2051
2052 The extracted and normalized instructions are stored for later
2053 retrieval by the arm_find_exidx_entry routine. */
2054
2055static void
2056arm_exidx_new_objfile (struct objfile *objfile)
2057{
2058 struct cleanup *cleanups;
2059 struct arm_exidx_data *data;
2060 asection *exidx, *extab;
2061 bfd_vma exidx_vma = 0, extab_vma = 0;
2062 bfd_size_type exidx_size = 0, extab_size = 0;
2063 gdb_byte *exidx_data = NULL, *extab_data = NULL;
2064 LONGEST i;
2065
2066 /* If we've already touched this file, do nothing. */
2067 if (!objfile || objfile_data (objfile, arm_exidx_data_key) != NULL)
2068 return;
2069 cleanups = make_cleanup (null_cleanup, NULL);
2070
2071 /* Read contents of exception table and index. */
2072 exidx = bfd_get_section_by_name (objfile->obfd, ELF_STRING_ARM_unwind);
2073 if (exidx)
2074 {
2075 exidx_vma = bfd_section_vma (objfile->obfd, exidx);
2076 exidx_size = bfd_get_section_size (exidx);
2077 exidx_data = (gdb_byte *) xmalloc (exidx_size);
2078 make_cleanup (xfree, exidx_data);
2079
2080 if (!bfd_get_section_contents (objfile->obfd, exidx,
2081 exidx_data, 0, exidx_size))
2082 {
2083 do_cleanups (cleanups);
2084 return;
2085 }
2086 }
2087
2088 extab = bfd_get_section_by_name (objfile->obfd, ".ARM.extab");
2089 if (extab)
2090 {
2091 extab_vma = bfd_section_vma (objfile->obfd, extab);
2092 extab_size = bfd_get_section_size (extab);
2093 extab_data = (gdb_byte *) xmalloc (extab_size);
2094 make_cleanup (xfree, extab_data);
2095
2096 if (!bfd_get_section_contents (objfile->obfd, extab,
2097 extab_data, 0, extab_size))
2098 {
2099 do_cleanups (cleanups);
2100 return;
2101 }
2102 }
2103
2104 /* Allocate exception table data structure. */
2105 data = OBSTACK_ZALLOC (&objfile->objfile_obstack, struct arm_exidx_data);
2106 set_objfile_data (objfile, arm_exidx_data_key, data);
2107 data->section_maps = OBSTACK_CALLOC (&objfile->objfile_obstack,
2108 objfile->obfd->section_count,
2109 VEC(arm_exidx_entry_s) *);
2110
2111 /* Fill in exception table. */
2112 for (i = 0; i < exidx_size / 8; i++)
2113 {
2114 struct arm_exidx_entry new_exidx_entry;
2115 bfd_vma idx = bfd_h_get_32 (objfile->obfd, exidx_data + i * 8);
2116 bfd_vma val = bfd_h_get_32 (objfile->obfd, exidx_data + i * 8 + 4);
2117 bfd_vma addr = 0, word = 0;
2118 int n_bytes = 0, n_words = 0;
2119 struct obj_section *sec;
2120 gdb_byte *entry = NULL;
2121
2122 /* Extract address of start of function. */
2123 idx = ((idx & 0x7fffffff) ^ 0x40000000) - 0x40000000;
2124 idx += exidx_vma + i * 8;
2125
2126 /* Find section containing function and compute section offset. */
2127 sec = arm_obj_section_from_vma (objfile, idx);
2128 if (sec == NULL)
2129 continue;
2130 idx -= bfd_get_section_vma (objfile->obfd, sec->the_bfd_section);
2131
2132 /* Determine address of exception table entry. */
2133 if (val == 1)
2134 {
2135 /* EXIDX_CANTUNWIND -- no exception table entry present. */
2136 }
2137 else if ((val & 0xff000000) == 0x80000000)
2138 {
2139 /* Exception table entry embedded in .ARM.exidx
2140 -- must be short form. */
2141 word = val;
2142 n_bytes = 3;
2143 }
2144 else if (!(val & 0x80000000))
2145 {
2146 /* Exception table entry in .ARM.extab. */
2147 addr = ((val & 0x7fffffff) ^ 0x40000000) - 0x40000000;
2148 addr += exidx_vma + i * 8 + 4;
2149
2150 if (addr >= extab_vma && addr + 4 <= extab_vma + extab_size)
2151 {
2152 word = bfd_h_get_32 (objfile->obfd,
2153 extab_data + addr - extab_vma);
2154 addr += 4;
2155
2156 if ((word & 0xff000000) == 0x80000000)
2157 {
2158 /* Short form. */
2159 n_bytes = 3;
2160 }
2161 else if ((word & 0xff000000) == 0x81000000
2162 || (word & 0xff000000) == 0x82000000)
2163 {
2164 /* Long form. */
2165 n_bytes = 2;
2166 n_words = ((word >> 16) & 0xff);
2167 }
2168 else if (!(word & 0x80000000))
2169 {
2170 bfd_vma pers;
2171 struct obj_section *pers_sec;
2172 int gnu_personality = 0;
2173
2174 /* Custom personality routine. */
2175 pers = ((word & 0x7fffffff) ^ 0x40000000) - 0x40000000;
2176 pers = UNMAKE_THUMB_ADDR (pers + addr - 4);
2177
2178 /* Check whether we've got one of the variants of the
2179 GNU personality routines. */
2180 pers_sec = arm_obj_section_from_vma (objfile, pers);
2181 if (pers_sec)
2182 {
2183 static const char *personality[] =
2184 {
2185 "__gcc_personality_v0",
2186 "__gxx_personality_v0",
2187 "__gcj_personality_v0",
2188 "__gnu_objc_personality_v0",
2189 NULL
2190 };
2191
2192 CORE_ADDR pc = pers + obj_section_offset (pers_sec);
2193 int k;
2194
2195 for (k = 0; personality[k]; k++)
2196 if (lookup_minimal_symbol_by_pc_name
2197 (pc, personality[k], objfile))
2198 {
2199 gnu_personality = 1;
2200 break;
2201 }
2202 }
2203
2204 /* If so, the next word contains a word count in the high
2205 byte, followed by the same unwind instructions as the
2206 pre-defined forms. */
2207 if (gnu_personality
2208 && addr + 4 <= extab_vma + extab_size)
2209 {
2210 word = bfd_h_get_32 (objfile->obfd,
2211 extab_data + addr - extab_vma);
2212 addr += 4;
2213 n_bytes = 3;
2214 n_words = ((word >> 24) & 0xff);
2215 }
2216 }
2217 }
2218 }
2219
2220 /* Sanity check address. */
2221 if (n_words)
2222 if (addr < extab_vma || addr + 4 * n_words > extab_vma + extab_size)
2223 n_words = n_bytes = 0;
2224
2225 /* The unwind instructions reside in WORD (only the N_BYTES least
2226 significant bytes are valid), followed by N_WORDS words in the
2227 extab section starting at ADDR. */
2228 if (n_bytes || n_words)
2229 {
2230 gdb_byte *p = entry
2231 = (gdb_byte *) obstack_alloc (&objfile->objfile_obstack,
2232 n_bytes + n_words * 4 + 1);
2233
2234 while (n_bytes--)
2235 *p++ = (gdb_byte) ((word >> (8 * n_bytes)) & 0xff);
2236
2237 while (n_words--)
2238 {
2239 word = bfd_h_get_32 (objfile->obfd,
2240 extab_data + addr - extab_vma);
2241 addr += 4;
2242
2243 *p++ = (gdb_byte) ((word >> 24) & 0xff);
2244 *p++ = (gdb_byte) ((word >> 16) & 0xff);
2245 *p++ = (gdb_byte) ((word >> 8) & 0xff);
2246 *p++ = (gdb_byte) (word & 0xff);
2247 }
2248
2249 /* Implied "Finish" to terminate the list. */
2250 *p++ = 0xb0;
2251 }
2252
2253 /* Push entry onto vector. They are guaranteed to always
2254 appear in order of increasing addresses. */
2255 new_exidx_entry.addr = idx;
2256 new_exidx_entry.entry = entry;
2257 VEC_safe_push (arm_exidx_entry_s,
2258 data->section_maps[sec->the_bfd_section->index],
2259 &new_exidx_entry);
2260 }
2261
2262 do_cleanups (cleanups);
2263}
2264
2265/* Search for the exception table entry covering MEMADDR. If one is found,
2266 return a pointer to its data. Otherwise, return 0. If START is non-NULL,
2267 set *START to the start of the region covered by this entry. */
2268
2269static gdb_byte *
2270arm_find_exidx_entry (CORE_ADDR memaddr, CORE_ADDR *start)
2271{
2272 struct obj_section *sec;
2273
2274 sec = find_pc_section (memaddr);
2275 if (sec != NULL)
2276 {
2277 struct arm_exidx_data *data;
2278 VEC(arm_exidx_entry_s) *map;
2279 struct arm_exidx_entry map_key = { memaddr - obj_section_addr (sec), 0 };
2280 unsigned int idx;
2281
2282 data = ((struct arm_exidx_data *)
2283 objfile_data (sec->objfile, arm_exidx_data_key));
2284 if (data != NULL)
2285 {
2286 map = data->section_maps[sec->the_bfd_section->index];
2287 if (!VEC_empty (arm_exidx_entry_s, map))
2288 {
2289 struct arm_exidx_entry *map_sym;
2290
2291 idx = VEC_lower_bound (arm_exidx_entry_s, map, &map_key,
2292 arm_compare_exidx_entries);
2293
2294 /* VEC_lower_bound finds the earliest ordered insertion
2295 point. If the following symbol starts at this exact
2296 address, we use that; otherwise, the preceding
2297 exception table entry covers this address. */
2298 if (idx < VEC_length (arm_exidx_entry_s, map))
2299 {
2300 map_sym = VEC_index (arm_exidx_entry_s, map, idx);
2301 if (map_sym->addr == map_key.addr)
2302 {
2303 if (start)
2304 *start = map_sym->addr + obj_section_addr (sec);
2305 return map_sym->entry;
2306 }
2307 }
2308
2309 if (idx > 0)
2310 {
2311 map_sym = VEC_index (arm_exidx_entry_s, map, idx - 1);
2312 if (start)
2313 *start = map_sym->addr + obj_section_addr (sec);
2314 return map_sym->entry;
2315 }
2316 }
2317 }
2318 }
2319
2320 return NULL;
2321}
2322
2323/* Given the current frame THIS_FRAME, and its associated frame unwinding
2324 instruction list from the ARM exception table entry ENTRY, allocate and
2325 return a prologue cache structure describing how to unwind this frame.
2326
2327 Return NULL if the unwinding instruction list contains a "spare",
2328 "reserved" or "refuse to unwind" instruction as defined in section
2329 "9.3 Frame unwinding instructions" of the "Exception Handling ABI
2330 for the ARM Architecture" document. */
2331
2332static struct arm_prologue_cache *
2333arm_exidx_fill_cache (struct frame_info *this_frame, gdb_byte *entry)
2334{
2335 CORE_ADDR vsp = 0;
2336 int vsp_valid = 0;
2337
2338 struct arm_prologue_cache *cache;
2339 cache = FRAME_OBSTACK_ZALLOC (struct arm_prologue_cache);
2340 cache->saved_regs = trad_frame_alloc_saved_regs (this_frame);
2341
2342 for (;;)
2343 {
2344 gdb_byte insn;
2345
2346 /* Whenever we reload SP, we actually have to retrieve its
2347 actual value in the current frame. */
2348 if (!vsp_valid)
2349 {
2350 if (trad_frame_realreg_p (cache->saved_regs, ARM_SP_REGNUM))
2351 {
2352 int reg = cache->saved_regs[ARM_SP_REGNUM].realreg;
2353 vsp = get_frame_register_unsigned (this_frame, reg);
2354 }
2355 else
2356 {
2357 CORE_ADDR addr = cache->saved_regs[ARM_SP_REGNUM].addr;
2358 vsp = get_frame_memory_unsigned (this_frame, addr, 4);
2359 }
2360
2361 vsp_valid = 1;
2362 }
2363
2364 /* Decode next unwind instruction. */
2365 insn = *entry++;
2366
2367 if ((insn & 0xc0) == 0)
2368 {
2369 int offset = insn & 0x3f;
2370 vsp += (offset << 2) + 4;
2371 }
2372 else if ((insn & 0xc0) == 0x40)
2373 {
2374 int offset = insn & 0x3f;
2375 vsp -= (offset << 2) + 4;
2376 }
2377 else if ((insn & 0xf0) == 0x80)
2378 {
2379 int mask = ((insn & 0xf) << 8) | *entry++;
2380 int i;
2381
2382 /* The special case of an all-zero mask identifies
2383 "Refuse to unwind". We return NULL to fall back
2384 to the prologue analyzer. */
2385 if (mask == 0)
2386 return NULL;
2387
2388 /* Pop registers r4..r15 under mask. */
2389 for (i = 0; i < 12; i++)
2390 if (mask & (1 << i))
2391 {
2392 cache->saved_regs[4 + i].addr = vsp;
2393 vsp += 4;
2394 }
2395
2396 /* Special-case popping SP -- we need to reload vsp. */
2397 if (mask & (1 << (ARM_SP_REGNUM - 4)))
2398 vsp_valid = 0;
2399 }
2400 else if ((insn & 0xf0) == 0x90)
2401 {
2402 int reg = insn & 0xf;
2403
2404 /* Reserved cases. */
2405 if (reg == ARM_SP_REGNUM || reg == ARM_PC_REGNUM)
2406 return NULL;
2407
2408 /* Set SP from another register and mark VSP for reload. */
2409 cache->saved_regs[ARM_SP_REGNUM] = cache->saved_regs[reg];
2410 vsp_valid = 0;
2411 }
2412 else if ((insn & 0xf0) == 0xa0)
2413 {
2414 int count = insn & 0x7;
2415 int pop_lr = (insn & 0x8) != 0;
2416 int i;
2417
2418 /* Pop r4..r[4+count]. */
2419 for (i = 0; i <= count; i++)
2420 {
2421 cache->saved_regs[4 + i].addr = vsp;
2422 vsp += 4;
2423 }
2424
2425 /* If indicated by flag, pop LR as well. */
2426 if (pop_lr)
2427 {
2428 cache->saved_regs[ARM_LR_REGNUM].addr = vsp;
2429 vsp += 4;
2430 }
2431 }
2432 else if (insn == 0xb0)
2433 {
2434 /* We could only have updated PC by popping into it; if so, it
2435 will show up as address. Otherwise, copy LR into PC. */
2436 if (!trad_frame_addr_p (cache->saved_regs, ARM_PC_REGNUM))
2437 cache->saved_regs[ARM_PC_REGNUM]
2438 = cache->saved_regs[ARM_LR_REGNUM];
2439
2440 /* We're done. */
2441 break;
2442 }
2443 else if (insn == 0xb1)
2444 {
2445 int mask = *entry++;
2446 int i;
2447
2448 /* All-zero mask and mask >= 16 is "spare". */
2449 if (mask == 0 || mask >= 16)
2450 return NULL;
2451
2452 /* Pop r0..r3 under mask. */
2453 for (i = 0; i < 4; i++)
2454 if (mask & (1 << i))
2455 {
2456 cache->saved_regs[i].addr = vsp;
2457 vsp += 4;
2458 }
2459 }
2460 else if (insn == 0xb2)
2461 {
2462 ULONGEST offset = 0;
2463 unsigned shift = 0;
2464
2465 do
2466 {
2467 offset |= (*entry & 0x7f) << shift;
2468 shift += 7;
2469 }
2470 while (*entry++ & 0x80);
2471
2472 vsp += 0x204 + (offset << 2);
2473 }
2474 else if (insn == 0xb3)
2475 {
2476 int start = *entry >> 4;
2477 int count = (*entry++) & 0xf;
2478 int i;
2479
2480 /* Only registers D0..D15 are valid here. */
2481 if (start + count >= 16)
2482 return NULL;
2483
2484 /* Pop VFP double-precision registers D[start]..D[start+count]. */
2485 for (i = 0; i <= count; i++)
2486 {
2487 cache->saved_regs[ARM_D0_REGNUM + start + i].addr = vsp;
2488 vsp += 8;
2489 }
2490
2491 /* Add an extra 4 bytes for FSTMFDX-style stack. */
2492 vsp += 4;
2493 }
2494 else if ((insn & 0xf8) == 0xb8)
2495 {
2496 int count = insn & 0x7;
2497 int i;
2498
2499 /* Pop VFP double-precision registers D[8]..D[8+count]. */
2500 for (i = 0; i <= count; i++)
2501 {
2502 cache->saved_regs[ARM_D0_REGNUM + 8 + i].addr = vsp;
2503 vsp += 8;
2504 }
2505
2506 /* Add an extra 4 bytes for FSTMFDX-style stack. */
2507 vsp += 4;
2508 }
2509 else if (insn == 0xc6)
2510 {
2511 int start = *entry >> 4;
2512 int count = (*entry++) & 0xf;
2513 int i;
2514
2515 /* Only registers WR0..WR15 are valid. */
2516 if (start + count >= 16)
2517 return NULL;
2518
2519 /* Pop iwmmx registers WR[start]..WR[start+count]. */
2520 for (i = 0; i <= count; i++)
2521 {
2522 cache->saved_regs[ARM_WR0_REGNUM + start + i].addr = vsp;
2523 vsp += 8;
2524 }
2525 }
2526 else if (insn == 0xc7)
2527 {
2528 int mask = *entry++;
2529 int i;
2530
2531 /* All-zero mask and mask >= 16 is "spare". */
2532 if (mask == 0 || mask >= 16)
2533 return NULL;
2534
2535 /* Pop iwmmx general-purpose registers WCGR0..WCGR3 under mask. */
2536 for (i = 0; i < 4; i++)
2537 if (mask & (1 << i))
2538 {
2539 cache->saved_regs[ARM_WCGR0_REGNUM + i].addr = vsp;
2540 vsp += 4;
2541 }
2542 }
2543 else if ((insn & 0xf8) == 0xc0)
2544 {
2545 int count = insn & 0x7;
2546 int i;
2547
2548 /* Pop iwmmx registers WR[10]..WR[10+count]. */
2549 for (i = 0; i <= count; i++)
2550 {
2551 cache->saved_regs[ARM_WR0_REGNUM + 10 + i].addr = vsp;
2552 vsp += 8;
2553 }
2554 }
2555 else if (insn == 0xc8)
2556 {
2557 int start = *entry >> 4;
2558 int count = (*entry++) & 0xf;
2559 int i;
2560
2561 /* Only registers D0..D31 are valid. */
2562 if (start + count >= 16)
2563 return NULL;
2564
2565 /* Pop VFP double-precision registers
2566 D[16+start]..D[16+start+count]. */
2567 for (i = 0; i <= count; i++)
2568 {
2569 cache->saved_regs[ARM_D0_REGNUM + 16 + start + i].addr = vsp;
2570 vsp += 8;
2571 }
2572 }
2573 else if (insn == 0xc9)
2574 {
2575 int start = *entry >> 4;
2576 int count = (*entry++) & 0xf;
2577 int i;
2578
2579 /* Pop VFP double-precision registers D[start]..D[start+count]. */
2580 for (i = 0; i <= count; i++)
2581 {
2582 cache->saved_regs[ARM_D0_REGNUM + start + i].addr = vsp;
2583 vsp += 8;
2584 }
2585 }
2586 else if ((insn & 0xf8) == 0xd0)
2587 {
2588 int count = insn & 0x7;
2589 int i;
2590
2591 /* Pop VFP double-precision registers D[8]..D[8+count]. */
2592 for (i = 0; i <= count; i++)
2593 {
2594 cache->saved_regs[ARM_D0_REGNUM + 8 + i].addr = vsp;
2595 vsp += 8;
2596 }
2597 }
2598 else
2599 {
2600 /* Everything else is "spare". */
2601 return NULL;
2602 }
2603 }
2604
2605 /* If we restore SP from a register, assume this was the frame register.
2606 Otherwise just fall back to SP as frame register. */
2607 if (trad_frame_realreg_p (cache->saved_regs, ARM_SP_REGNUM))
2608 cache->framereg = cache->saved_regs[ARM_SP_REGNUM].realreg;
2609 else
2610 cache->framereg = ARM_SP_REGNUM;
2611
2612 /* Determine offset to previous frame. */
2613 cache->framesize
2614 = vsp - get_frame_register_unsigned (this_frame, cache->framereg);
2615
2616 /* We already got the previous SP. */
2617 cache->prev_sp = vsp;
2618
2619 return cache;
2620}
2621
2622/* Unwinding via ARM exception table entries. Note that the sniffer
2623 already computes a filled-in prologue cache, which is then used
2624 with the same arm_prologue_this_id and arm_prologue_prev_register
2625 routines also used for prologue-parsing based unwinding. */
2626
2627static int
2628arm_exidx_unwind_sniffer (const struct frame_unwind *self,
2629 struct frame_info *this_frame,
2630 void **this_prologue_cache)
2631{
2632 struct gdbarch *gdbarch = get_frame_arch (this_frame);
2633 enum bfd_endian byte_order_for_code = gdbarch_byte_order_for_code (gdbarch);
2634 CORE_ADDR addr_in_block, exidx_region, func_start;
2635 struct arm_prologue_cache *cache;
2636 gdb_byte *entry;
2637
2638 /* See if we have an ARM exception table entry covering this address. */
2639 addr_in_block = get_frame_address_in_block (this_frame);
2640 entry = arm_find_exidx_entry (addr_in_block, &exidx_region);
2641 if (!entry)
2642 return 0;
2643
2644 /* The ARM exception table does not describe unwind information
2645 for arbitrary PC values, but is guaranteed to be correct only
2646 at call sites. We have to decide here whether we want to use
2647 ARM exception table information for this frame, or fall back
2648 to using prologue parsing. (Note that if we have DWARF CFI,
2649 this sniffer isn't even called -- CFI is always preferred.)
2650
2651 Before we make this decision, however, we check whether we
2652 actually have *symbol* information for the current frame.
2653 If not, prologue parsing would not work anyway, so we might
2654 as well use the exception table and hope for the best. */
2655 if (find_pc_partial_function (addr_in_block, NULL, &func_start, NULL))
2656 {
2657 int exc_valid = 0;
2658
2659 /* If the next frame is "normal", we are at a call site in this
2660 frame, so exception information is guaranteed to be valid. */
2661 if (get_next_frame (this_frame)
2662 && get_frame_type (get_next_frame (this_frame)) == NORMAL_FRAME)
2663 exc_valid = 1;
2664
2665 /* We also assume exception information is valid if we're currently
2666 blocked in a system call. The system library is supposed to
2667 ensure this, so that e.g. pthread cancellation works. */
2668 if (arm_frame_is_thumb (this_frame))
2669 {
2670 ULONGEST insn;
2671
2672 if (safe_read_memory_unsigned_integer (get_frame_pc (this_frame) - 2,
2673 2, byte_order_for_code, &insn)
2674 && (insn & 0xff00) == 0xdf00 /* svc */)
2675 exc_valid = 1;
2676 }
2677 else
2678 {
2679 ULONGEST insn;
2680
2681 if (safe_read_memory_unsigned_integer (get_frame_pc (this_frame) - 4,
2682 4, byte_order_for_code, &insn)
2683 && (insn & 0x0f000000) == 0x0f000000 /* svc */)
2684 exc_valid = 1;
2685 }
2686
2687 /* Bail out if we don't know that exception information is valid. */
2688 if (!exc_valid)
2689 return 0;
2690
2691 /* The ARM exception index does not mark the *end* of the region
2692 covered by the entry, and some functions will not have any entry.
2693 To correctly recognize the end of the covered region, the linker
2694 should have inserted dummy records with a CANTUNWIND marker.
2695
2696 Unfortunately, current versions of GNU ld do not reliably do
2697 this, and thus we may have found an incorrect entry above.
2698 As a (temporary) sanity check, we only use the entry if it
2699 lies *within* the bounds of the function. Note that this check
2700 might reject perfectly valid entries that just happen to cover
2701 multiple functions; therefore this check ought to be removed
2702 once the linker is fixed. */
2703 if (func_start > exidx_region)
2704 return 0;
2705 }
2706
2707 /* Decode the list of unwinding instructions into a prologue cache.
2708 Note that this may fail due to e.g. a "refuse to unwind" code. */
2709 cache = arm_exidx_fill_cache (this_frame, entry);
2710 if (!cache)
2711 return 0;
2712
2713 *this_prologue_cache = cache;
2714 return 1;
2715}
2716
2717struct frame_unwind arm_exidx_unwind = {
2718 NORMAL_FRAME,
2719 default_frame_unwind_stop_reason,
2720 arm_prologue_this_id,
2721 arm_prologue_prev_register,
2722 NULL,
2723 arm_exidx_unwind_sniffer
2724};
2725
2726static struct arm_prologue_cache *
2727arm_make_epilogue_frame_cache (struct frame_info *this_frame)
2728{
2729 struct arm_prologue_cache *cache;
2730 int reg;
2731
2732 cache = FRAME_OBSTACK_ZALLOC (struct arm_prologue_cache);
2733 cache->saved_regs = trad_frame_alloc_saved_regs (this_frame);
2734
2735 /* Still rely on the offset calculated from prologue. */
2736 arm_scan_prologue (this_frame, cache);
2737
2738 /* Since we are in epilogue, the SP has been restored. */
2739 cache->prev_sp = get_frame_register_unsigned (this_frame, ARM_SP_REGNUM);
2740
2741 /* Calculate actual addresses of saved registers using offsets
2742 determined by arm_scan_prologue. */
2743 for (reg = 0; reg < gdbarch_num_regs (get_frame_arch (this_frame)); reg++)
2744 if (trad_frame_addr_p (cache->saved_regs, reg))
2745 cache->saved_regs[reg].addr += cache->prev_sp;
2746
2747 return cache;
2748}
2749
2750/* Implementation of function hook 'this_id' in
2751 'struct frame_uwnind' for epilogue unwinder. */
2752
2753static void
2754arm_epilogue_frame_this_id (struct frame_info *this_frame,
2755 void **this_cache,
2756 struct frame_id *this_id)
2757{
2758 struct arm_prologue_cache *cache;
2759 CORE_ADDR pc, func;
2760
2761 if (*this_cache == NULL)
2762 *this_cache = arm_make_epilogue_frame_cache (this_frame);
2763 cache = (struct arm_prologue_cache *) *this_cache;
2764
2765 /* Use function start address as part of the frame ID. If we cannot
2766 identify the start address (due to missing symbol information),
2767 fall back to just using the current PC. */
2768 pc = get_frame_pc (this_frame);
2769 func = get_frame_func (this_frame);
2770 if (func == 0)
2771 func = pc;
2772
2773 (*this_id) = frame_id_build (cache->prev_sp, pc);
2774}
2775
2776/* Implementation of function hook 'prev_register' in
2777 'struct frame_uwnind' for epilogue unwinder. */
2778
2779static struct value *
2780arm_epilogue_frame_prev_register (struct frame_info *this_frame,
2781 void **this_cache, int regnum)
2782{
2783 if (*this_cache == NULL)
2784 *this_cache = arm_make_epilogue_frame_cache (this_frame);
2785
2786 return arm_prologue_prev_register (this_frame, this_cache, regnum);
2787}
2788
2789static int arm_stack_frame_destroyed_p_1 (struct gdbarch *gdbarch,
2790 CORE_ADDR pc);
2791static int thumb_stack_frame_destroyed_p (struct gdbarch *gdbarch,
2792 CORE_ADDR pc);
2793
2794/* Implementation of function hook 'sniffer' in
2795 'struct frame_uwnind' for epilogue unwinder. */
2796
2797static int
2798arm_epilogue_frame_sniffer (const struct frame_unwind *self,
2799 struct frame_info *this_frame,
2800 void **this_prologue_cache)
2801{
2802 if (frame_relative_level (this_frame) == 0)
2803 {
2804 struct gdbarch *gdbarch = get_frame_arch (this_frame);
2805 CORE_ADDR pc = get_frame_pc (this_frame);
2806
2807 if (arm_frame_is_thumb (this_frame))
2808 return thumb_stack_frame_destroyed_p (gdbarch, pc);
2809 else
2810 return arm_stack_frame_destroyed_p_1 (gdbarch, pc);
2811 }
2812 else
2813 return 0;
2814}
2815
2816/* Frame unwinder from epilogue. */
2817
2818static const struct frame_unwind arm_epilogue_frame_unwind =
2819{
2820 NORMAL_FRAME,
2821 default_frame_unwind_stop_reason,
2822 arm_epilogue_frame_this_id,
2823 arm_epilogue_frame_prev_register,
2824 NULL,
2825 arm_epilogue_frame_sniffer,
2826};
2827
2828/* Recognize GCC's trampoline for thumb call-indirect. If we are in a
2829 trampoline, return the target PC. Otherwise return 0.
2830
2831 void call0a (char c, short s, int i, long l) {}
2832
2833 int main (void)
2834 {
2835 (*pointer_to_call0a) (c, s, i, l);
2836 }
2837
2838 Instead of calling a stub library function _call_via_xx (xx is
2839 the register name), GCC may inline the trampoline in the object
2840 file as below (register r2 has the address of call0a).
2841
2842 .global main
2843 .type main, %function
2844 ...
2845 bl .L1
2846 ...
2847 .size main, .-main
2848
2849 .L1:
2850 bx r2
2851
2852 The trampoline 'bx r2' doesn't belong to main. */
2853
2854static CORE_ADDR
2855arm_skip_bx_reg (struct frame_info *frame, CORE_ADDR pc)
2856{
2857 /* The heuristics of recognizing such trampoline is that FRAME is
2858 executing in Thumb mode and the instruction on PC is 'bx Rm'. */
2859 if (arm_frame_is_thumb (frame))
2860 {
2861 gdb_byte buf[2];
2862
2863 if (target_read_memory (pc, buf, 2) == 0)
2864 {
2865 struct gdbarch *gdbarch = get_frame_arch (frame);
2866 enum bfd_endian byte_order_for_code
2867 = gdbarch_byte_order_for_code (gdbarch);
2868 uint16_t insn
2869 = extract_unsigned_integer (buf, 2, byte_order_for_code);
2870
2871 if ((insn & 0xff80) == 0x4700) /* bx <Rm> */
2872 {
2873 CORE_ADDR dest
2874 = get_frame_register_unsigned (frame, bits (insn, 3, 6));
2875
2876 /* Clear the LSB so that gdb core sets step-resume
2877 breakpoint at the right address. */
2878 return UNMAKE_THUMB_ADDR (dest);
2879 }
2880 }
2881 }
2882
2883 return 0;
2884}
2885
2886static struct arm_prologue_cache *
2887arm_make_stub_cache (struct frame_info *this_frame)
2888{
2889 struct arm_prologue_cache *cache;
2890
2891 cache = FRAME_OBSTACK_ZALLOC (struct arm_prologue_cache);
2892 cache->saved_regs = trad_frame_alloc_saved_regs (this_frame);
2893
2894 cache->prev_sp = get_frame_register_unsigned (this_frame, ARM_SP_REGNUM);
2895
2896 return cache;
2897}
2898
2899/* Our frame ID for a stub frame is the current SP and LR. */
2900
2901static void
2902arm_stub_this_id (struct frame_info *this_frame,
2903 void **this_cache,
2904 struct frame_id *this_id)
2905{
2906 struct arm_prologue_cache *cache;
2907
2908 if (*this_cache == NULL)
2909 *this_cache = arm_make_stub_cache (this_frame);
2910 cache = (struct arm_prologue_cache *) *this_cache;
2911
2912 *this_id = frame_id_build (cache->prev_sp, get_frame_pc (this_frame));
2913}
2914
2915static int
2916arm_stub_unwind_sniffer (const struct frame_unwind *self,
2917 struct frame_info *this_frame,
2918 void **this_prologue_cache)
2919{
2920 CORE_ADDR addr_in_block;
2921 gdb_byte dummy[4];
2922 CORE_ADDR pc, start_addr;
2923 const char *name;
2924
2925 addr_in_block = get_frame_address_in_block (this_frame);
2926 pc = get_frame_pc (this_frame);
2927 if (in_plt_section (addr_in_block)
2928 /* We also use the stub winder if the target memory is unreadable
2929 to avoid having the prologue unwinder trying to read it. */
2930 || target_read_memory (pc, dummy, 4) != 0)
2931 return 1;
2932
2933 if (find_pc_partial_function (pc, &name, &start_addr, NULL) == 0
2934 && arm_skip_bx_reg (this_frame, pc) != 0)
2935 return 1;
2936
2937 return 0;
2938}
2939
2940struct frame_unwind arm_stub_unwind = {
2941 NORMAL_FRAME,
2942 default_frame_unwind_stop_reason,
2943 arm_stub_this_id,
2944 arm_prologue_prev_register,
2945 NULL,
2946 arm_stub_unwind_sniffer
2947};
2948
2949/* Put here the code to store, into CACHE->saved_regs, the addresses
2950 of the saved registers of frame described by THIS_FRAME. CACHE is
2951 returned. */
2952
2953static struct arm_prologue_cache *
2954arm_m_exception_cache (struct frame_info *this_frame)
2955{
2956 struct gdbarch *gdbarch = get_frame_arch (this_frame);
2957 enum bfd_endian byte_order = gdbarch_byte_order (gdbarch);
2958 struct arm_prologue_cache *cache;
2959 CORE_ADDR unwound_sp;
2960 LONGEST xpsr;
2961
2962 cache = FRAME_OBSTACK_ZALLOC (struct arm_prologue_cache);
2963 cache->saved_regs = trad_frame_alloc_saved_regs (this_frame);
2964
2965 unwound_sp = get_frame_register_unsigned (this_frame,
2966 ARM_SP_REGNUM);
2967
2968 /* The hardware saves eight 32-bit words, comprising xPSR,
2969 ReturnAddress, LR (R14), R12, R3, R2, R1, R0. See details in
2970 "B1.5.6 Exception entry behavior" in
2971 "ARMv7-M Architecture Reference Manual". */
2972 cache->saved_regs[0].addr = unwound_sp;
2973 cache->saved_regs[1].addr = unwound_sp + 4;
2974 cache->saved_regs[2].addr = unwound_sp + 8;
2975 cache->saved_regs[3].addr = unwound_sp + 12;
2976 cache->saved_regs[12].addr = unwound_sp + 16;
2977 cache->saved_regs[14].addr = unwound_sp + 20;
2978 cache->saved_regs[15].addr = unwound_sp + 24;
2979 cache->saved_regs[ARM_PS_REGNUM].addr = unwound_sp + 28;
2980
2981 /* If bit 9 of the saved xPSR is set, then there is a four-byte
2982 aligner between the top of the 32-byte stack frame and the
2983 previous context's stack pointer. */
2984 cache->prev_sp = unwound_sp + 32;
2985 if (safe_read_memory_integer (unwound_sp + 28, 4, byte_order, &xpsr)
2986 && (xpsr & (1 << 9)) != 0)
2987 cache->prev_sp += 4;
2988
2989 return cache;
2990}
2991
2992/* Implementation of function hook 'this_id' in
2993 'struct frame_uwnind'. */
2994
2995static void
2996arm_m_exception_this_id (struct frame_info *this_frame,
2997 void **this_cache,
2998 struct frame_id *this_id)
2999{
3000 struct arm_prologue_cache *cache;
3001
3002 if (*this_cache == NULL)
3003 *this_cache = arm_m_exception_cache (this_frame);
3004 cache = (struct arm_prologue_cache *) *this_cache;
3005
3006 /* Our frame ID for a stub frame is the current SP and LR. */
3007 *this_id = frame_id_build (cache->prev_sp,
3008 get_frame_pc (this_frame));
3009}
3010
3011/* Implementation of function hook 'prev_register' in
3012 'struct frame_uwnind'. */
3013
3014static struct value *
3015arm_m_exception_prev_register (struct frame_info *this_frame,
3016 void **this_cache,
3017 int prev_regnum)
3018{
3019 struct arm_prologue_cache *cache;
3020
3021 if (*this_cache == NULL)
3022 *this_cache = arm_m_exception_cache (this_frame);
3023 cache = (struct arm_prologue_cache *) *this_cache;
3024
3025 /* The value was already reconstructed into PREV_SP. */
3026 if (prev_regnum == ARM_SP_REGNUM)
3027 return frame_unwind_got_constant (this_frame, prev_regnum,
3028 cache->prev_sp);
3029
3030 return trad_frame_get_prev_register (this_frame, cache->saved_regs,
3031 prev_regnum);
3032}
3033
3034/* Implementation of function hook 'sniffer' in
3035 'struct frame_uwnind'. */
3036
3037static int
3038arm_m_exception_unwind_sniffer (const struct frame_unwind *self,
3039 struct frame_info *this_frame,
3040 void **this_prologue_cache)
3041{
3042 CORE_ADDR this_pc = get_frame_pc (this_frame);
3043
3044 /* No need to check is_m; this sniffer is only registered for
3045 M-profile architectures. */
3046
3047 /* Check if exception frame returns to a magic PC value. */
3048 return arm_m_addr_is_magic (this_pc);
3049}
3050
3051/* Frame unwinder for M-profile exceptions. */
3052
3053struct frame_unwind arm_m_exception_unwind =
3054{
3055 SIGTRAMP_FRAME,
3056 default_frame_unwind_stop_reason,
3057 arm_m_exception_this_id,
3058 arm_m_exception_prev_register,
3059 NULL,
3060 arm_m_exception_unwind_sniffer
3061};
3062
3063static CORE_ADDR
3064arm_normal_frame_base (struct frame_info *this_frame, void **this_cache)
3065{
3066 struct arm_prologue_cache *cache;
3067
3068 if (*this_cache == NULL)
3069 *this_cache = arm_make_prologue_cache (this_frame);
3070 cache = (struct arm_prologue_cache *) *this_cache;
3071
3072 return cache->prev_sp - cache->framesize;
3073}
3074
3075struct frame_base arm_normal_base = {
3076 &arm_prologue_unwind,
3077 arm_normal_frame_base,
3078 arm_normal_frame_base,
3079 arm_normal_frame_base
3080};
3081
3082/* Assuming THIS_FRAME is a dummy, return the frame ID of that
3083 dummy frame. The frame ID's base needs to match the TOS value
3084 saved by save_dummy_frame_tos() and returned from
3085 arm_push_dummy_call, and the PC needs to match the dummy frame's
3086 breakpoint. */
3087
3088static struct frame_id
3089arm_dummy_id (struct gdbarch *gdbarch, struct frame_info *this_frame)
3090{
3091 return frame_id_build (get_frame_register_unsigned (this_frame,
3092 ARM_SP_REGNUM),
3093 get_frame_pc (this_frame));
3094}
3095
3096/* Given THIS_FRAME, find the previous frame's resume PC (which will
3097 be used to construct the previous frame's ID, after looking up the
3098 containing function). */
3099
3100static CORE_ADDR
3101arm_unwind_pc (struct gdbarch *gdbarch, struct frame_info *this_frame)
3102{
3103 CORE_ADDR pc;
3104 pc = frame_unwind_register_unsigned (this_frame, ARM_PC_REGNUM);
3105 return arm_addr_bits_remove (gdbarch, pc);
3106}
3107
3108static CORE_ADDR
3109arm_unwind_sp (struct gdbarch *gdbarch, struct frame_info *this_frame)
3110{
3111 return frame_unwind_register_unsigned (this_frame, ARM_SP_REGNUM);
3112}
3113
3114static struct value *
3115arm_dwarf2_prev_register (struct frame_info *this_frame, void **this_cache,
3116 int regnum)
3117{
3118 struct gdbarch * gdbarch = get_frame_arch (this_frame);
3119 CORE_ADDR lr, cpsr;
3120 ULONGEST t_bit = arm_psr_thumb_bit (gdbarch);
3121
3122 switch (regnum)
3123 {
3124 case ARM_PC_REGNUM:
3125 /* The PC is normally copied from the return column, which
3126 describes saves of LR. However, that version may have an
3127 extra bit set to indicate Thumb state. The bit is not
3128 part of the PC. */
3129 lr = frame_unwind_register_unsigned (this_frame, ARM_LR_REGNUM);
3130 return frame_unwind_got_constant (this_frame, regnum,
3131 arm_addr_bits_remove (gdbarch, lr));
3132
3133 case ARM_PS_REGNUM:
3134 /* Reconstruct the T bit; see arm_prologue_prev_register for details. */
3135 cpsr = get_frame_register_unsigned (this_frame, regnum);
3136 lr = frame_unwind_register_unsigned (this_frame, ARM_LR_REGNUM);
3137 if (IS_THUMB_ADDR (lr))
3138 cpsr |= t_bit;
3139 else
3140 cpsr &= ~t_bit;
3141 return frame_unwind_got_constant (this_frame, regnum, cpsr);
3142
3143 default:
3144 internal_error (__FILE__, __LINE__,
3145 _("Unexpected register %d"), regnum);
3146 }
3147}
3148
3149static void
3150arm_dwarf2_frame_init_reg (struct gdbarch *gdbarch, int regnum,
3151 struct dwarf2_frame_state_reg *reg,
3152 struct frame_info *this_frame)
3153{
3154 switch (regnum)
3155 {
3156 case ARM_PC_REGNUM:
3157 case ARM_PS_REGNUM:
3158 reg->how = DWARF2_FRAME_REG_FN;
3159 reg->loc.fn = arm_dwarf2_prev_register;
3160 break;
3161 case ARM_SP_REGNUM:
3162 reg->how = DWARF2_FRAME_REG_CFA;
3163 break;
3164 }
3165}
3166
3167/* Implement the stack_frame_destroyed_p gdbarch method. */
3168
3169static int
3170thumb_stack_frame_destroyed_p (struct gdbarch *gdbarch, CORE_ADDR pc)
3171{
3172 enum bfd_endian byte_order_for_code = gdbarch_byte_order_for_code (gdbarch);
3173 unsigned int insn, insn2;
3174 int found_return = 0, found_stack_adjust = 0;
3175 CORE_ADDR func_start, func_end;
3176 CORE_ADDR scan_pc;
3177 gdb_byte buf[4];
3178
3179 if (!find_pc_partial_function (pc, NULL, &func_start, &func_end))
3180 return 0;
3181
3182 /* The epilogue is a sequence of instructions along the following lines:
3183
3184 - add stack frame size to SP or FP
3185 - [if frame pointer used] restore SP from FP
3186 - restore registers from SP [may include PC]
3187 - a return-type instruction [if PC wasn't already restored]
3188
3189 In a first pass, we scan forward from the current PC and verify the
3190 instructions we find as compatible with this sequence, ending in a
3191 return instruction.
3192
3193 However, this is not sufficient to distinguish indirect function calls
3194 within a function from indirect tail calls in the epilogue in some cases.
3195 Therefore, if we didn't already find any SP-changing instruction during
3196 forward scan, we add a backward scanning heuristic to ensure we actually
3197 are in the epilogue. */
3198
3199 scan_pc = pc;
3200 while (scan_pc < func_end && !found_return)
3201 {
3202 if (target_read_memory (scan_pc, buf, 2))
3203 break;
3204
3205 scan_pc += 2;
3206 insn = extract_unsigned_integer (buf, 2, byte_order_for_code);
3207
3208 if ((insn & 0xff80) == 0x4700) /* bx <Rm> */
3209 found_return = 1;
3210 else if (insn == 0x46f7) /* mov pc, lr */
3211 found_return = 1;
3212 else if (thumb_instruction_restores_sp (insn))
3213 {
3214 if ((insn & 0xff00) == 0xbd00) /* pop <registers, PC> */
3215 found_return = 1;
3216 }
3217 else if (thumb_insn_size (insn) == 4) /* 32-bit Thumb-2 instruction */
3218 {
3219 if (target_read_memory (scan_pc, buf, 2))
3220 break;
3221
3222 scan_pc += 2;
3223 insn2 = extract_unsigned_integer (buf, 2, byte_order_for_code);
3224
3225 if (insn == 0xe8bd) /* ldm.w sp!, <registers> */
3226 {
3227 if (insn2 & 0x8000) /* <registers> include PC. */
3228 found_return = 1;
3229 }
3230 else if (insn == 0xf85d /* ldr.w <Rt>, [sp], #4 */
3231 && (insn2 & 0x0fff) == 0x0b04)
3232 {
3233 if ((insn2 & 0xf000) == 0xf000) /* <Rt> is PC. */
3234 found_return = 1;
3235 }
3236 else if ((insn & 0xffbf) == 0xecbd /* vldm sp!, <list> */
3237 && (insn2 & 0x0e00) == 0x0a00)
3238 ;
3239 else
3240 break;
3241 }
3242 else
3243 break;
3244 }
3245
3246 if (!found_return)
3247 return 0;
3248
3249 /* Since any instruction in the epilogue sequence, with the possible
3250 exception of return itself, updates the stack pointer, we need to
3251 scan backwards for at most one instruction. Try either a 16-bit or
3252 a 32-bit instruction. This is just a heuristic, so we do not worry
3253 too much about false positives. */
3254
3255 if (pc - 4 < func_start)
3256 return 0;
3257 if (target_read_memory (pc - 4, buf, 4))
3258 return 0;
3259
3260 insn = extract_unsigned_integer (buf, 2, byte_order_for_code);
3261 insn2 = extract_unsigned_integer (buf + 2, 2, byte_order_for_code);
3262
3263 if (thumb_instruction_restores_sp (insn2))
3264 found_stack_adjust = 1;
3265 else if (insn == 0xe8bd) /* ldm.w sp!, <registers> */
3266 found_stack_adjust = 1;
3267 else if (insn == 0xf85d /* ldr.w <Rt>, [sp], #4 */
3268 && (insn2 & 0x0fff) == 0x0b04)
3269 found_stack_adjust = 1;
3270 else if ((insn & 0xffbf) == 0xecbd /* vldm sp!, <list> */
3271 && (insn2 & 0x0e00) == 0x0a00)
3272 found_stack_adjust = 1;
3273
3274 return found_stack_adjust;
3275}
3276
3277static int
3278arm_stack_frame_destroyed_p_1 (struct gdbarch *gdbarch, CORE_ADDR pc)
3279{
3280 enum bfd_endian byte_order_for_code = gdbarch_byte_order_for_code (gdbarch);
3281 unsigned int insn;
3282 int found_return;
3283 CORE_ADDR func_start, func_end;
3284
3285 if (!find_pc_partial_function (pc, NULL, &func_start, &func_end))
3286 return 0;
3287
3288 /* We are in the epilogue if the previous instruction was a stack
3289 adjustment and the next instruction is a possible return (bx, mov
3290 pc, or pop). We could have to scan backwards to find the stack
3291 adjustment, or forwards to find the return, but this is a decent
3292 approximation. First scan forwards. */
3293
3294 found_return = 0;
3295 insn = read_memory_unsigned_integer (pc, 4, byte_order_for_code);
3296 if (bits (insn, 28, 31) != INST_NV)
3297 {
3298 if ((insn & 0x0ffffff0) == 0x012fff10)
3299 /* BX. */
3300 found_return = 1;
3301 else if ((insn & 0x0ffffff0) == 0x01a0f000)
3302 /* MOV PC. */
3303 found_return = 1;
3304 else if ((insn & 0x0fff0000) == 0x08bd0000
3305 && (insn & 0x0000c000) != 0)
3306 /* POP (LDMIA), including PC or LR. */
3307 found_return = 1;
3308 }
3309
3310 if (!found_return)
3311 return 0;
3312
3313 /* Scan backwards. This is just a heuristic, so do not worry about
3314 false positives from mode changes. */
3315
3316 if (pc < func_start + 4)
3317 return 0;
3318
3319 insn = read_memory_unsigned_integer (pc - 4, 4, byte_order_for_code);
3320 if (arm_instruction_restores_sp (insn))
3321 return 1;
3322
3323 return 0;
3324}
3325
3326/* Implement the stack_frame_destroyed_p gdbarch method. */
3327
3328static int
3329arm_stack_frame_destroyed_p (struct gdbarch *gdbarch, CORE_ADDR pc)
3330{
3331 if (arm_pc_is_thumb (gdbarch, pc))
3332 return thumb_stack_frame_destroyed_p (gdbarch, pc);
3333 else
3334 return arm_stack_frame_destroyed_p_1 (gdbarch, pc);
3335}
3336
3337/* When arguments must be pushed onto the stack, they go on in reverse
3338 order. The code below implements a FILO (stack) to do this. */
3339
3340struct stack_item
3341{
3342 int len;
3343 struct stack_item *prev;
3344 gdb_byte *data;
3345};
3346
3347static struct stack_item *
3348push_stack_item (struct stack_item *prev, const gdb_byte *contents, int len)
3349{
3350 struct stack_item *si;
3351 si = XNEW (struct stack_item);
3352 si->data = (gdb_byte *) xmalloc (len);
3353 si->len = len;
3354 si->prev = prev;
3355 memcpy (si->data, contents, len);
3356 return si;
3357}
3358
3359static struct stack_item *
3360pop_stack_item (struct stack_item *si)
3361{
3362 struct stack_item *dead = si;
3363 si = si->prev;
3364 xfree (dead->data);
3365 xfree (dead);
3366 return si;
3367}
3368
3369
3370/* Return the alignment (in bytes) of the given type. */
3371
3372static int
3373arm_type_align (struct type *t)
3374{
3375 int n;
3376 int align;
3377 int falign;
3378
3379 t = check_typedef (t);
3380 switch (TYPE_CODE (t))
3381 {
3382 default:
3383 /* Should never happen. */
3384 internal_error (__FILE__, __LINE__, _("unknown type alignment"));
3385 return 4;
3386
3387 case TYPE_CODE_PTR:
3388 case TYPE_CODE_ENUM:
3389 case TYPE_CODE_INT:
3390 case TYPE_CODE_FLT:
3391 case TYPE_CODE_SET:
3392 case TYPE_CODE_RANGE:
3393 case TYPE_CODE_REF:
3394 case TYPE_CODE_RVALUE_REF:
3395 case TYPE_CODE_CHAR:
3396 case TYPE_CODE_BOOL:
3397 return TYPE_LENGTH (t);
3398
3399 case TYPE_CODE_ARRAY:
3400 if (TYPE_VECTOR (t))
3401 {
3402 /* Use the natural alignment for vector types (the same for
3403 scalar type), but the maximum alignment is 64-bit. */
3404 if (TYPE_LENGTH (t) > 8)
3405 return 8;
3406 else
3407 return TYPE_LENGTH (t);
3408 }
3409 else
3410 return arm_type_align (TYPE_TARGET_TYPE (t));
3411 case TYPE_CODE_COMPLEX:
3412 return arm_type_align (TYPE_TARGET_TYPE (t));
3413
3414 case TYPE_CODE_STRUCT:
3415 case TYPE_CODE_UNION:
3416 align = 1;
3417 for (n = 0; n < TYPE_NFIELDS (t); n++)
3418 {
3419 falign = arm_type_align (TYPE_FIELD_TYPE (t, n));
3420 if (falign > align)
3421 align = falign;
3422 }
3423 return align;
3424 }
3425}
3426
3427/* Possible base types for a candidate for passing and returning in
3428 VFP registers. */
3429
3430enum arm_vfp_cprc_base_type
3431{
3432 VFP_CPRC_UNKNOWN,
3433 VFP_CPRC_SINGLE,
3434 VFP_CPRC_DOUBLE,
3435 VFP_CPRC_VEC64,
3436 VFP_CPRC_VEC128
3437};
3438
3439/* The length of one element of base type B. */
3440
3441static unsigned
3442arm_vfp_cprc_unit_length (enum arm_vfp_cprc_base_type b)
3443{
3444 switch (b)
3445 {
3446 case VFP_CPRC_SINGLE:
3447 return 4;
3448 case VFP_CPRC_DOUBLE:
3449 return 8;
3450 case VFP_CPRC_VEC64:
3451 return 8;
3452 case VFP_CPRC_VEC128:
3453 return 16;
3454 default:
3455 internal_error (__FILE__, __LINE__, _("Invalid VFP CPRC type: %d."),
3456 (int) b);
3457 }
3458}
3459
3460/* The character ('s', 'd' or 'q') for the type of VFP register used
3461 for passing base type B. */
3462
3463static int
3464arm_vfp_cprc_reg_char (enum arm_vfp_cprc_base_type b)
3465{
3466 switch (b)
3467 {
3468 case VFP_CPRC_SINGLE:
3469 return 's';
3470 case VFP_CPRC_DOUBLE:
3471 return 'd';
3472 case VFP_CPRC_VEC64:
3473 return 'd';
3474 case VFP_CPRC_VEC128:
3475 return 'q';
3476 default:
3477 internal_error (__FILE__, __LINE__, _("Invalid VFP CPRC type: %d."),
3478 (int) b);
3479 }
3480}
3481
3482/* Determine whether T may be part of a candidate for passing and
3483 returning in VFP registers, ignoring the limit on the total number
3484 of components. If *BASE_TYPE is VFP_CPRC_UNKNOWN, set it to the
3485 classification of the first valid component found; if it is not
3486 VFP_CPRC_UNKNOWN, all components must have the same classification
3487 as *BASE_TYPE. If it is found that T contains a type not permitted
3488 for passing and returning in VFP registers, a type differently
3489 classified from *BASE_TYPE, or two types differently classified
3490 from each other, return -1, otherwise return the total number of
3491 base-type elements found (possibly 0 in an empty structure or
3492 array). Vector types are not currently supported, matching the
3493 generic AAPCS support. */
3494
3495static int
3496arm_vfp_cprc_sub_candidate (struct type *t,
3497 enum arm_vfp_cprc_base_type *base_type)
3498{
3499 t = check_typedef (t);
3500 switch (TYPE_CODE (t))
3501 {
3502 case TYPE_CODE_FLT:
3503 switch (TYPE_LENGTH (t))
3504 {
3505 case 4:
3506 if (*base_type == VFP_CPRC_UNKNOWN)
3507 *base_type = VFP_CPRC_SINGLE;
3508 else if (*base_type != VFP_CPRC_SINGLE)
3509 return -1;
3510 return 1;
3511
3512 case 8:
3513 if (*base_type == VFP_CPRC_UNKNOWN)
3514 *base_type = VFP_CPRC_DOUBLE;
3515 else if (*base_type != VFP_CPRC_DOUBLE)
3516 return -1;
3517 return 1;
3518
3519 default:
3520 return -1;
3521 }
3522 break;
3523
3524 case TYPE_CODE_COMPLEX:
3525 /* Arguments of complex T where T is one of the types float or
3526 double get treated as if they are implemented as:
3527
3528 struct complexT
3529 {
3530 T real;
3531 T imag;
3532 };
3533
3534 */
3535 switch (TYPE_LENGTH (t))
3536 {
3537 case 8:
3538 if (*base_type == VFP_CPRC_UNKNOWN)
3539 *base_type = VFP_CPRC_SINGLE;
3540 else if (*base_type != VFP_CPRC_SINGLE)
3541 return -1;
3542 return 2;
3543
3544 case 16:
3545 if (*base_type == VFP_CPRC_UNKNOWN)
3546 *base_type = VFP_CPRC_DOUBLE;
3547 else if (*base_type != VFP_CPRC_DOUBLE)
3548 return -1;
3549 return 2;
3550
3551 default:
3552 return -1;
3553 }
3554 break;
3555
3556 case TYPE_CODE_ARRAY:
3557 {
3558 if (TYPE_VECTOR (t))
3559 {
3560 /* A 64-bit or 128-bit containerized vector type are VFP
3561 CPRCs. */
3562 switch (TYPE_LENGTH (t))
3563 {
3564 case 8:
3565 if (*base_type == VFP_CPRC_UNKNOWN)
3566 *base_type = VFP_CPRC_VEC64;
3567 return 1;
3568 case 16:
3569 if (*base_type == VFP_CPRC_UNKNOWN)
3570 *base_type = VFP_CPRC_VEC128;
3571 return 1;
3572 default:
3573 return -1;
3574 }
3575 }
3576 else
3577 {
3578 int count;
3579 unsigned unitlen;
3580
3581 count = arm_vfp_cprc_sub_candidate (TYPE_TARGET_TYPE (t),
3582 base_type);
3583 if (count == -1)
3584 return -1;
3585 if (TYPE_LENGTH (t) == 0)
3586 {
3587 gdb_assert (count == 0);
3588 return 0;
3589 }
3590 else if (count == 0)
3591 return -1;
3592 unitlen = arm_vfp_cprc_unit_length (*base_type);
3593 gdb_assert ((TYPE_LENGTH (t) % unitlen) == 0);
3594 return TYPE_LENGTH (t) / unitlen;
3595 }
3596 }
3597 break;
3598
3599 case TYPE_CODE_STRUCT:
3600 {
3601 int count = 0;
3602 unsigned unitlen;
3603 int i;
3604 for (i = 0; i < TYPE_NFIELDS (t); i++)
3605 {
3606 int sub_count = 0;
3607
3608 if (!field_is_static (&TYPE_FIELD (t, i)))
3609 sub_count = arm_vfp_cprc_sub_candidate (TYPE_FIELD_TYPE (t, i),
3610 base_type);
3611 if (sub_count == -1)
3612 return -1;
3613 count += sub_count;
3614 }
3615 if (TYPE_LENGTH (t) == 0)
3616 {
3617 gdb_assert (count == 0);
3618 return 0;
3619 }
3620 else if (count == 0)
3621 return -1;
3622 unitlen = arm_vfp_cprc_unit_length (*base_type);
3623 if (TYPE_LENGTH (t) != unitlen * count)
3624 return -1;
3625 return count;
3626 }
3627
3628 case TYPE_CODE_UNION:
3629 {
3630 int count = 0;
3631 unsigned unitlen;
3632 int i;
3633 for (i = 0; i < TYPE_NFIELDS (t); i++)
3634 {
3635 int sub_count = arm_vfp_cprc_sub_candidate (TYPE_FIELD_TYPE (t, i),
3636 base_type);
3637 if (sub_count == -1)
3638 return -1;
3639 count = (count > sub_count ? count : sub_count);
3640 }
3641 if (TYPE_LENGTH (t) == 0)
3642 {
3643 gdb_assert (count == 0);
3644 return 0;
3645 }
3646 else if (count == 0)
3647 return -1;
3648 unitlen = arm_vfp_cprc_unit_length (*base_type);
3649 if (TYPE_LENGTH (t) != unitlen * count)
3650 return -1;
3651 return count;
3652 }
3653
3654 default:
3655 break;
3656 }
3657
3658 return -1;
3659}
3660
3661/* Determine whether T is a VFP co-processor register candidate (CPRC)
3662 if passed to or returned from a non-variadic function with the VFP
3663 ABI in effect. Return 1 if it is, 0 otherwise. If it is, set
3664 *BASE_TYPE to the base type for T and *COUNT to the number of
3665 elements of that base type before returning. */
3666
3667static int
3668arm_vfp_call_candidate (struct type *t, enum arm_vfp_cprc_base_type *base_type,
3669 int *count)
3670{
3671 enum arm_vfp_cprc_base_type b = VFP_CPRC_UNKNOWN;
3672 int c = arm_vfp_cprc_sub_candidate (t, &b);
3673 if (c <= 0 || c > 4)
3674 return 0;
3675 *base_type = b;
3676 *count = c;
3677 return 1;
3678}
3679
3680/* Return 1 if the VFP ABI should be used for passing arguments to and
3681 returning values from a function of type FUNC_TYPE, 0
3682 otherwise. */
3683
3684static int
3685arm_vfp_abi_for_function (struct gdbarch *gdbarch, struct type *func_type)
3686{
3687 struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
3688 /* Variadic functions always use the base ABI. Assume that functions
3689 without debug info are not variadic. */
3690 if (func_type && TYPE_VARARGS (check_typedef (func_type)))
3691 return 0;
3692 /* The VFP ABI is only supported as a variant of AAPCS. */
3693 if (tdep->arm_abi != ARM_ABI_AAPCS)
3694 return 0;
3695 return gdbarch_tdep (gdbarch)->fp_model == ARM_FLOAT_VFP;
3696}
3697
3698/* We currently only support passing parameters in integer registers, which
3699 conforms with GCC's default model, and VFP argument passing following
3700 the VFP variant of AAPCS. Several other variants exist and
3701 we should probably support some of them based on the selected ABI. */
3702
3703static CORE_ADDR
3704arm_push_dummy_call (struct gdbarch *gdbarch, struct value *function,
3705 struct regcache *regcache, CORE_ADDR bp_addr, int nargs,
3706 struct value **args, CORE_ADDR sp, int struct_return,
3707 CORE_ADDR struct_addr)
3708{
3709 enum bfd_endian byte_order = gdbarch_byte_order (gdbarch);
3710 int argnum;
3711 int argreg;
3712 int nstack;
3713 struct stack_item *si = NULL;
3714 int use_vfp_abi;
3715 struct type *ftype;
3716 unsigned vfp_regs_free = (1 << 16) - 1;
3717
3718 /* Determine the type of this function and whether the VFP ABI
3719 applies. */
3720 ftype = check_typedef (value_type (function));
3721 if (TYPE_CODE (ftype) == TYPE_CODE_PTR)
3722 ftype = check_typedef (TYPE_TARGET_TYPE (ftype));
3723 use_vfp_abi = arm_vfp_abi_for_function (gdbarch, ftype);
3724
3725 /* Set the return address. For the ARM, the return breakpoint is
3726 always at BP_ADDR. */
3727 if (arm_pc_is_thumb (gdbarch, bp_addr))
3728 bp_addr |= 1;
3729 regcache_cooked_write_unsigned (regcache, ARM_LR_REGNUM, bp_addr);
3730
3731 /* Walk through the list of args and determine how large a temporary
3732 stack is required. Need to take care here as structs may be
3733 passed on the stack, and we have to push them. */
3734 nstack = 0;
3735
3736 argreg = ARM_A1_REGNUM;
3737 nstack = 0;
3738
3739 /* The struct_return pointer occupies the first parameter
3740 passing register. */
3741 if (struct_return)
3742 {
3743 if (arm_debug)
3744 fprintf_unfiltered (gdb_stdlog, "struct return in %s = %s\n",
3745 gdbarch_register_name (gdbarch, argreg),
3746 paddress (gdbarch, struct_addr));
3747 regcache_cooked_write_unsigned (regcache, argreg, struct_addr);
3748 argreg++;
3749 }
3750
3751 for (argnum = 0; argnum < nargs; argnum++)
3752 {
3753 int len;
3754 struct type *arg_type;
3755 struct type *target_type;
3756 enum type_code typecode;
3757 const bfd_byte *val;
3758 int align;
3759 enum arm_vfp_cprc_base_type vfp_base_type;
3760 int vfp_base_count;
3761 int may_use_core_reg = 1;
3762
3763 arg_type = check_typedef (value_type (args[argnum]));
3764 len = TYPE_LENGTH (arg_type);
3765 target_type = TYPE_TARGET_TYPE (arg_type);
3766 typecode = TYPE_CODE (arg_type);
3767 val = value_contents (args[argnum]);
3768
3769 align = arm_type_align (arg_type);
3770 /* Round alignment up to a whole number of words. */
3771 align = (align + INT_REGISTER_SIZE - 1) & ~(INT_REGISTER_SIZE - 1);
3772 /* Different ABIs have different maximum alignments. */
3773 if (gdbarch_tdep (gdbarch)->arm_abi == ARM_ABI_APCS)
3774 {
3775 /* The APCS ABI only requires word alignment. */
3776 align = INT_REGISTER_SIZE;
3777 }
3778 else
3779 {
3780 /* The AAPCS requires at most doubleword alignment. */
3781 if (align > INT_REGISTER_SIZE * 2)
3782 align = INT_REGISTER_SIZE * 2;
3783 }
3784
3785 if (use_vfp_abi
3786 && arm_vfp_call_candidate (arg_type, &vfp_base_type,
3787 &vfp_base_count))
3788 {
3789 int regno;
3790 int unit_length;
3791 int shift;
3792 unsigned mask;
3793
3794 /* Because this is a CPRC it cannot go in a core register or
3795 cause a core register to be skipped for alignment.
3796 Either it goes in VFP registers and the rest of this loop
3797 iteration is skipped for this argument, or it goes on the
3798 stack (and the stack alignment code is correct for this
3799 case). */
3800 may_use_core_reg = 0;
3801
3802 unit_length = arm_vfp_cprc_unit_length (vfp_base_type);
3803 shift = unit_length / 4;
3804 mask = (1 << (shift * vfp_base_count)) - 1;
3805 for (regno = 0; regno < 16; regno += shift)
3806 if (((vfp_regs_free >> regno) & mask) == mask)
3807 break;
3808
3809 if (regno < 16)
3810 {
3811 int reg_char;
3812 int reg_scaled;
3813 int i;
3814
3815 vfp_regs_free &= ~(mask << regno);
3816 reg_scaled = regno / shift;
3817 reg_char = arm_vfp_cprc_reg_char (vfp_base_type);
3818 for (i = 0; i < vfp_base_count; i++)
3819 {
3820 char name_buf[4];
3821 int regnum;
3822 if (reg_char == 'q')
3823 arm_neon_quad_write (gdbarch, regcache, reg_scaled + i,
3824 val + i * unit_length);
3825 else
3826 {
3827 xsnprintf (name_buf, sizeof (name_buf), "%c%d",
3828 reg_char, reg_scaled + i);
3829 regnum = user_reg_map_name_to_regnum (gdbarch, name_buf,
3830 strlen (name_buf));
3831 regcache_cooked_write (regcache, regnum,
3832 val + i * unit_length);
3833 }
3834 }
3835 continue;
3836 }
3837 else
3838 {
3839 /* This CPRC could not go in VFP registers, so all VFP
3840 registers are now marked as used. */
3841 vfp_regs_free = 0;
3842 }
3843 }
3844
3845 /* Push stack padding for dowubleword alignment. */
3846 if (nstack & (align - 1))
3847 {
3848 si = push_stack_item (si, val, INT_REGISTER_SIZE);
3849 nstack += INT_REGISTER_SIZE;
3850 }
3851
3852 /* Doubleword aligned quantities must go in even register pairs. */
3853 if (may_use_core_reg
3854 && argreg <= ARM_LAST_ARG_REGNUM
3855 && align > INT_REGISTER_SIZE
3856 && argreg & 1)
3857 argreg++;
3858
3859 /* If the argument is a pointer to a function, and it is a
3860 Thumb function, create a LOCAL copy of the value and set
3861 the THUMB bit in it. */
3862 if (TYPE_CODE_PTR == typecode
3863 && target_type != NULL
3864 && TYPE_CODE_FUNC == TYPE_CODE (check_typedef (target_type)))
3865 {
3866 CORE_ADDR regval = extract_unsigned_integer (val, len, byte_order);
3867 if (arm_pc_is_thumb (gdbarch, regval))
3868 {
3869 bfd_byte *copy = (bfd_byte *) alloca (len);
3870 store_unsigned_integer (copy, len, byte_order,
3871 MAKE_THUMB_ADDR (regval));
3872 val = copy;
3873 }
3874 }
3875
3876 /* Copy the argument to general registers or the stack in
3877 register-sized pieces. Large arguments are split between
3878 registers and stack. */
3879 while (len > 0)
3880 {
3881 int partial_len = len < INT_REGISTER_SIZE ? len : INT_REGISTER_SIZE;
3882 CORE_ADDR regval
3883 = extract_unsigned_integer (val, partial_len, byte_order);
3884
3885 if (may_use_core_reg && argreg <= ARM_LAST_ARG_REGNUM)
3886 {
3887 /* The argument is being passed in a general purpose
3888 register. */
3889 if (byte_order == BFD_ENDIAN_BIG)
3890 regval <<= (INT_REGISTER_SIZE - partial_len) * 8;
3891 if (arm_debug)
3892 fprintf_unfiltered (gdb_stdlog, "arg %d in %s = 0x%s\n",
3893 argnum,
3894 gdbarch_register_name
3895 (gdbarch, argreg),
3896 phex (regval, INT_REGISTER_SIZE));
3897 regcache_cooked_write_unsigned (regcache, argreg, regval);
3898 argreg++;
3899 }
3900 else
3901 {
3902 gdb_byte buf[INT_REGISTER_SIZE];
3903
3904 memset (buf, 0, sizeof (buf));
3905 store_unsigned_integer (buf, partial_len, byte_order, regval);
3906
3907 /* Push the arguments onto the stack. */
3908 if (arm_debug)
3909 fprintf_unfiltered (gdb_stdlog, "arg %d @ sp + %d\n",
3910 argnum, nstack);
3911 si = push_stack_item (si, buf, INT_REGISTER_SIZE);
3912 nstack += INT_REGISTER_SIZE;
3913 }
3914
3915 len -= partial_len;
3916 val += partial_len;
3917 }
3918 }
3919 /* If we have an odd number of words to push, then decrement the stack
3920 by one word now, so first stack argument will be dword aligned. */
3921 if (nstack & 4)
3922 sp -= 4;
3923
3924 while (si)
3925 {
3926 sp -= si->len;
3927 write_memory (sp, si->data, si->len);
3928 si = pop_stack_item (si);
3929 }
3930
3931 /* Finally, update teh SP register. */
3932 regcache_cooked_write_unsigned (regcache, ARM_SP_REGNUM, sp);
3933
3934 return sp;
3935}
3936
3937
3938/* Always align the frame to an 8-byte boundary. This is required on
3939 some platforms and harmless on the rest. */
3940
3941static CORE_ADDR
3942arm_frame_align (struct gdbarch *gdbarch, CORE_ADDR sp)
3943{
3944 /* Align the stack to eight bytes. */
3945 return sp & ~ (CORE_ADDR) 7;
3946}
3947
3948static void
3949print_fpu_flags (struct ui_file *file, int flags)
3950{
3951 if (flags & (1 << 0))
3952 fputs_filtered ("IVO ", file);
3953 if (flags & (1 << 1))
3954 fputs_filtered ("DVZ ", file);
3955 if (flags & (1 << 2))
3956 fputs_filtered ("OFL ", file);
3957 if (flags & (1 << 3))
3958 fputs_filtered ("UFL ", file);
3959 if (flags & (1 << 4))
3960 fputs_filtered ("INX ", file);
3961 fputc_filtered ('\n', file);
3962}
3963
3964/* Print interesting information about the floating point processor
3965 (if present) or emulator. */
3966static void
3967arm_print_float_info (struct gdbarch *gdbarch, struct ui_file *file,
3968 struct frame_info *frame, const char *args)
3969{
3970 unsigned long status = get_frame_register_unsigned (frame, ARM_FPS_REGNUM);
3971 int type;
3972
3973 type = (status >> 24) & 127;
3974 if (status & (1 << 31))
3975 fprintf_filtered (file, _("Hardware FPU type %d\n"), type);
3976 else
3977 fprintf_filtered (file, _("Software FPU type %d\n"), type);
3978 /* i18n: [floating point unit] mask */
3979 fputs_filtered (_("mask: "), file);
3980 print_fpu_flags (file, status >> 16);
3981 /* i18n: [floating point unit] flags */
3982 fputs_filtered (_("flags: "), file);
3983 print_fpu_flags (file, status);
3984}
3985
3986/* Construct the ARM extended floating point type. */
3987static struct type *
3988arm_ext_type (struct gdbarch *gdbarch)
3989{
3990 struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
3991
3992 if (!tdep->arm_ext_type)
3993 tdep->arm_ext_type
3994 = arch_float_type (gdbarch, -1, "builtin_type_arm_ext",
3995 floatformats_arm_ext);
3996
3997 return tdep->arm_ext_type;
3998}
3999
4000static struct type *
4001arm_neon_double_type (struct gdbarch *gdbarch)
4002{
4003 struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
4004
4005 if (tdep->neon_double_type == NULL)
4006 {
4007 struct type *t, *elem;
4008
4009 t = arch_composite_type (gdbarch, "__gdb_builtin_type_neon_d",
4010 TYPE_CODE_UNION);
4011 elem = builtin_type (gdbarch)->builtin_uint8;
4012 append_composite_type_field (t, "u8", init_vector_type (elem, 8));
4013 elem = builtin_type (gdbarch)->builtin_uint16;
4014 append_composite_type_field (t, "u16", init_vector_type (elem, 4));
4015 elem = builtin_type (gdbarch)->builtin_uint32;
4016 append_composite_type_field (t, "u32", init_vector_type (elem, 2));
4017 elem = builtin_type (gdbarch)->builtin_uint64;
4018 append_composite_type_field (t, "u64", elem);
4019 elem = builtin_type (gdbarch)->builtin_float;
4020 append_composite_type_field (t, "f32", init_vector_type (elem, 2));
4021 elem = builtin_type (gdbarch)->builtin_double;
4022 append_composite_type_field (t, "f64", elem);
4023
4024 TYPE_VECTOR (t) = 1;
4025 TYPE_NAME (t) = "neon_d";
4026 tdep->neon_double_type = t;
4027 }
4028
4029 return tdep->neon_double_type;
4030}
4031
4032/* FIXME: The vector types are not correctly ordered on big-endian
4033 targets. Just as s0 is the low bits of d0, d0[0] is also the low
4034 bits of d0 - regardless of what unit size is being held in d0. So
4035 the offset of the first uint8 in d0 is 7, but the offset of the
4036 first float is 4. This code works as-is for little-endian
4037 targets. */
4038
4039static struct type *
4040arm_neon_quad_type (struct gdbarch *gdbarch)
4041{
4042 struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
4043
4044 if (tdep->neon_quad_type == NULL)
4045 {
4046 struct type *t, *elem;
4047
4048 t = arch_composite_type (gdbarch, "__gdb_builtin_type_neon_q",
4049 TYPE_CODE_UNION);
4050 elem = builtin_type (gdbarch)->builtin_uint8;
4051 append_composite_type_field (t, "u8", init_vector_type (elem, 16));
4052 elem = builtin_type (gdbarch)->builtin_uint16;
4053 append_composite_type_field (t, "u16", init_vector_type (elem, 8));
4054 elem = builtin_type (gdbarch)->builtin_uint32;
4055 append_composite_type_field (t, "u32", init_vector_type (elem, 4));
4056 elem = builtin_type (gdbarch)->builtin_uint64;
4057 append_composite_type_field (t, "u64", init_vector_type (elem, 2));
4058 elem = builtin_type (gdbarch)->builtin_float;
4059 append_composite_type_field (t, "f32", init_vector_type (elem, 4));
4060 elem = builtin_type (gdbarch)->builtin_double;
4061 append_composite_type_field (t, "f64", init_vector_type (elem, 2));
4062
4063 TYPE_VECTOR (t) = 1;
4064 TYPE_NAME (t) = "neon_q";
4065 tdep->neon_quad_type = t;
4066 }
4067
4068 return tdep->neon_quad_type;
4069}
4070
4071/* Return the GDB type object for the "standard" data type of data in
4072 register N. */
4073
4074static struct type *
4075arm_register_type (struct gdbarch *gdbarch, int regnum)
4076{
4077 int num_regs = gdbarch_num_regs (gdbarch);
4078
4079 if (gdbarch_tdep (gdbarch)->have_vfp_pseudos
4080 && regnum >= num_regs && regnum < num_regs + 32)
4081 return builtin_type (gdbarch)->builtin_float;
4082
4083 if (gdbarch_tdep (gdbarch)->have_neon_pseudos
4084 && regnum >= num_regs + 32 && regnum < num_regs + 32 + 16)
4085 return arm_neon_quad_type (gdbarch);
4086
4087 /* If the target description has register information, we are only
4088 in this function so that we can override the types of
4089 double-precision registers for NEON. */
4090 if (tdesc_has_registers (gdbarch_target_desc (gdbarch)))
4091 {
4092 struct type *t = tdesc_register_type (gdbarch, regnum);
4093
4094 if (regnum >= ARM_D0_REGNUM && regnum < ARM_D0_REGNUM + 32
4095 && TYPE_CODE (t) == TYPE_CODE_FLT
4096 && gdbarch_tdep (gdbarch)->have_neon)
4097 return arm_neon_double_type (gdbarch);
4098 else
4099 return t;
4100 }
4101
4102 if (regnum >= ARM_F0_REGNUM && regnum < ARM_F0_REGNUM + NUM_FREGS)
4103 {
4104 if (!gdbarch_tdep (gdbarch)->have_fpa_registers)
4105 return builtin_type (gdbarch)->builtin_void;
4106
4107 return arm_ext_type (gdbarch);
4108 }
4109 else if (regnum == ARM_SP_REGNUM)
4110 return builtin_type (gdbarch)->builtin_data_ptr;
4111 else if (regnum == ARM_PC_REGNUM)
4112 return builtin_type (gdbarch)->builtin_func_ptr;
4113 else if (regnum >= ARRAY_SIZE (arm_register_names))
4114 /* These registers are only supported on targets which supply
4115 an XML description. */
4116 return builtin_type (gdbarch)->builtin_int0;
4117 else
4118 return builtin_type (gdbarch)->builtin_uint32;
4119}
4120
4121/* Map a DWARF register REGNUM onto the appropriate GDB register
4122 number. */
4123
4124static int
4125arm_dwarf_reg_to_regnum (struct gdbarch *gdbarch, int reg)
4126{
4127 /* Core integer regs. */
4128 if (reg >= 0 && reg <= 15)
4129 return reg;
4130
4131 /* Legacy FPA encoding. These were once used in a way which
4132 overlapped with VFP register numbering, so their use is
4133 discouraged, but GDB doesn't support the ARM toolchain
4134 which used them for VFP. */
4135 if (reg >= 16 && reg <= 23)
4136 return ARM_F0_REGNUM + reg - 16;
4137
4138 /* New assignments for the FPA registers. */
4139 if (reg >= 96 && reg <= 103)
4140 return ARM_F0_REGNUM + reg - 96;
4141
4142 /* WMMX register assignments. */
4143 if (reg >= 104 && reg <= 111)
4144 return ARM_WCGR0_REGNUM + reg - 104;
4145
4146 if (reg >= 112 && reg <= 127)
4147 return ARM_WR0_REGNUM + reg - 112;
4148
4149 if (reg >= 192 && reg <= 199)
4150 return ARM_WC0_REGNUM + reg - 192;
4151
4152 /* VFP v2 registers. A double precision value is actually
4153 in d1 rather than s2, but the ABI only defines numbering
4154 for the single precision registers. This will "just work"
4155 in GDB for little endian targets (we'll read eight bytes,
4156 starting in s0 and then progressing to s1), but will be
4157 reversed on big endian targets with VFP. This won't
4158 be a problem for the new Neon quad registers; you're supposed
4159 to use DW_OP_piece for those. */
4160 if (reg >= 64 && reg <= 95)
4161 {
4162 char name_buf[4];
4163
4164 xsnprintf (name_buf, sizeof (name_buf), "s%d", reg - 64);
4165 return user_reg_map_name_to_regnum (gdbarch, name_buf,
4166 strlen (name_buf));
4167 }
4168
4169 /* VFP v3 / Neon registers. This range is also used for VFP v2
4170 registers, except that it now describes d0 instead of s0. */
4171 if (reg >= 256 && reg <= 287)
4172 {
4173 char name_buf[4];
4174
4175 xsnprintf (name_buf, sizeof (name_buf), "d%d", reg - 256);
4176 return user_reg_map_name_to_regnum (gdbarch, name_buf,
4177 strlen (name_buf));
4178 }
4179
4180 return -1;
4181}
4182
4183/* Map GDB internal REGNUM onto the Arm simulator register numbers. */
4184static int
4185arm_register_sim_regno (struct gdbarch *gdbarch, int regnum)
4186{
4187 int reg = regnum;
4188 gdb_assert (reg >= 0 && reg < gdbarch_num_regs (gdbarch));
4189
4190 if (regnum >= ARM_WR0_REGNUM && regnum <= ARM_WR15_REGNUM)
4191 return regnum - ARM_WR0_REGNUM + SIM_ARM_IWMMXT_COP0R0_REGNUM;
4192
4193 if (regnum >= ARM_WC0_REGNUM && regnum <= ARM_WC7_REGNUM)
4194 return regnum - ARM_WC0_REGNUM + SIM_ARM_IWMMXT_COP1R0_REGNUM;
4195
4196 if (regnum >= ARM_WCGR0_REGNUM && regnum <= ARM_WCGR7_REGNUM)
4197 return regnum - ARM_WCGR0_REGNUM + SIM_ARM_IWMMXT_COP1R8_REGNUM;
4198
4199 if (reg < NUM_GREGS)
4200 return SIM_ARM_R0_REGNUM + reg;
4201 reg -= NUM_GREGS;
4202
4203 if (reg < NUM_FREGS)
4204 return SIM_ARM_FP0_REGNUM + reg;
4205 reg -= NUM_FREGS;
4206
4207 if (reg < NUM_SREGS)
4208 return SIM_ARM_FPS_REGNUM + reg;
4209 reg -= NUM_SREGS;
4210
4211 internal_error (__FILE__, __LINE__, _("Bad REGNUM %d"), regnum);
4212}
4213
4214/* NOTE: cagney/2001-08-20: Both convert_from_extended() and
4215 convert_to_extended() use floatformat_arm_ext_littlebyte_bigword.
4216 It is thought that this is is the floating-point register format on
4217 little-endian systems. */
4218
4219static void
4220convert_from_extended (const struct floatformat *fmt, const void *ptr,
4221 void *dbl, int endianess)
4222{
4223 DOUBLEST d;
4224
4225 if (endianess == BFD_ENDIAN_BIG)
4226 floatformat_to_doublest (&floatformat_arm_ext_big, ptr, &d);
4227 else
4228 floatformat_to_doublest (&floatformat_arm_ext_littlebyte_bigword,
4229 ptr, &d);
4230 floatformat_from_doublest (fmt, &d, dbl);
4231}
4232
4233static void
4234convert_to_extended (const struct floatformat *fmt, void *dbl, const void *ptr,
4235 int endianess)
4236{
4237 DOUBLEST d;
4238
4239 floatformat_to_doublest (fmt, ptr, &d);
4240 if (endianess == BFD_ENDIAN_BIG)
4241 floatformat_from_doublest (&floatformat_arm_ext_big, &d, dbl);
4242 else
4243 floatformat_from_doublest (&floatformat_arm_ext_littlebyte_bigword,
4244 &d, dbl);
4245}
4246
4247/* Given BUF, which is OLD_LEN bytes ending at ENDADDR, expand
4248 the buffer to be NEW_LEN bytes ending at ENDADDR. Return
4249 NULL if an error occurs. BUF is freed. */
4250
4251static gdb_byte *
4252extend_buffer_earlier (gdb_byte *buf, CORE_ADDR endaddr,
4253 int old_len, int new_len)
4254{
4255 gdb_byte *new_buf;
4256 int bytes_to_read = new_len - old_len;
4257
4258 new_buf = (gdb_byte *) xmalloc (new_len);
4259 memcpy (new_buf + bytes_to_read, buf, old_len);
4260 xfree (buf);
4261 if (target_read_code (endaddr - new_len, new_buf, bytes_to_read) != 0)
4262 {
4263 xfree (new_buf);
4264 return NULL;
4265 }
4266 return new_buf;
4267}
4268
4269/* An IT block is at most the 2-byte IT instruction followed by
4270 four 4-byte instructions. The furthest back we must search to
4271 find an IT block that affects the current instruction is thus
4272 2 + 3 * 4 == 14 bytes. */
4273#define MAX_IT_BLOCK_PREFIX 14
4274
4275/* Use a quick scan if there are more than this many bytes of
4276 code. */
4277#define IT_SCAN_THRESHOLD 32
4278
4279/* Adjust a breakpoint's address to move breakpoints out of IT blocks.
4280 A breakpoint in an IT block may not be hit, depending on the
4281 condition flags. */
4282static CORE_ADDR
4283arm_adjust_breakpoint_address (struct gdbarch *gdbarch, CORE_ADDR bpaddr)
4284{
4285 gdb_byte *buf;
4286 char map_type;
4287 CORE_ADDR boundary, func_start;
4288 int buf_len;
4289 enum bfd_endian order = gdbarch_byte_order_for_code (gdbarch);
4290 int i, any, last_it, last_it_count;
4291
4292 /* If we are using BKPT breakpoints, none of this is necessary. */
4293 if (gdbarch_tdep (gdbarch)->thumb2_breakpoint == NULL)
4294 return bpaddr;
4295
4296 /* ARM mode does not have this problem. */
4297 if (!arm_pc_is_thumb (gdbarch, bpaddr))
4298 return bpaddr;
4299
4300 /* We are setting a breakpoint in Thumb code that could potentially
4301 contain an IT block. The first step is to find how much Thumb
4302 code there is; we do not need to read outside of known Thumb
4303 sequences. */
4304 map_type = arm_find_mapping_symbol (bpaddr, &boundary);
4305 if (map_type == 0)
4306 /* Thumb-2 code must have mapping symbols to have a chance. */
4307 return bpaddr;
4308
4309 bpaddr = gdbarch_addr_bits_remove (gdbarch, bpaddr);
4310
4311 if (find_pc_partial_function (bpaddr, NULL, &func_start, NULL)
4312 && func_start > boundary)
4313 boundary = func_start;
4314
4315 /* Search for a candidate IT instruction. We have to do some fancy
4316 footwork to distinguish a real IT instruction from the second
4317 half of a 32-bit instruction, but there is no need for that if
4318 there's no candidate. */
4319 buf_len = std::min (bpaddr - boundary, (CORE_ADDR) MAX_IT_BLOCK_PREFIX);
4320 if (buf_len == 0)
4321 /* No room for an IT instruction. */
4322 return bpaddr;
4323
4324 buf = (gdb_byte *) xmalloc (buf_len);
4325 if (target_read_code (bpaddr - buf_len, buf, buf_len) != 0)
4326 return bpaddr;
4327 any = 0;
4328 for (i = 0; i < buf_len; i += 2)
4329 {
4330 unsigned short inst1 = extract_unsigned_integer (&buf[i], 2, order);
4331 if ((inst1 & 0xff00) == 0xbf00 && (inst1 & 0x000f) != 0)
4332 {
4333 any = 1;
4334 break;
4335 }
4336 }
4337
4338 if (any == 0)
4339 {
4340 xfree (buf);
4341 return bpaddr;
4342 }
4343
4344 /* OK, the code bytes before this instruction contain at least one
4345 halfword which resembles an IT instruction. We know that it's
4346 Thumb code, but there are still two possibilities. Either the
4347 halfword really is an IT instruction, or it is the second half of
4348 a 32-bit Thumb instruction. The only way we can tell is to
4349 scan forwards from a known instruction boundary. */
4350 if (bpaddr - boundary > IT_SCAN_THRESHOLD)
4351 {
4352 int definite;
4353
4354 /* There's a lot of code before this instruction. Start with an
4355 optimistic search; it's easy to recognize halfwords that can
4356 not be the start of a 32-bit instruction, and use that to
4357 lock on to the instruction boundaries. */
4358 buf = extend_buffer_earlier (buf, bpaddr, buf_len, IT_SCAN_THRESHOLD);
4359 if (buf == NULL)
4360 return bpaddr;
4361 buf_len = IT_SCAN_THRESHOLD;
4362
4363 definite = 0;
4364 for (i = 0; i < buf_len - sizeof (buf) && ! definite; i += 2)
4365 {
4366 unsigned short inst1 = extract_unsigned_integer (&buf[i], 2, order);
4367 if (thumb_insn_size (inst1) == 2)
4368 {
4369 definite = 1;
4370 break;
4371 }
4372 }
4373
4374 /* At this point, if DEFINITE, BUF[I] is the first place we
4375 are sure that we know the instruction boundaries, and it is far
4376 enough from BPADDR that we could not miss an IT instruction
4377 affecting BPADDR. If ! DEFINITE, give up - start from a
4378 known boundary. */
4379 if (! definite)
4380 {
4381 buf = extend_buffer_earlier (buf, bpaddr, buf_len,
4382 bpaddr - boundary);
4383 if (buf == NULL)
4384 return bpaddr;
4385 buf_len = bpaddr - boundary;
4386 i = 0;
4387 }
4388 }
4389 else
4390 {
4391 buf = extend_buffer_earlier (buf, bpaddr, buf_len, bpaddr - boundary);
4392 if (buf == NULL)
4393 return bpaddr;
4394 buf_len = bpaddr - boundary;
4395 i = 0;
4396 }
4397
4398 /* Scan forwards. Find the last IT instruction before BPADDR. */
4399 last_it = -1;
4400 last_it_count = 0;
4401 while (i < buf_len)
4402 {
4403 unsigned short inst1 = extract_unsigned_integer (&buf[i], 2, order);
4404 last_it_count--;
4405 if ((inst1 & 0xff00) == 0xbf00 && (inst1 & 0x000f) != 0)
4406 {
4407 last_it = i;
4408 if (inst1 & 0x0001)
4409 last_it_count = 4;
4410 else if (inst1 & 0x0002)
4411 last_it_count = 3;
4412 else if (inst1 & 0x0004)
4413 last_it_count = 2;
4414 else
4415 last_it_count = 1;
4416 }
4417 i += thumb_insn_size (inst1);
4418 }
4419
4420 xfree (buf);
4421
4422 if (last_it == -1)
4423 /* There wasn't really an IT instruction after all. */
4424 return bpaddr;
4425
4426 if (last_it_count < 1)
4427 /* It was too far away. */
4428 return bpaddr;
4429
4430 /* This really is a trouble spot. Move the breakpoint to the IT
4431 instruction. */
4432 return bpaddr - buf_len + last_it;
4433}
4434
4435/* ARM displaced stepping support.
4436
4437 Generally ARM displaced stepping works as follows:
4438
4439 1. When an instruction is to be single-stepped, it is first decoded by
4440 arm_process_displaced_insn. Depending on the type of instruction, it is
4441 then copied to a scratch location, possibly in a modified form. The
4442 copy_* set of functions performs such modification, as necessary. A
4443 breakpoint is placed after the modified instruction in the scratch space
4444 to return control to GDB. Note in particular that instructions which
4445 modify the PC will no longer do so after modification.
4446
4447 2. The instruction is single-stepped, by setting the PC to the scratch
4448 location address, and resuming. Control returns to GDB when the
4449 breakpoint is hit.
4450
4451 3. A cleanup function (cleanup_*) is called corresponding to the copy_*
4452 function used for the current instruction. This function's job is to
4453 put the CPU/memory state back to what it would have been if the
4454 instruction had been executed unmodified in its original location. */
4455
4456/* NOP instruction (mov r0, r0). */
4457#define ARM_NOP 0xe1a00000
4458#define THUMB_NOP 0x4600
4459
4460/* Helper for register reads for displaced stepping. In particular, this
4461 returns the PC as it would be seen by the instruction at its original
4462 location. */
4463
4464ULONGEST
4465displaced_read_reg (struct regcache *regs, struct displaced_step_closure *dsc,
4466 int regno)
4467{
4468 ULONGEST ret;
4469 CORE_ADDR from = dsc->insn_addr;
4470
4471 if (regno == ARM_PC_REGNUM)
4472 {
4473 /* Compute pipeline offset:
4474 - When executing an ARM instruction, PC reads as the address of the
4475 current instruction plus 8.
4476 - When executing a Thumb instruction, PC reads as the address of the
4477 current instruction plus 4. */
4478
4479 if (!dsc->is_thumb)
4480 from += 8;
4481 else
4482 from += 4;
4483
4484 if (debug_displaced)
4485 fprintf_unfiltered (gdb_stdlog, "displaced: read pc value %.8lx\n",
4486 (unsigned long) from);
4487 return (ULONGEST) from;
4488 }
4489 else
4490 {
4491 regcache_cooked_read_unsigned (regs, regno, &ret);
4492 if (debug_displaced)
4493 fprintf_unfiltered (gdb_stdlog, "displaced: read r%d value %.8lx\n",
4494 regno, (unsigned long) ret);
4495 return ret;
4496 }
4497}
4498
4499static int
4500displaced_in_arm_mode (struct regcache *regs)
4501{
4502 ULONGEST ps;
4503 ULONGEST t_bit = arm_psr_thumb_bit (get_regcache_arch (regs));
4504
4505 regcache_cooked_read_unsigned (regs, ARM_PS_REGNUM, &ps);
4506
4507 return (ps & t_bit) == 0;
4508}
4509
4510/* Write to the PC as from a branch instruction. */
4511
4512static void
4513branch_write_pc (struct regcache *regs, struct displaced_step_closure *dsc,
4514 ULONGEST val)
4515{
4516 if (!dsc->is_thumb)
4517 /* Note: If bits 0/1 are set, this branch would be unpredictable for
4518 architecture versions < 6. */
4519 regcache_cooked_write_unsigned (regs, ARM_PC_REGNUM,
4520 val & ~(ULONGEST) 0x3);
4521 else
4522 regcache_cooked_write_unsigned (regs, ARM_PC_REGNUM,
4523 val & ~(ULONGEST) 0x1);
4524}
4525
4526/* Write to the PC as from a branch-exchange instruction. */
4527
4528static void
4529bx_write_pc (struct regcache *regs, ULONGEST val)
4530{
4531 ULONGEST ps;
4532 ULONGEST t_bit = arm_psr_thumb_bit (get_regcache_arch (regs));
4533
4534 regcache_cooked_read_unsigned (regs, ARM_PS_REGNUM, &ps);
4535
4536 if ((val & 1) == 1)
4537 {
4538 regcache_cooked_write_unsigned (regs, ARM_PS_REGNUM, ps | t_bit);
4539 regcache_cooked_write_unsigned (regs, ARM_PC_REGNUM, val & 0xfffffffe);
4540 }
4541 else if ((val & 2) == 0)
4542 {
4543 regcache_cooked_write_unsigned (regs, ARM_PS_REGNUM, ps & ~t_bit);
4544 regcache_cooked_write_unsigned (regs, ARM_PC_REGNUM, val);
4545 }
4546 else
4547 {
4548 /* Unpredictable behaviour. Try to do something sensible (switch to ARM
4549 mode, align dest to 4 bytes). */
4550 warning (_("Single-stepping BX to non-word-aligned ARM instruction."));
4551 regcache_cooked_write_unsigned (regs, ARM_PS_REGNUM, ps & ~t_bit);
4552 regcache_cooked_write_unsigned (regs, ARM_PC_REGNUM, val & 0xfffffffc);
4553 }
4554}
4555
4556/* Write to the PC as if from a load instruction. */
4557
4558static void
4559load_write_pc (struct regcache *regs, struct displaced_step_closure *dsc,
4560 ULONGEST val)
4561{
4562 if (DISPLACED_STEPPING_ARCH_VERSION >= 5)
4563 bx_write_pc (regs, val);
4564 else
4565 branch_write_pc (regs, dsc, val);
4566}
4567
4568/* Write to the PC as if from an ALU instruction. */
4569
4570static void
4571alu_write_pc (struct regcache *regs, struct displaced_step_closure *dsc,
4572 ULONGEST val)
4573{
4574 if (DISPLACED_STEPPING_ARCH_VERSION >= 7 && !dsc->is_thumb)
4575 bx_write_pc (regs, val);
4576 else
4577 branch_write_pc (regs, dsc, val);
4578}
4579
4580/* Helper for writing to registers for displaced stepping. Writing to the PC
4581 has a varying effects depending on the instruction which does the write:
4582 this is controlled by the WRITE_PC argument. */
4583
4584void
4585displaced_write_reg (struct regcache *regs, struct displaced_step_closure *dsc,
4586 int regno, ULONGEST val, enum pc_write_style write_pc)
4587{
4588 if (regno == ARM_PC_REGNUM)
4589 {
4590 if (debug_displaced)
4591 fprintf_unfiltered (gdb_stdlog, "displaced: writing pc %.8lx\n",
4592 (unsigned long) val);
4593 switch (write_pc)
4594 {
4595 case BRANCH_WRITE_PC:
4596 branch_write_pc (regs, dsc, val);
4597 break;
4598
4599 case BX_WRITE_PC:
4600 bx_write_pc (regs, val);
4601 break;
4602
4603 case LOAD_WRITE_PC:
4604 load_write_pc (regs, dsc, val);
4605 break;
4606
4607 case ALU_WRITE_PC:
4608 alu_write_pc (regs, dsc, val);
4609 break;
4610
4611 case CANNOT_WRITE_PC:
4612 warning (_("Instruction wrote to PC in an unexpected way when "
4613 "single-stepping"));
4614 break;
4615
4616 default:
4617 internal_error (__FILE__, __LINE__,
4618 _("Invalid argument to displaced_write_reg"));
4619 }
4620
4621 dsc->wrote_to_pc = 1;
4622 }
4623 else
4624 {
4625 if (debug_displaced)
4626 fprintf_unfiltered (gdb_stdlog, "displaced: writing r%d value %.8lx\n",
4627 regno, (unsigned long) val);
4628 regcache_cooked_write_unsigned (regs, regno, val);
4629 }
4630}
4631
4632/* This function is used to concisely determine if an instruction INSN
4633 references PC. Register fields of interest in INSN should have the
4634 corresponding fields of BITMASK set to 0b1111. The function
4635 returns return 1 if any of these fields in INSN reference the PC
4636 (also 0b1111, r15), else it returns 0. */
4637
4638static int
4639insn_references_pc (uint32_t insn, uint32_t bitmask)
4640{
4641 uint32_t lowbit = 1;
4642
4643 while (bitmask != 0)
4644 {
4645 uint32_t mask;
4646
4647 for (; lowbit && (bitmask & lowbit) == 0; lowbit <<= 1)
4648 ;
4649
4650 if (!lowbit)
4651 break;
4652
4653 mask = lowbit * 0xf;
4654
4655 if ((insn & mask) == mask)
4656 return 1;
4657
4658 bitmask &= ~mask;
4659 }
4660
4661 return 0;
4662}
4663
4664/* The simplest copy function. Many instructions have the same effect no
4665 matter what address they are executed at: in those cases, use this. */
4666
4667static int
4668arm_copy_unmodified (struct gdbarch *gdbarch, uint32_t insn,
4669 const char *iname, struct displaced_step_closure *dsc)
4670{
4671 if (debug_displaced)
4672 fprintf_unfiltered (gdb_stdlog, "displaced: copying insn %.8lx, "
4673 "opcode/class '%s' unmodified\n", (unsigned long) insn,
4674 iname);
4675
4676 dsc->modinsn[0] = insn;
4677
4678 return 0;
4679}
4680
4681static int
4682thumb_copy_unmodified_32bit (struct gdbarch *gdbarch, uint16_t insn1,
4683 uint16_t insn2, const char *iname,
4684 struct displaced_step_closure *dsc)
4685{
4686 if (debug_displaced)
4687 fprintf_unfiltered (gdb_stdlog, "displaced: copying insn %.4x %.4x, "
4688 "opcode/class '%s' unmodified\n", insn1, insn2,
4689 iname);
4690
4691 dsc->modinsn[0] = insn1;
4692 dsc->modinsn[1] = insn2;
4693 dsc->numinsns = 2;
4694
4695 return 0;
4696}
4697
4698/* Copy 16-bit Thumb(Thumb and 16-bit Thumb-2) instruction without any
4699 modification. */
4700static int
4701thumb_copy_unmodified_16bit (struct gdbarch *gdbarch, uint16_t insn,
4702 const char *iname,
4703 struct displaced_step_closure *dsc)
4704{
4705 if (debug_displaced)
4706 fprintf_unfiltered (gdb_stdlog, "displaced: copying insn %.4x, "
4707 "opcode/class '%s' unmodified\n", insn,
4708 iname);
4709
4710 dsc->modinsn[0] = insn;
4711
4712 return 0;
4713}
4714
4715/* Preload instructions with immediate offset. */
4716
4717static void
4718cleanup_preload (struct gdbarch *gdbarch,
4719 struct regcache *regs, struct displaced_step_closure *dsc)
4720{
4721 displaced_write_reg (regs, dsc, 0, dsc->tmp[0], CANNOT_WRITE_PC);
4722 if (!dsc->u.preload.immed)
4723 displaced_write_reg (regs, dsc, 1, dsc->tmp[1], CANNOT_WRITE_PC);
4724}
4725
4726static void
4727install_preload (struct gdbarch *gdbarch, struct regcache *regs,
4728 struct displaced_step_closure *dsc, unsigned int rn)
4729{
4730 ULONGEST rn_val;
4731 /* Preload instructions:
4732
4733 {pli/pld} [rn, #+/-imm]
4734 ->
4735 {pli/pld} [r0, #+/-imm]. */
4736
4737 dsc->tmp[0] = displaced_read_reg (regs, dsc, 0);
4738 rn_val = displaced_read_reg (regs, dsc, rn);
4739 displaced_write_reg (regs, dsc, 0, rn_val, CANNOT_WRITE_PC);
4740 dsc->u.preload.immed = 1;
4741
4742 dsc->cleanup = &cleanup_preload;
4743}
4744
4745static int
4746arm_copy_preload (struct gdbarch *gdbarch, uint32_t insn, struct regcache *regs,
4747 struct displaced_step_closure *dsc)
4748{
4749 unsigned int rn = bits (insn, 16, 19);
4750
4751 if (!insn_references_pc (insn, 0x000f0000ul))
4752 return arm_copy_unmodified (gdbarch, insn, "preload", dsc);
4753
4754 if (debug_displaced)
4755 fprintf_unfiltered (gdb_stdlog, "displaced: copying preload insn %.8lx\n",
4756 (unsigned long) insn);
4757
4758 dsc->modinsn[0] = insn & 0xfff0ffff;
4759
4760 install_preload (gdbarch, regs, dsc, rn);
4761
4762 return 0;
4763}
4764
4765static int
4766thumb2_copy_preload (struct gdbarch *gdbarch, uint16_t insn1, uint16_t insn2,
4767 struct regcache *regs, struct displaced_step_closure *dsc)
4768{
4769 unsigned int rn = bits (insn1, 0, 3);
4770 unsigned int u_bit = bit (insn1, 7);
4771 int imm12 = bits (insn2, 0, 11);
4772 ULONGEST pc_val;
4773
4774 if (rn != ARM_PC_REGNUM)
4775 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2, "preload", dsc);
4776
4777 /* PC is only allowed to use in PLI (immediate,literal) Encoding T3, and
4778 PLD (literal) Encoding T1. */
4779 if (debug_displaced)
4780 fprintf_unfiltered (gdb_stdlog,
4781 "displaced: copying pld/pli pc (0x%x) %c imm12 %.4x\n",
4782 (unsigned int) dsc->insn_addr, u_bit ? '+' : '-',
4783 imm12);
4784
4785 if (!u_bit)
4786 imm12 = -1 * imm12;
4787
4788 /* Rewrite instruction {pli/pld} PC imm12 into:
4789 Prepare: tmp[0] <- r0, tmp[1] <- r1, r0 <- pc, r1 <- imm12
4790
4791 {pli/pld} [r0, r1]
4792
4793 Cleanup: r0 <- tmp[0], r1 <- tmp[1]. */
4794
4795 dsc->tmp[0] = displaced_read_reg (regs, dsc, 0);
4796 dsc->tmp[1] = displaced_read_reg (regs, dsc, 1);
4797
4798 pc_val = displaced_read_reg (regs, dsc, ARM_PC_REGNUM);
4799
4800 displaced_write_reg (regs, dsc, 0, pc_val, CANNOT_WRITE_PC);
4801 displaced_write_reg (regs, dsc, 1, imm12, CANNOT_WRITE_PC);
4802 dsc->u.preload.immed = 0;
4803
4804 /* {pli/pld} [r0, r1] */
4805 dsc->modinsn[0] = insn1 & 0xfff0;
4806 dsc->modinsn[1] = 0xf001;
4807 dsc->numinsns = 2;
4808
4809 dsc->cleanup = &cleanup_preload;
4810 return 0;
4811}
4812
4813/* Preload instructions with register offset. */
4814
4815static void
4816install_preload_reg(struct gdbarch *gdbarch, struct regcache *regs,
4817 struct displaced_step_closure *dsc, unsigned int rn,
4818 unsigned int rm)
4819{
4820 ULONGEST rn_val, rm_val;
4821
4822 /* Preload register-offset instructions:
4823
4824 {pli/pld} [rn, rm {, shift}]
4825 ->
4826 {pli/pld} [r0, r1 {, shift}]. */
4827
4828 dsc->tmp[0] = displaced_read_reg (regs, dsc, 0);
4829 dsc->tmp[1] = displaced_read_reg (regs, dsc, 1);
4830 rn_val = displaced_read_reg (regs, dsc, rn);
4831 rm_val = displaced_read_reg (regs, dsc, rm);
4832 displaced_write_reg (regs, dsc, 0, rn_val, CANNOT_WRITE_PC);
4833 displaced_write_reg (regs, dsc, 1, rm_val, CANNOT_WRITE_PC);
4834 dsc->u.preload.immed = 0;
4835
4836 dsc->cleanup = &cleanup_preload;
4837}
4838
4839static int
4840arm_copy_preload_reg (struct gdbarch *gdbarch, uint32_t insn,
4841 struct regcache *regs,
4842 struct displaced_step_closure *dsc)
4843{
4844 unsigned int rn = bits (insn, 16, 19);
4845 unsigned int rm = bits (insn, 0, 3);
4846
4847
4848 if (!insn_references_pc (insn, 0x000f000ful))
4849 return arm_copy_unmodified (gdbarch, insn, "preload reg", dsc);
4850
4851 if (debug_displaced)
4852 fprintf_unfiltered (gdb_stdlog, "displaced: copying preload insn %.8lx\n",
4853 (unsigned long) insn);
4854
4855 dsc->modinsn[0] = (insn & 0xfff0fff0) | 0x1;
4856
4857 install_preload_reg (gdbarch, regs, dsc, rn, rm);
4858 return 0;
4859}
4860
4861/* Copy/cleanup coprocessor load and store instructions. */
4862
4863static void
4864cleanup_copro_load_store (struct gdbarch *gdbarch,
4865 struct regcache *regs,
4866 struct displaced_step_closure *dsc)
4867{
4868 ULONGEST rn_val = displaced_read_reg (regs, dsc, 0);
4869
4870 displaced_write_reg (regs, dsc, 0, dsc->tmp[0], CANNOT_WRITE_PC);
4871
4872 if (dsc->u.ldst.writeback)
4873 displaced_write_reg (regs, dsc, dsc->u.ldst.rn, rn_val, LOAD_WRITE_PC);
4874}
4875
4876static void
4877install_copro_load_store (struct gdbarch *gdbarch, struct regcache *regs,
4878 struct displaced_step_closure *dsc,
4879 int writeback, unsigned int rn)
4880{
4881 ULONGEST rn_val;
4882
4883 /* Coprocessor load/store instructions:
4884
4885 {stc/stc2} [<Rn>, #+/-imm] (and other immediate addressing modes)
4886 ->
4887 {stc/stc2} [r0, #+/-imm].
4888
4889 ldc/ldc2 are handled identically. */
4890
4891 dsc->tmp[0] = displaced_read_reg (regs, dsc, 0);
4892 rn_val = displaced_read_reg (regs, dsc, rn);
4893 /* PC should be 4-byte aligned. */
4894 rn_val = rn_val & 0xfffffffc;
4895 displaced_write_reg (regs, dsc, 0, rn_val, CANNOT_WRITE_PC);
4896
4897 dsc->u.ldst.writeback = writeback;
4898 dsc->u.ldst.rn = rn;
4899
4900 dsc->cleanup = &cleanup_copro_load_store;
4901}
4902
4903static int
4904arm_copy_copro_load_store (struct gdbarch *gdbarch, uint32_t insn,
4905 struct regcache *regs,
4906 struct displaced_step_closure *dsc)
4907{
4908 unsigned int rn = bits (insn, 16, 19);
4909
4910 if (!insn_references_pc (insn, 0x000f0000ul))
4911 return arm_copy_unmodified (gdbarch, insn, "copro load/store", dsc);
4912
4913 if (debug_displaced)
4914 fprintf_unfiltered (gdb_stdlog, "displaced: copying coprocessor "
4915 "load/store insn %.8lx\n", (unsigned long) insn);
4916
4917 dsc->modinsn[0] = insn & 0xfff0ffff;
4918
4919 install_copro_load_store (gdbarch, regs, dsc, bit (insn, 25), rn);
4920
4921 return 0;
4922}
4923
4924static int
4925thumb2_copy_copro_load_store (struct gdbarch *gdbarch, uint16_t insn1,
4926 uint16_t insn2, struct regcache *regs,
4927 struct displaced_step_closure *dsc)
4928{
4929 unsigned int rn = bits (insn1, 0, 3);
4930
4931 if (rn != ARM_PC_REGNUM)
4932 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
4933 "copro load/store", dsc);
4934
4935 if (debug_displaced)
4936 fprintf_unfiltered (gdb_stdlog, "displaced: copying coprocessor "
4937 "load/store insn %.4x%.4x\n", insn1, insn2);
4938
4939 dsc->modinsn[0] = insn1 & 0xfff0;
4940 dsc->modinsn[1] = insn2;
4941 dsc->numinsns = 2;
4942
4943 /* This function is called for copying instruction LDC/LDC2/VLDR, which
4944 doesn't support writeback, so pass 0. */
4945 install_copro_load_store (gdbarch, regs, dsc, 0, rn);
4946
4947 return 0;
4948}
4949
4950/* Clean up branch instructions (actually perform the branch, by setting
4951 PC). */
4952
4953static void
4954cleanup_branch (struct gdbarch *gdbarch, struct regcache *regs,
4955 struct displaced_step_closure *dsc)
4956{
4957 uint32_t status = displaced_read_reg (regs, dsc, ARM_PS_REGNUM);
4958 int branch_taken = condition_true (dsc->u.branch.cond, status);
4959 enum pc_write_style write_pc = dsc->u.branch.exchange
4960 ? BX_WRITE_PC : BRANCH_WRITE_PC;
4961
4962 if (!branch_taken)
4963 return;
4964
4965 if (dsc->u.branch.link)
4966 {
4967 /* The value of LR should be the next insn of current one. In order
4968 not to confuse logic hanlding later insn `bx lr', if current insn mode
4969 is Thumb, the bit 0 of LR value should be set to 1. */
4970 ULONGEST next_insn_addr = dsc->insn_addr + dsc->insn_size;
4971
4972 if (dsc->is_thumb)
4973 next_insn_addr |= 0x1;
4974
4975 displaced_write_reg (regs, dsc, ARM_LR_REGNUM, next_insn_addr,
4976 CANNOT_WRITE_PC);
4977 }
4978
4979 displaced_write_reg (regs, dsc, ARM_PC_REGNUM, dsc->u.branch.dest, write_pc);
4980}
4981
4982/* Copy B/BL/BLX instructions with immediate destinations. */
4983
4984static void
4985install_b_bl_blx (struct gdbarch *gdbarch, struct regcache *regs,
4986 struct displaced_step_closure *dsc,
4987 unsigned int cond, int exchange, int link, long offset)
4988{
4989 /* Implement "BL<cond> <label>" as:
4990
4991 Preparation: cond <- instruction condition
4992 Insn: mov r0, r0 (nop)
4993 Cleanup: if (condition true) { r14 <- pc; pc <- label }.
4994
4995 B<cond> similar, but don't set r14 in cleanup. */
4996
4997 dsc->u.branch.cond = cond;
4998 dsc->u.branch.link = link;
4999 dsc->u.branch.exchange = exchange;
5000
5001 dsc->u.branch.dest = dsc->insn_addr;
5002 if (link && exchange)
5003 /* For BLX, offset is computed from the Align (PC, 4). */
5004 dsc->u.branch.dest = dsc->u.branch.dest & 0xfffffffc;
5005
5006 if (dsc->is_thumb)
5007 dsc->u.branch.dest += 4 + offset;
5008 else
5009 dsc->u.branch.dest += 8 + offset;
5010
5011 dsc->cleanup = &cleanup_branch;
5012}
5013static int
5014arm_copy_b_bl_blx (struct gdbarch *gdbarch, uint32_t insn,
5015 struct regcache *regs, struct displaced_step_closure *dsc)
5016{
5017 unsigned int cond = bits (insn, 28, 31);
5018 int exchange = (cond == 0xf);
5019 int link = exchange || bit (insn, 24);
5020 long offset;
5021
5022 if (debug_displaced)
5023 fprintf_unfiltered (gdb_stdlog, "displaced: copying %s immediate insn "
5024 "%.8lx\n", (exchange) ? "blx" : (link) ? "bl" : "b",
5025 (unsigned long) insn);
5026 if (exchange)
5027 /* For BLX, set bit 0 of the destination. The cleanup_branch function will
5028 then arrange the switch into Thumb mode. */
5029 offset = (bits (insn, 0, 23) << 2) | (bit (insn, 24) << 1) | 1;
5030 else
5031 offset = bits (insn, 0, 23) << 2;
5032
5033 if (bit (offset, 25))
5034 offset = offset | ~0x3ffffff;
5035
5036 dsc->modinsn[0] = ARM_NOP;
5037
5038 install_b_bl_blx (gdbarch, regs, dsc, cond, exchange, link, offset);
5039 return 0;
5040}
5041
5042static int
5043thumb2_copy_b_bl_blx (struct gdbarch *gdbarch, uint16_t insn1,
5044 uint16_t insn2, struct regcache *regs,
5045 struct displaced_step_closure *dsc)
5046{
5047 int link = bit (insn2, 14);
5048 int exchange = link && !bit (insn2, 12);
5049 int cond = INST_AL;
5050 long offset = 0;
5051 int j1 = bit (insn2, 13);
5052 int j2 = bit (insn2, 11);
5053 int s = sbits (insn1, 10, 10);
5054 int i1 = !(j1 ^ bit (insn1, 10));
5055 int i2 = !(j2 ^ bit (insn1, 10));
5056
5057 if (!link && !exchange) /* B */
5058 {
5059 offset = (bits (insn2, 0, 10) << 1);
5060 if (bit (insn2, 12)) /* Encoding T4 */
5061 {
5062 offset |= (bits (insn1, 0, 9) << 12)
5063 | (i2 << 22)
5064 | (i1 << 23)
5065 | (s << 24);
5066 cond = INST_AL;
5067 }
5068 else /* Encoding T3 */
5069 {
5070 offset |= (bits (insn1, 0, 5) << 12)
5071 | (j1 << 18)
5072 | (j2 << 19)
5073 | (s << 20);
5074 cond = bits (insn1, 6, 9);
5075 }
5076 }
5077 else
5078 {
5079 offset = (bits (insn1, 0, 9) << 12);
5080 offset |= ((i2 << 22) | (i1 << 23) | (s << 24));
5081 offset |= exchange ?
5082 (bits (insn2, 1, 10) << 2) : (bits (insn2, 0, 10) << 1);
5083 }
5084
5085 if (debug_displaced)
5086 fprintf_unfiltered (gdb_stdlog, "displaced: copying %s insn "
5087 "%.4x %.4x with offset %.8lx\n",
5088 link ? (exchange) ? "blx" : "bl" : "b",
5089 insn1, insn2, offset);
5090
5091 dsc->modinsn[0] = THUMB_NOP;
5092
5093 install_b_bl_blx (gdbarch, regs, dsc, cond, exchange, link, offset);
5094 return 0;
5095}
5096
5097/* Copy B Thumb instructions. */
5098static int
5099thumb_copy_b (struct gdbarch *gdbarch, uint16_t insn,
5100 struct displaced_step_closure *dsc)
5101{
5102 unsigned int cond = 0;
5103 int offset = 0;
5104 unsigned short bit_12_15 = bits (insn, 12, 15);
5105 CORE_ADDR from = dsc->insn_addr;
5106
5107 if (bit_12_15 == 0xd)
5108 {
5109 /* offset = SignExtend (imm8:0, 32) */
5110 offset = sbits ((insn << 1), 0, 8);
5111 cond = bits (insn, 8, 11);
5112 }
5113 else if (bit_12_15 == 0xe) /* Encoding T2 */
5114 {
5115 offset = sbits ((insn << 1), 0, 11);
5116 cond = INST_AL;
5117 }
5118
5119 if (debug_displaced)
5120 fprintf_unfiltered (gdb_stdlog,
5121 "displaced: copying b immediate insn %.4x "
5122 "with offset %d\n", insn, offset);
5123
5124 dsc->u.branch.cond = cond;
5125 dsc->u.branch.link = 0;
5126 dsc->u.branch.exchange = 0;
5127 dsc->u.branch.dest = from + 4 + offset;
5128
5129 dsc->modinsn[0] = THUMB_NOP;
5130
5131 dsc->cleanup = &cleanup_branch;
5132
5133 return 0;
5134}
5135
5136/* Copy BX/BLX with register-specified destinations. */
5137
5138static void
5139install_bx_blx_reg (struct gdbarch *gdbarch, struct regcache *regs,
5140 struct displaced_step_closure *dsc, int link,
5141 unsigned int cond, unsigned int rm)
5142{
5143 /* Implement {BX,BLX}<cond> <reg>" as:
5144
5145 Preparation: cond <- instruction condition
5146 Insn: mov r0, r0 (nop)
5147 Cleanup: if (condition true) { r14 <- pc; pc <- dest; }.
5148
5149 Don't set r14 in cleanup for BX. */
5150
5151 dsc->u.branch.dest = displaced_read_reg (regs, dsc, rm);
5152
5153 dsc->u.branch.cond = cond;
5154 dsc->u.branch.link = link;
5155
5156 dsc->u.branch.exchange = 1;
5157
5158 dsc->cleanup = &cleanup_branch;
5159}
5160
5161static int
5162arm_copy_bx_blx_reg (struct gdbarch *gdbarch, uint32_t insn,
5163 struct regcache *regs, struct displaced_step_closure *dsc)
5164{
5165 unsigned int cond = bits (insn, 28, 31);
5166 /* BX: x12xxx1x
5167 BLX: x12xxx3x. */
5168 int link = bit (insn, 5);
5169 unsigned int rm = bits (insn, 0, 3);
5170
5171 if (debug_displaced)
5172 fprintf_unfiltered (gdb_stdlog, "displaced: copying insn %.8lx",
5173 (unsigned long) insn);
5174
5175 dsc->modinsn[0] = ARM_NOP;
5176
5177 install_bx_blx_reg (gdbarch, regs, dsc, link, cond, rm);
5178 return 0;
5179}
5180
5181static int
5182thumb_copy_bx_blx_reg (struct gdbarch *gdbarch, uint16_t insn,
5183 struct regcache *regs,
5184 struct displaced_step_closure *dsc)
5185{
5186 int link = bit (insn, 7);
5187 unsigned int rm = bits (insn, 3, 6);
5188
5189 if (debug_displaced)
5190 fprintf_unfiltered (gdb_stdlog, "displaced: copying insn %.4x",
5191 (unsigned short) insn);
5192
5193 dsc->modinsn[0] = THUMB_NOP;
5194
5195 install_bx_blx_reg (gdbarch, regs, dsc, link, INST_AL, rm);
5196
5197 return 0;
5198}
5199
5200
5201/* Copy/cleanup arithmetic/logic instruction with immediate RHS. */
5202
5203static void
5204cleanup_alu_imm (struct gdbarch *gdbarch,
5205 struct regcache *regs, struct displaced_step_closure *dsc)
5206{
5207 ULONGEST rd_val = displaced_read_reg (regs, dsc, 0);
5208 displaced_write_reg (regs, dsc, 0, dsc->tmp[0], CANNOT_WRITE_PC);
5209 displaced_write_reg (regs, dsc, 1, dsc->tmp[1], CANNOT_WRITE_PC);
5210 displaced_write_reg (regs, dsc, dsc->rd, rd_val, ALU_WRITE_PC);
5211}
5212
5213static int
5214arm_copy_alu_imm (struct gdbarch *gdbarch, uint32_t insn, struct regcache *regs,
5215 struct displaced_step_closure *dsc)
5216{
5217 unsigned int rn = bits (insn, 16, 19);
5218 unsigned int rd = bits (insn, 12, 15);
5219 unsigned int op = bits (insn, 21, 24);
5220 int is_mov = (op == 0xd);
5221 ULONGEST rd_val, rn_val;
5222
5223 if (!insn_references_pc (insn, 0x000ff000ul))
5224 return arm_copy_unmodified (gdbarch, insn, "ALU immediate", dsc);
5225
5226 if (debug_displaced)
5227 fprintf_unfiltered (gdb_stdlog, "displaced: copying immediate %s insn "
5228 "%.8lx\n", is_mov ? "move" : "ALU",
5229 (unsigned long) insn);
5230
5231 /* Instruction is of form:
5232
5233 <op><cond> rd, [rn,] #imm
5234
5235 Rewrite as:
5236
5237 Preparation: tmp1, tmp2 <- r0, r1;
5238 r0, r1 <- rd, rn
5239 Insn: <op><cond> r0, r1, #imm
5240 Cleanup: rd <- r0; r0 <- tmp1; r1 <- tmp2
5241 */
5242
5243 dsc->tmp[0] = displaced_read_reg (regs, dsc, 0);
5244 dsc->tmp[1] = displaced_read_reg (regs, dsc, 1);
5245 rn_val = displaced_read_reg (regs, dsc, rn);
5246 rd_val = displaced_read_reg (regs, dsc, rd);
5247 displaced_write_reg (regs, dsc, 0, rd_val, CANNOT_WRITE_PC);
5248 displaced_write_reg (regs, dsc, 1, rn_val, CANNOT_WRITE_PC);
5249 dsc->rd = rd;
5250
5251 if (is_mov)
5252 dsc->modinsn[0] = insn & 0xfff00fff;
5253 else
5254 dsc->modinsn[0] = (insn & 0xfff00fff) | 0x10000;
5255
5256 dsc->cleanup = &cleanup_alu_imm;
5257
5258 return 0;
5259}
5260
5261static int
5262thumb2_copy_alu_imm (struct gdbarch *gdbarch, uint16_t insn1,
5263 uint16_t insn2, struct regcache *regs,
5264 struct displaced_step_closure *dsc)
5265{
5266 unsigned int op = bits (insn1, 5, 8);
5267 unsigned int rn, rm, rd;
5268 ULONGEST rd_val, rn_val;
5269
5270 rn = bits (insn1, 0, 3); /* Rn */
5271 rm = bits (insn2, 0, 3); /* Rm */
5272 rd = bits (insn2, 8, 11); /* Rd */
5273
5274 /* This routine is only called for instruction MOV. */
5275 gdb_assert (op == 0x2 && rn == 0xf);
5276
5277 if (rm != ARM_PC_REGNUM && rd != ARM_PC_REGNUM)
5278 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2, "ALU imm", dsc);
5279
5280 if (debug_displaced)
5281 fprintf_unfiltered (gdb_stdlog, "displaced: copying reg %s insn %.4x%.4x\n",
5282 "ALU", insn1, insn2);
5283
5284 /* Instruction is of form:
5285
5286 <op><cond> rd, [rn,] #imm
5287
5288 Rewrite as:
5289
5290 Preparation: tmp1, tmp2 <- r0, r1;
5291 r0, r1 <- rd, rn
5292 Insn: <op><cond> r0, r1, #imm
5293 Cleanup: rd <- r0; r0 <- tmp1; r1 <- tmp2
5294 */
5295
5296 dsc->tmp[0] = displaced_read_reg (regs, dsc, 0);
5297 dsc->tmp[1] = displaced_read_reg (regs, dsc, 1);
5298 rn_val = displaced_read_reg (regs, dsc, rn);
5299 rd_val = displaced_read_reg (regs, dsc, rd);
5300 displaced_write_reg (regs, dsc, 0, rd_val, CANNOT_WRITE_PC);
5301 displaced_write_reg (regs, dsc, 1, rn_val, CANNOT_WRITE_PC);
5302 dsc->rd = rd;
5303
5304 dsc->modinsn[0] = insn1;
5305 dsc->modinsn[1] = ((insn2 & 0xf0f0) | 0x1);
5306 dsc->numinsns = 2;
5307
5308 dsc->cleanup = &cleanup_alu_imm;
5309
5310 return 0;
5311}
5312
5313/* Copy/cleanup arithmetic/logic insns with register RHS. */
5314
5315static void
5316cleanup_alu_reg (struct gdbarch *gdbarch,
5317 struct regcache *regs, struct displaced_step_closure *dsc)
5318{
5319 ULONGEST rd_val;
5320 int i;
5321
5322 rd_val = displaced_read_reg (regs, dsc, 0);
5323
5324 for (i = 0; i < 3; i++)
5325 displaced_write_reg (regs, dsc, i, dsc->tmp[i], CANNOT_WRITE_PC);
5326
5327 displaced_write_reg (regs, dsc, dsc->rd, rd_val, ALU_WRITE_PC);
5328}
5329
5330static void
5331install_alu_reg (struct gdbarch *gdbarch, struct regcache *regs,
5332 struct displaced_step_closure *dsc,
5333 unsigned int rd, unsigned int rn, unsigned int rm)
5334{
5335 ULONGEST rd_val, rn_val, rm_val;
5336
5337 /* Instruction is of form:
5338
5339 <op><cond> rd, [rn,] rm [, <shift>]
5340
5341 Rewrite as:
5342
5343 Preparation: tmp1, tmp2, tmp3 <- r0, r1, r2;
5344 r0, r1, r2 <- rd, rn, rm
5345 Insn: <op><cond> r0, [r1,] r2 [, <shift>]
5346 Cleanup: rd <- r0; r0, r1, r2 <- tmp1, tmp2, tmp3
5347 */
5348
5349 dsc->tmp[0] = displaced_read_reg (regs, dsc, 0);
5350 dsc->tmp[1] = displaced_read_reg (regs, dsc, 1);
5351 dsc->tmp[2] = displaced_read_reg (regs, dsc, 2);
5352 rd_val = displaced_read_reg (regs, dsc, rd);
5353 rn_val = displaced_read_reg (regs, dsc, rn);
5354 rm_val = displaced_read_reg (regs, dsc, rm);
5355 displaced_write_reg (regs, dsc, 0, rd_val, CANNOT_WRITE_PC);
5356 displaced_write_reg (regs, dsc, 1, rn_val, CANNOT_WRITE_PC);
5357 displaced_write_reg (regs, dsc, 2, rm_val, CANNOT_WRITE_PC);
5358 dsc->rd = rd;
5359
5360 dsc->cleanup = &cleanup_alu_reg;
5361}
5362
5363static int
5364arm_copy_alu_reg (struct gdbarch *gdbarch, uint32_t insn, struct regcache *regs,
5365 struct displaced_step_closure *dsc)
5366{
5367 unsigned int op = bits (insn, 21, 24);
5368 int is_mov = (op == 0xd);
5369
5370 if (!insn_references_pc (insn, 0x000ff00ful))
5371 return arm_copy_unmodified (gdbarch, insn, "ALU reg", dsc);
5372
5373 if (debug_displaced)
5374 fprintf_unfiltered (gdb_stdlog, "displaced: copying reg %s insn %.8lx\n",
5375 is_mov ? "move" : "ALU", (unsigned long) insn);
5376
5377 if (is_mov)
5378 dsc->modinsn[0] = (insn & 0xfff00ff0) | 0x2;
5379 else
5380 dsc->modinsn[0] = (insn & 0xfff00ff0) | 0x10002;
5381
5382 install_alu_reg (gdbarch, regs, dsc, bits (insn, 12, 15), bits (insn, 16, 19),
5383 bits (insn, 0, 3));
5384 return 0;
5385}
5386
5387static int
5388thumb_copy_alu_reg (struct gdbarch *gdbarch, uint16_t insn,
5389 struct regcache *regs,
5390 struct displaced_step_closure *dsc)
5391{
5392 unsigned rm, rd;
5393
5394 rm = bits (insn, 3, 6);
5395 rd = (bit (insn, 7) << 3) | bits (insn, 0, 2);
5396
5397 if (rd != ARM_PC_REGNUM && rm != ARM_PC_REGNUM)
5398 return thumb_copy_unmodified_16bit (gdbarch, insn, "ALU reg", dsc);
5399
5400 if (debug_displaced)
5401 fprintf_unfiltered (gdb_stdlog, "displaced: copying ALU reg insn %.4x\n",
5402 (unsigned short) insn);
5403
5404 dsc->modinsn[0] = ((insn & 0xff00) | 0x10);
5405
5406 install_alu_reg (gdbarch, regs, dsc, rd, rd, rm);
5407
5408 return 0;
5409}
5410
5411/* Cleanup/copy arithmetic/logic insns with shifted register RHS. */
5412
5413static void
5414cleanup_alu_shifted_reg (struct gdbarch *gdbarch,
5415 struct regcache *regs,
5416 struct displaced_step_closure *dsc)
5417{
5418 ULONGEST rd_val = displaced_read_reg (regs, dsc, 0);
5419 int i;
5420
5421 for (i = 0; i < 4; i++)
5422 displaced_write_reg (regs, dsc, i, dsc->tmp[i], CANNOT_WRITE_PC);
5423
5424 displaced_write_reg (regs, dsc, dsc->rd, rd_val, ALU_WRITE_PC);
5425}
5426
5427static void
5428install_alu_shifted_reg (struct gdbarch *gdbarch, struct regcache *regs,
5429 struct displaced_step_closure *dsc,
5430 unsigned int rd, unsigned int rn, unsigned int rm,
5431 unsigned rs)
5432{
5433 int i;
5434 ULONGEST rd_val, rn_val, rm_val, rs_val;
5435
5436 /* Instruction is of form:
5437
5438 <op><cond> rd, [rn,] rm, <shift> rs
5439
5440 Rewrite as:
5441
5442 Preparation: tmp1, tmp2, tmp3, tmp4 <- r0, r1, r2, r3
5443 r0, r1, r2, r3 <- rd, rn, rm, rs
5444 Insn: <op><cond> r0, r1, r2, <shift> r3
5445 Cleanup: tmp5 <- r0
5446 r0, r1, r2, r3 <- tmp1, tmp2, tmp3, tmp4
5447 rd <- tmp5
5448 */
5449
5450 for (i = 0; i < 4; i++)
5451 dsc->tmp[i] = displaced_read_reg (regs, dsc, i);
5452
5453 rd_val = displaced_read_reg (regs, dsc, rd);
5454 rn_val = displaced_read_reg (regs, dsc, rn);
5455 rm_val = displaced_read_reg (regs, dsc, rm);
5456 rs_val = displaced_read_reg (regs, dsc, rs);
5457 displaced_write_reg (regs, dsc, 0, rd_val, CANNOT_WRITE_PC);
5458 displaced_write_reg (regs, dsc, 1, rn_val, CANNOT_WRITE_PC);
5459 displaced_write_reg (regs, dsc, 2, rm_val, CANNOT_WRITE_PC);
5460 displaced_write_reg (regs, dsc, 3, rs_val, CANNOT_WRITE_PC);
5461 dsc->rd = rd;
5462 dsc->cleanup = &cleanup_alu_shifted_reg;
5463}
5464
5465static int
5466arm_copy_alu_shifted_reg (struct gdbarch *gdbarch, uint32_t insn,
5467 struct regcache *regs,
5468 struct displaced_step_closure *dsc)
5469{
5470 unsigned int op = bits (insn, 21, 24);
5471 int is_mov = (op == 0xd);
5472 unsigned int rd, rn, rm, rs;
5473
5474 if (!insn_references_pc (insn, 0x000fff0ful))
5475 return arm_copy_unmodified (gdbarch, insn, "ALU shifted reg", dsc);
5476
5477 if (debug_displaced)
5478 fprintf_unfiltered (gdb_stdlog, "displaced: copying shifted reg %s insn "
5479 "%.8lx\n", is_mov ? "move" : "ALU",
5480 (unsigned long) insn);
5481
5482 rn = bits (insn, 16, 19);
5483 rm = bits (insn, 0, 3);
5484 rs = bits (insn, 8, 11);
5485 rd = bits (insn, 12, 15);
5486
5487 if (is_mov)
5488 dsc->modinsn[0] = (insn & 0xfff000f0) | 0x302;
5489 else
5490 dsc->modinsn[0] = (insn & 0xfff000f0) | 0x10302;
5491
5492 install_alu_shifted_reg (gdbarch, regs, dsc, rd, rn, rm, rs);
5493
5494 return 0;
5495}
5496
5497/* Clean up load instructions. */
5498
5499static void
5500cleanup_load (struct gdbarch *gdbarch, struct regcache *regs,
5501 struct displaced_step_closure *dsc)
5502{
5503 ULONGEST rt_val, rt_val2 = 0, rn_val;
5504
5505 rt_val = displaced_read_reg (regs, dsc, 0);
5506 if (dsc->u.ldst.xfersize == 8)
5507 rt_val2 = displaced_read_reg (regs, dsc, 1);
5508 rn_val = displaced_read_reg (regs, dsc, 2);
5509
5510 displaced_write_reg (regs, dsc, 0, dsc->tmp[0], CANNOT_WRITE_PC);
5511 if (dsc->u.ldst.xfersize > 4)
5512 displaced_write_reg (regs, dsc, 1, dsc->tmp[1], CANNOT_WRITE_PC);
5513 displaced_write_reg (regs, dsc, 2, dsc->tmp[2], CANNOT_WRITE_PC);
5514 if (!dsc->u.ldst.immed)
5515 displaced_write_reg (regs, dsc, 3, dsc->tmp[3], CANNOT_WRITE_PC);
5516
5517 /* Handle register writeback. */
5518 if (dsc->u.ldst.writeback)
5519 displaced_write_reg (regs, dsc, dsc->u.ldst.rn, rn_val, CANNOT_WRITE_PC);
5520 /* Put result in right place. */
5521 displaced_write_reg (regs, dsc, dsc->rd, rt_val, LOAD_WRITE_PC);
5522 if (dsc->u.ldst.xfersize == 8)
5523 displaced_write_reg (regs, dsc, dsc->rd + 1, rt_val2, LOAD_WRITE_PC);
5524}
5525
5526/* Clean up store instructions. */
5527
5528static void
5529cleanup_store (struct gdbarch *gdbarch, struct regcache *regs,
5530 struct displaced_step_closure *dsc)
5531{
5532 ULONGEST rn_val = displaced_read_reg (regs, dsc, 2);
5533
5534 displaced_write_reg (regs, dsc, 0, dsc->tmp[0], CANNOT_WRITE_PC);
5535 if (dsc->u.ldst.xfersize > 4)
5536 displaced_write_reg (regs, dsc, 1, dsc->tmp[1], CANNOT_WRITE_PC);
5537 displaced_write_reg (regs, dsc, 2, dsc->tmp[2], CANNOT_WRITE_PC);
5538 if (!dsc->u.ldst.immed)
5539 displaced_write_reg (regs, dsc, 3, dsc->tmp[3], CANNOT_WRITE_PC);
5540 if (!dsc->u.ldst.restore_r4)
5541 displaced_write_reg (regs, dsc, 4, dsc->tmp[4], CANNOT_WRITE_PC);
5542
5543 /* Writeback. */
5544 if (dsc->u.ldst.writeback)
5545 displaced_write_reg (regs, dsc, dsc->u.ldst.rn, rn_val, CANNOT_WRITE_PC);
5546}
5547
5548/* Copy "extra" load/store instructions. These are halfword/doubleword
5549 transfers, which have a different encoding to byte/word transfers. */
5550
5551static int
5552arm_copy_extra_ld_st (struct gdbarch *gdbarch, uint32_t insn, int unprivileged,
5553 struct regcache *regs, struct displaced_step_closure *dsc)
5554{
5555 unsigned int op1 = bits (insn, 20, 24);
5556 unsigned int op2 = bits (insn, 5, 6);
5557 unsigned int rt = bits (insn, 12, 15);
5558 unsigned int rn = bits (insn, 16, 19);
5559 unsigned int rm = bits (insn, 0, 3);
5560 char load[12] = {0, 1, 0, 1, 1, 1, 1, 1, 0, 1, 0, 1};
5561 char bytesize[12] = {2, 2, 2, 2, 8, 1, 8, 1, 8, 2, 8, 2};
5562 int immed = (op1 & 0x4) != 0;
5563 int opcode;
5564 ULONGEST rt_val, rt_val2 = 0, rn_val, rm_val = 0;
5565
5566 if (!insn_references_pc (insn, 0x000ff00ful))
5567 return arm_copy_unmodified (gdbarch, insn, "extra load/store", dsc);
5568
5569 if (debug_displaced)
5570 fprintf_unfiltered (gdb_stdlog, "displaced: copying %sextra load/store "
5571 "insn %.8lx\n", unprivileged ? "unprivileged " : "",
5572 (unsigned long) insn);
5573
5574 opcode = ((op2 << 2) | (op1 & 0x1) | ((op1 & 0x4) >> 1)) - 4;
5575
5576 if (opcode < 0)
5577 internal_error (__FILE__, __LINE__,
5578 _("copy_extra_ld_st: instruction decode error"));
5579
5580 dsc->tmp[0] = displaced_read_reg (regs, dsc, 0);
5581 dsc->tmp[1] = displaced_read_reg (regs, dsc, 1);
5582 dsc->tmp[2] = displaced_read_reg (regs, dsc, 2);
5583 if (!immed)
5584 dsc->tmp[3] = displaced_read_reg (regs, dsc, 3);
5585
5586 rt_val = displaced_read_reg (regs, dsc, rt);
5587 if (bytesize[opcode] == 8)
5588 rt_val2 = displaced_read_reg (regs, dsc, rt + 1);
5589 rn_val = displaced_read_reg (regs, dsc, rn);
5590 if (!immed)
5591 rm_val = displaced_read_reg (regs, dsc, rm);
5592
5593 displaced_write_reg (regs, dsc, 0, rt_val, CANNOT_WRITE_PC);
5594 if (bytesize[opcode] == 8)
5595 displaced_write_reg (regs, dsc, 1, rt_val2, CANNOT_WRITE_PC);
5596 displaced_write_reg (regs, dsc, 2, rn_val, CANNOT_WRITE_PC);
5597 if (!immed)
5598 displaced_write_reg (regs, dsc, 3, rm_val, CANNOT_WRITE_PC);
5599
5600 dsc->rd = rt;
5601 dsc->u.ldst.xfersize = bytesize[opcode];
5602 dsc->u.ldst.rn = rn;
5603 dsc->u.ldst.immed = immed;
5604 dsc->u.ldst.writeback = bit (insn, 24) == 0 || bit (insn, 21) != 0;
5605 dsc->u.ldst.restore_r4 = 0;
5606
5607 if (immed)
5608 /* {ldr,str}<width><cond> rt, [rt2,] [rn, #imm]
5609 ->
5610 {ldr,str}<width><cond> r0, [r1,] [r2, #imm]. */
5611 dsc->modinsn[0] = (insn & 0xfff00fff) | 0x20000;
5612 else
5613 /* {ldr,str}<width><cond> rt, [rt2,] [rn, +/-rm]
5614 ->
5615 {ldr,str}<width><cond> r0, [r1,] [r2, +/-r3]. */
5616 dsc->modinsn[0] = (insn & 0xfff00ff0) | 0x20003;
5617
5618 dsc->cleanup = load[opcode] ? &cleanup_load : &cleanup_store;
5619
5620 return 0;
5621}
5622
5623/* Copy byte/half word/word loads and stores. */
5624
5625static void
5626install_load_store (struct gdbarch *gdbarch, struct regcache *regs,
5627 struct displaced_step_closure *dsc, int load,
5628 int immed, int writeback, int size, int usermode,
5629 int rt, int rm, int rn)
5630{
5631 ULONGEST rt_val, rn_val, rm_val = 0;
5632
5633 dsc->tmp[0] = displaced_read_reg (regs, dsc, 0);
5634 dsc->tmp[2] = displaced_read_reg (regs, dsc, 2);
5635 if (!immed)
5636 dsc->tmp[3] = displaced_read_reg (regs, dsc, 3);
5637 if (!load)
5638 dsc->tmp[4] = displaced_read_reg (regs, dsc, 4);
5639
5640 rt_val = displaced_read_reg (regs, dsc, rt);
5641 rn_val = displaced_read_reg (regs, dsc, rn);
5642 if (!immed)
5643 rm_val = displaced_read_reg (regs, dsc, rm);
5644
5645 displaced_write_reg (regs, dsc, 0, rt_val, CANNOT_WRITE_PC);
5646 displaced_write_reg (regs, dsc, 2, rn_val, CANNOT_WRITE_PC);
5647 if (!immed)
5648 displaced_write_reg (regs, dsc, 3, rm_val, CANNOT_WRITE_PC);
5649 dsc->rd = rt;
5650 dsc->u.ldst.xfersize = size;
5651 dsc->u.ldst.rn = rn;
5652 dsc->u.ldst.immed = immed;
5653 dsc->u.ldst.writeback = writeback;
5654
5655 /* To write PC we can do:
5656
5657 Before this sequence of instructions:
5658 r0 is the PC value got from displaced_read_reg, so r0 = from + 8;
5659 r2 is the Rn value got from dispalced_read_reg.
5660
5661 Insn1: push {pc} Write address of STR instruction + offset on stack
5662 Insn2: pop {r4} Read it back from stack, r4 = addr(Insn1) + offset
5663 Insn3: sub r4, r4, pc r4 = addr(Insn1) + offset - pc
5664 = addr(Insn1) + offset - addr(Insn3) - 8
5665 = offset - 16
5666 Insn4: add r4, r4, #8 r4 = offset - 8
5667 Insn5: add r0, r0, r4 r0 = from + 8 + offset - 8
5668 = from + offset
5669 Insn6: str r0, [r2, #imm] (or str r0, [r2, r3])
5670
5671 Otherwise we don't know what value to write for PC, since the offset is
5672 architecture-dependent (sometimes PC+8, sometimes PC+12). More details
5673 of this can be found in Section "Saving from r15" in
5674 http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.dui0204g/Cihbjifh.html */
5675
5676 dsc->cleanup = load ? &cleanup_load : &cleanup_store;
5677}
5678
5679
5680static int
5681thumb2_copy_load_literal (struct gdbarch *gdbarch, uint16_t insn1,
5682 uint16_t insn2, struct regcache *regs,
5683 struct displaced_step_closure *dsc, int size)
5684{
5685 unsigned int u_bit = bit (insn1, 7);
5686 unsigned int rt = bits (insn2, 12, 15);
5687 int imm12 = bits (insn2, 0, 11);
5688 ULONGEST pc_val;
5689
5690 if (debug_displaced)
5691 fprintf_unfiltered (gdb_stdlog,
5692 "displaced: copying ldr pc (0x%x) R%d %c imm12 %.4x\n",
5693 (unsigned int) dsc->insn_addr, rt, u_bit ? '+' : '-',
5694 imm12);
5695
5696 if (!u_bit)
5697 imm12 = -1 * imm12;
5698
5699 /* Rewrite instruction LDR Rt imm12 into:
5700
5701 Prepare: tmp[0] <- r0, tmp[1] <- r2, tmp[2] <- r3, r2 <- pc, r3 <- imm12
5702
5703 LDR R0, R2, R3,
5704
5705 Cleanup: rt <- r0, r0 <- tmp[0], r2 <- tmp[1], r3 <- tmp[2]. */
5706
5707
5708 dsc->tmp[0] = displaced_read_reg (regs, dsc, 0);
5709 dsc->tmp[2] = displaced_read_reg (regs, dsc, 2);
5710 dsc->tmp[3] = displaced_read_reg (regs, dsc, 3);
5711
5712 pc_val = displaced_read_reg (regs, dsc, ARM_PC_REGNUM);
5713
5714 pc_val = pc_val & 0xfffffffc;
5715
5716 displaced_write_reg (regs, dsc, 2, pc_val, CANNOT_WRITE_PC);
5717 displaced_write_reg (regs, dsc, 3, imm12, CANNOT_WRITE_PC);
5718
5719 dsc->rd = rt;
5720
5721 dsc->u.ldst.xfersize = size;
5722 dsc->u.ldst.immed = 0;
5723 dsc->u.ldst.writeback = 0;
5724 dsc->u.ldst.restore_r4 = 0;
5725
5726 /* LDR R0, R2, R3 */
5727 dsc->modinsn[0] = 0xf852;
5728 dsc->modinsn[1] = 0x3;
5729 dsc->numinsns = 2;
5730
5731 dsc->cleanup = &cleanup_load;
5732
5733 return 0;
5734}
5735
5736static int
5737thumb2_copy_load_reg_imm (struct gdbarch *gdbarch, uint16_t insn1,
5738 uint16_t insn2, struct regcache *regs,
5739 struct displaced_step_closure *dsc,
5740 int writeback, int immed)
5741{
5742 unsigned int rt = bits (insn2, 12, 15);
5743 unsigned int rn = bits (insn1, 0, 3);
5744 unsigned int rm = bits (insn2, 0, 3); /* Only valid if !immed. */
5745 /* In LDR (register), there is also a register Rm, which is not allowed to
5746 be PC, so we don't have to check it. */
5747
5748 if (rt != ARM_PC_REGNUM && rn != ARM_PC_REGNUM)
5749 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2, "load",
5750 dsc);
5751
5752 if (debug_displaced)
5753 fprintf_unfiltered (gdb_stdlog,
5754 "displaced: copying ldr r%d [r%d] insn %.4x%.4x\n",
5755 rt, rn, insn1, insn2);
5756
5757 install_load_store (gdbarch, regs, dsc, 1, immed, writeback, 4,
5758 0, rt, rm, rn);
5759
5760 dsc->u.ldst.restore_r4 = 0;
5761
5762 if (immed)
5763 /* ldr[b]<cond> rt, [rn, #imm], etc.
5764 ->
5765 ldr[b]<cond> r0, [r2, #imm]. */
5766 {
5767 dsc->modinsn[0] = (insn1 & 0xfff0) | 0x2;
5768 dsc->modinsn[1] = insn2 & 0x0fff;
5769 }
5770 else
5771 /* ldr[b]<cond> rt, [rn, rm], etc.
5772 ->
5773 ldr[b]<cond> r0, [r2, r3]. */
5774 {
5775 dsc->modinsn[0] = (insn1 & 0xfff0) | 0x2;
5776 dsc->modinsn[1] = (insn2 & 0x0ff0) | 0x3;
5777 }
5778
5779 dsc->numinsns = 2;
5780
5781 return 0;
5782}
5783
5784
5785static int
5786arm_copy_ldr_str_ldrb_strb (struct gdbarch *gdbarch, uint32_t insn,
5787 struct regcache *regs,
5788 struct displaced_step_closure *dsc,
5789 int load, int size, int usermode)
5790{
5791 int immed = !bit (insn, 25);
5792 int writeback = (bit (insn, 24) == 0 || bit (insn, 21) != 0);
5793 unsigned int rt = bits (insn, 12, 15);
5794 unsigned int rn = bits (insn, 16, 19);
5795 unsigned int rm = bits (insn, 0, 3); /* Only valid if !immed. */
5796
5797 if (!insn_references_pc (insn, 0x000ff00ful))
5798 return arm_copy_unmodified (gdbarch, insn, "load/store", dsc);
5799
5800 if (debug_displaced)
5801 fprintf_unfiltered (gdb_stdlog,
5802 "displaced: copying %s%s r%d [r%d] insn %.8lx\n",
5803 load ? (size == 1 ? "ldrb" : "ldr")
5804 : (size == 1 ? "strb" : "str"), usermode ? "t" : "",
5805 rt, rn,
5806 (unsigned long) insn);
5807
5808 install_load_store (gdbarch, regs, dsc, load, immed, writeback, size,
5809 usermode, rt, rm, rn);
5810
5811 if (load || rt != ARM_PC_REGNUM)
5812 {
5813 dsc->u.ldst.restore_r4 = 0;
5814
5815 if (immed)
5816 /* {ldr,str}[b]<cond> rt, [rn, #imm], etc.
5817 ->
5818 {ldr,str}[b]<cond> r0, [r2, #imm]. */
5819 dsc->modinsn[0] = (insn & 0xfff00fff) | 0x20000;
5820 else
5821 /* {ldr,str}[b]<cond> rt, [rn, rm], etc.
5822 ->
5823 {ldr,str}[b]<cond> r0, [r2, r3]. */
5824 dsc->modinsn[0] = (insn & 0xfff00ff0) | 0x20003;
5825 }
5826 else
5827 {
5828 /* We need to use r4 as scratch. Make sure it's restored afterwards. */
5829 dsc->u.ldst.restore_r4 = 1;
5830 dsc->modinsn[0] = 0xe92d8000; /* push {pc} */
5831 dsc->modinsn[1] = 0xe8bd0010; /* pop {r4} */
5832 dsc->modinsn[2] = 0xe044400f; /* sub r4, r4, pc. */
5833 dsc->modinsn[3] = 0xe2844008; /* add r4, r4, #8. */
5834 dsc->modinsn[4] = 0xe0800004; /* add r0, r0, r4. */
5835
5836 /* As above. */
5837 if (immed)
5838 dsc->modinsn[5] = (insn & 0xfff00fff) | 0x20000;
5839 else
5840 dsc->modinsn[5] = (insn & 0xfff00ff0) | 0x20003;
5841
5842 dsc->numinsns = 6;
5843 }
5844
5845 dsc->cleanup = load ? &cleanup_load : &cleanup_store;
5846
5847 return 0;
5848}
5849
5850/* Cleanup LDM instructions with fully-populated register list. This is an
5851 unfortunate corner case: it's impossible to implement correctly by modifying
5852 the instruction. The issue is as follows: we have an instruction,
5853
5854 ldm rN, {r0-r15}
5855
5856 which we must rewrite to avoid loading PC. A possible solution would be to
5857 do the load in two halves, something like (with suitable cleanup
5858 afterwards):
5859
5860 mov r8, rN
5861 ldm[id][ab] r8!, {r0-r7}
5862 str r7, <temp>
5863 ldm[id][ab] r8, {r7-r14}
5864 <bkpt>
5865
5866 but at present there's no suitable place for <temp>, since the scratch space
5867 is overwritten before the cleanup routine is called. For now, we simply
5868 emulate the instruction. */
5869
5870static void
5871cleanup_block_load_all (struct gdbarch *gdbarch, struct regcache *regs,
5872 struct displaced_step_closure *dsc)
5873{
5874 int inc = dsc->u.block.increment;
5875 int bump_before = dsc->u.block.before ? (inc ? 4 : -4) : 0;
5876 int bump_after = dsc->u.block.before ? 0 : (inc ? 4 : -4);
5877 uint32_t regmask = dsc->u.block.regmask;
5878 int regno = inc ? 0 : 15;
5879 CORE_ADDR xfer_addr = dsc->u.block.xfer_addr;
5880 int exception_return = dsc->u.block.load && dsc->u.block.user
5881 && (regmask & 0x8000) != 0;
5882 uint32_t status = displaced_read_reg (regs, dsc, ARM_PS_REGNUM);
5883 int do_transfer = condition_true (dsc->u.block.cond, status);
5884 enum bfd_endian byte_order = gdbarch_byte_order (gdbarch);
5885
5886 if (!do_transfer)
5887 return;
5888
5889 /* If the instruction is ldm rN, {...pc}^, I don't think there's anything
5890 sensible we can do here. Complain loudly. */
5891 if (exception_return)
5892 error (_("Cannot single-step exception return"));
5893
5894 /* We don't handle any stores here for now. */
5895 gdb_assert (dsc->u.block.load != 0);
5896
5897 if (debug_displaced)
5898 fprintf_unfiltered (gdb_stdlog, "displaced: emulating block transfer: "
5899 "%s %s %s\n", dsc->u.block.load ? "ldm" : "stm",
5900 dsc->u.block.increment ? "inc" : "dec",
5901 dsc->u.block.before ? "before" : "after");
5902
5903 while (regmask)
5904 {
5905 uint32_t memword;
5906
5907 if (inc)
5908 while (regno <= ARM_PC_REGNUM && (regmask & (1 << regno)) == 0)
5909 regno++;
5910 else
5911 while (regno >= 0 && (regmask & (1 << regno)) == 0)
5912 regno--;
5913
5914 xfer_addr += bump_before;
5915
5916 memword = read_memory_unsigned_integer (xfer_addr, 4, byte_order);
5917 displaced_write_reg (regs, dsc, regno, memword, LOAD_WRITE_PC);
5918
5919 xfer_addr += bump_after;
5920
5921 regmask &= ~(1 << regno);
5922 }
5923
5924 if (dsc->u.block.writeback)
5925 displaced_write_reg (regs, dsc, dsc->u.block.rn, xfer_addr,
5926 CANNOT_WRITE_PC);
5927}
5928
5929/* Clean up an STM which included the PC in the register list. */
5930
5931static void
5932cleanup_block_store_pc (struct gdbarch *gdbarch, struct regcache *regs,
5933 struct displaced_step_closure *dsc)
5934{
5935 uint32_t status = displaced_read_reg (regs, dsc, ARM_PS_REGNUM);
5936 int store_executed = condition_true (dsc->u.block.cond, status);
5937 CORE_ADDR pc_stored_at, transferred_regs = bitcount (dsc->u.block.regmask);
5938 CORE_ADDR stm_insn_addr;
5939 uint32_t pc_val;
5940 long offset;
5941 enum bfd_endian byte_order = gdbarch_byte_order (gdbarch);
5942
5943 /* If condition code fails, there's nothing else to do. */
5944 if (!store_executed)
5945 return;
5946
5947 if (dsc->u.block.increment)
5948 {
5949 pc_stored_at = dsc->u.block.xfer_addr + 4 * transferred_regs;
5950
5951 if (dsc->u.block.before)
5952 pc_stored_at += 4;
5953 }
5954 else
5955 {
5956 pc_stored_at = dsc->u.block.xfer_addr;
5957
5958 if (dsc->u.block.before)
5959 pc_stored_at -= 4;
5960 }
5961
5962 pc_val = read_memory_unsigned_integer (pc_stored_at, 4, byte_order);
5963 stm_insn_addr = dsc->scratch_base;
5964 offset = pc_val - stm_insn_addr;
5965
5966 if (debug_displaced)
5967 fprintf_unfiltered (gdb_stdlog, "displaced: detected PC offset %.8lx for "
5968 "STM instruction\n", offset);
5969
5970 /* Rewrite the stored PC to the proper value for the non-displaced original
5971 instruction. */
5972 write_memory_unsigned_integer (pc_stored_at, 4, byte_order,
5973 dsc->insn_addr + offset);
5974}
5975
5976/* Clean up an LDM which includes the PC in the register list. We clumped all
5977 the registers in the transferred list into a contiguous range r0...rX (to
5978 avoid loading PC directly and losing control of the debugged program), so we
5979 must undo that here. */
5980
5981static void
5982cleanup_block_load_pc (struct gdbarch *gdbarch,
5983 struct regcache *regs,
5984 struct displaced_step_closure *dsc)
5985{
5986 uint32_t status = displaced_read_reg (regs, dsc, ARM_PS_REGNUM);
5987 int load_executed = condition_true (dsc->u.block.cond, status);
5988 unsigned int mask = dsc->u.block.regmask, write_reg = ARM_PC_REGNUM;
5989 unsigned int regs_loaded = bitcount (mask);
5990 unsigned int num_to_shuffle = regs_loaded, clobbered;
5991
5992 /* The method employed here will fail if the register list is fully populated
5993 (we need to avoid loading PC directly). */
5994 gdb_assert (num_to_shuffle < 16);
5995
5996 if (!load_executed)
5997 return;
5998
5999 clobbered = (1 << num_to_shuffle) - 1;
6000
6001 while (num_to_shuffle > 0)
6002 {
6003 if ((mask & (1 << write_reg)) != 0)
6004 {
6005 unsigned int read_reg = num_to_shuffle - 1;
6006
6007 if (read_reg != write_reg)
6008 {
6009 ULONGEST rval = displaced_read_reg (regs, dsc, read_reg);
6010 displaced_write_reg (regs, dsc, write_reg, rval, LOAD_WRITE_PC);
6011 if (debug_displaced)
6012 fprintf_unfiltered (gdb_stdlog, _("displaced: LDM: move "
6013 "loaded register r%d to r%d\n"), read_reg,
6014 write_reg);
6015 }
6016 else if (debug_displaced)
6017 fprintf_unfiltered (gdb_stdlog, _("displaced: LDM: register "
6018 "r%d already in the right place\n"),
6019 write_reg);
6020
6021 clobbered &= ~(1 << write_reg);
6022
6023 num_to_shuffle--;
6024 }
6025
6026 write_reg--;
6027 }
6028
6029 /* Restore any registers we scribbled over. */
6030 for (write_reg = 0; clobbered != 0; write_reg++)
6031 {
6032 if ((clobbered & (1 << write_reg)) != 0)
6033 {
6034 displaced_write_reg (regs, dsc, write_reg, dsc->tmp[write_reg],
6035 CANNOT_WRITE_PC);
6036 if (debug_displaced)
6037 fprintf_unfiltered (gdb_stdlog, _("displaced: LDM: restored "
6038 "clobbered register r%d\n"), write_reg);
6039 clobbered &= ~(1 << write_reg);
6040 }
6041 }
6042
6043 /* Perform register writeback manually. */
6044 if (dsc->u.block.writeback)
6045 {
6046 ULONGEST new_rn_val = dsc->u.block.xfer_addr;
6047
6048 if (dsc->u.block.increment)
6049 new_rn_val += regs_loaded * 4;
6050 else
6051 new_rn_val -= regs_loaded * 4;
6052
6053 displaced_write_reg (regs, dsc, dsc->u.block.rn, new_rn_val,
6054 CANNOT_WRITE_PC);
6055 }
6056}
6057
6058/* Handle ldm/stm, apart from some tricky cases which are unlikely to occur
6059 in user-level code (in particular exception return, ldm rn, {...pc}^). */
6060
6061static int
6062arm_copy_block_xfer (struct gdbarch *gdbarch, uint32_t insn,
6063 struct regcache *regs,
6064 struct displaced_step_closure *dsc)
6065{
6066 int load = bit (insn, 20);
6067 int user = bit (insn, 22);
6068 int increment = bit (insn, 23);
6069 int before = bit (insn, 24);
6070 int writeback = bit (insn, 21);
6071 int rn = bits (insn, 16, 19);
6072
6073 /* Block transfers which don't mention PC can be run directly
6074 out-of-line. */
6075 if (rn != ARM_PC_REGNUM && (insn & 0x8000) == 0)
6076 return arm_copy_unmodified (gdbarch, insn, "ldm/stm", dsc);
6077
6078 if (rn == ARM_PC_REGNUM)
6079 {
6080 warning (_("displaced: Unpredictable LDM or STM with "
6081 "base register r15"));
6082 return arm_copy_unmodified (gdbarch, insn, "unpredictable ldm/stm", dsc);
6083 }
6084
6085 if (debug_displaced)
6086 fprintf_unfiltered (gdb_stdlog, "displaced: copying block transfer insn "
6087 "%.8lx\n", (unsigned long) insn);
6088
6089 dsc->u.block.xfer_addr = displaced_read_reg (regs, dsc, rn);
6090 dsc->u.block.rn = rn;
6091
6092 dsc->u.block.load = load;
6093 dsc->u.block.user = user;
6094 dsc->u.block.increment = increment;
6095 dsc->u.block.before = before;
6096 dsc->u.block.writeback = writeback;
6097 dsc->u.block.cond = bits (insn, 28, 31);
6098
6099 dsc->u.block.regmask = insn & 0xffff;
6100
6101 if (load)
6102 {
6103 if ((insn & 0xffff) == 0xffff)
6104 {
6105 /* LDM with a fully-populated register list. This case is
6106 particularly tricky. Implement for now by fully emulating the
6107 instruction (which might not behave perfectly in all cases, but
6108 these instructions should be rare enough for that not to matter
6109 too much). */
6110 dsc->modinsn[0] = ARM_NOP;
6111
6112 dsc->cleanup = &cleanup_block_load_all;
6113 }
6114 else
6115 {
6116 /* LDM of a list of registers which includes PC. Implement by
6117 rewriting the list of registers to be transferred into a
6118 contiguous chunk r0...rX before doing the transfer, then shuffling
6119 registers into the correct places in the cleanup routine. */
6120 unsigned int regmask = insn & 0xffff;
6121 unsigned int num_in_list = bitcount (regmask), new_regmask;
6122 unsigned int i;
6123
6124 for (i = 0; i < num_in_list; i++)
6125 dsc->tmp[i] = displaced_read_reg (regs, dsc, i);
6126
6127 /* Writeback makes things complicated. We need to avoid clobbering
6128 the base register with one of the registers in our modified
6129 register list, but just using a different register can't work in
6130 all cases, e.g.:
6131
6132 ldm r14!, {r0-r13,pc}
6133
6134 which would need to be rewritten as:
6135
6136 ldm rN!, {r0-r14}
6137
6138 but that can't work, because there's no free register for N.
6139
6140 Solve this by turning off the writeback bit, and emulating
6141 writeback manually in the cleanup routine. */
6142
6143 if (writeback)
6144 insn &= ~(1 << 21);
6145
6146 new_regmask = (1 << num_in_list) - 1;
6147
6148 if (debug_displaced)
6149 fprintf_unfiltered (gdb_stdlog, _("displaced: LDM r%d%s, "
6150 "{..., pc}: original reg list %.4x, modified "
6151 "list %.4x\n"), rn, writeback ? "!" : "",
6152 (int) insn & 0xffff, new_regmask);
6153
6154 dsc->modinsn[0] = (insn & ~0xffff) | (new_regmask & 0xffff);
6155
6156 dsc->cleanup = &cleanup_block_load_pc;
6157 }
6158 }
6159 else
6160 {
6161 /* STM of a list of registers which includes PC. Run the instruction
6162 as-is, but out of line: this will store the wrong value for the PC,
6163 so we must manually fix up the memory in the cleanup routine.
6164 Doing things this way has the advantage that we can auto-detect
6165 the offset of the PC write (which is architecture-dependent) in
6166 the cleanup routine. */
6167 dsc->modinsn[0] = insn;
6168
6169 dsc->cleanup = &cleanup_block_store_pc;
6170 }
6171
6172 return 0;
6173}
6174
6175static int
6176thumb2_copy_block_xfer (struct gdbarch *gdbarch, uint16_t insn1, uint16_t insn2,
6177 struct regcache *regs,
6178 struct displaced_step_closure *dsc)
6179{
6180 int rn = bits (insn1, 0, 3);
6181 int load = bit (insn1, 4);
6182 int writeback = bit (insn1, 5);
6183
6184 /* Block transfers which don't mention PC can be run directly
6185 out-of-line. */
6186 if (rn != ARM_PC_REGNUM && (insn2 & 0x8000) == 0)
6187 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2, "ldm/stm", dsc);
6188
6189 if (rn == ARM_PC_REGNUM)
6190 {
6191 warning (_("displaced: Unpredictable LDM or STM with "
6192 "base register r15"));
6193 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
6194 "unpredictable ldm/stm", dsc);
6195 }
6196
6197 if (debug_displaced)
6198 fprintf_unfiltered (gdb_stdlog, "displaced: copying block transfer insn "
6199 "%.4x%.4x\n", insn1, insn2);
6200
6201 /* Clear bit 13, since it should be always zero. */
6202 dsc->u.block.regmask = (insn2 & 0xdfff);
6203 dsc->u.block.rn = rn;
6204
6205 dsc->u.block.load = load;
6206 dsc->u.block.user = 0;
6207 dsc->u.block.increment = bit (insn1, 7);
6208 dsc->u.block.before = bit (insn1, 8);
6209 dsc->u.block.writeback = writeback;
6210 dsc->u.block.cond = INST_AL;
6211 dsc->u.block.xfer_addr = displaced_read_reg (regs, dsc, rn);
6212
6213 if (load)
6214 {
6215 if (dsc->u.block.regmask == 0xffff)
6216 {
6217 /* This branch is impossible to happen. */
6218 gdb_assert (0);
6219 }
6220 else
6221 {
6222 unsigned int regmask = dsc->u.block.regmask;
6223 unsigned int num_in_list = bitcount (regmask), new_regmask;
6224 unsigned int i;
6225
6226 for (i = 0; i < num_in_list; i++)
6227 dsc->tmp[i] = displaced_read_reg (regs, dsc, i);
6228
6229 if (writeback)
6230 insn1 &= ~(1 << 5);
6231
6232 new_regmask = (1 << num_in_list) - 1;
6233
6234 if (debug_displaced)
6235 fprintf_unfiltered (gdb_stdlog, _("displaced: LDM r%d%s, "
6236 "{..., pc}: original reg list %.4x, modified "
6237 "list %.4x\n"), rn, writeback ? "!" : "",
6238 (int) dsc->u.block.regmask, new_regmask);
6239
6240 dsc->modinsn[0] = insn1;
6241 dsc->modinsn[1] = (new_regmask & 0xffff);
6242 dsc->numinsns = 2;
6243
6244 dsc->cleanup = &cleanup_block_load_pc;
6245 }
6246 }
6247 else
6248 {
6249 dsc->modinsn[0] = insn1;
6250 dsc->modinsn[1] = insn2;
6251 dsc->numinsns = 2;
6252 dsc->cleanup = &cleanup_block_store_pc;
6253 }
6254 return 0;
6255}
6256
6257/* Wrapper over read_memory_unsigned_integer for use in arm_get_next_pcs.
6258 This is used to avoid a dependency on BFD's bfd_endian enum. */
6259
6260ULONGEST
6261arm_get_next_pcs_read_memory_unsigned_integer (CORE_ADDR memaddr, int len,
6262 int byte_order)
6263{
6264 return read_memory_unsigned_integer (memaddr, len,
6265 (enum bfd_endian) byte_order);
6266}
6267
6268/* Wrapper over gdbarch_addr_bits_remove for use in arm_get_next_pcs. */
6269
6270CORE_ADDR
6271arm_get_next_pcs_addr_bits_remove (struct arm_get_next_pcs *self,
6272 CORE_ADDR val)
6273{
6274 return gdbarch_addr_bits_remove (get_regcache_arch (self->regcache), val);
6275}
6276
6277/* Wrapper over syscall_next_pc for use in get_next_pcs. */
6278
6279static CORE_ADDR
6280arm_get_next_pcs_syscall_next_pc (struct arm_get_next_pcs *self)
6281{
6282 return 0;
6283}
6284
6285/* Wrapper over arm_is_thumb for use in arm_get_next_pcs. */
6286
6287int
6288arm_get_next_pcs_is_thumb (struct arm_get_next_pcs *self)
6289{
6290 return arm_is_thumb (self->regcache);
6291}
6292
6293/* single_step() is called just before we want to resume the inferior,
6294 if we want to single-step it but there is no hardware or kernel
6295 single-step support. We find the target of the coming instructions
6296 and breakpoint them. */
6297
6298std::vector<CORE_ADDR>
6299arm_software_single_step (struct regcache *regcache)
6300{
6301 struct gdbarch *gdbarch = get_regcache_arch (regcache);
6302 struct arm_get_next_pcs next_pcs_ctx;
6303
6304 arm_get_next_pcs_ctor (&next_pcs_ctx,
6305 &arm_get_next_pcs_ops,
6306 gdbarch_byte_order (gdbarch),
6307 gdbarch_byte_order_for_code (gdbarch),
6308 0,
6309 regcache);
6310
6311 std::vector<CORE_ADDR> next_pcs = arm_get_next_pcs (&next_pcs_ctx);
6312
6313 for (CORE_ADDR &pc_ref : next_pcs)
6314 pc_ref = gdbarch_addr_bits_remove (gdbarch, pc_ref);
6315
6316 return next_pcs;
6317}
6318
6319/* Cleanup/copy SVC (SWI) instructions. These two functions are overridden
6320 for Linux, where some SVC instructions must be treated specially. */
6321
6322static void
6323cleanup_svc (struct gdbarch *gdbarch, struct regcache *regs,
6324 struct displaced_step_closure *dsc)
6325{
6326 CORE_ADDR resume_addr = dsc->insn_addr + dsc->insn_size;
6327
6328 if (debug_displaced)
6329 fprintf_unfiltered (gdb_stdlog, "displaced: cleanup for svc, resume at "
6330 "%.8lx\n", (unsigned long) resume_addr);
6331
6332 displaced_write_reg (regs, dsc, ARM_PC_REGNUM, resume_addr, BRANCH_WRITE_PC);
6333}
6334
6335
6336/* Common copy routine for svc instruciton. */
6337
6338static int
6339install_svc (struct gdbarch *gdbarch, struct regcache *regs,
6340 struct displaced_step_closure *dsc)
6341{
6342 /* Preparation: none.
6343 Insn: unmodified svc.
6344 Cleanup: pc <- insn_addr + insn_size. */
6345
6346 /* Pretend we wrote to the PC, so cleanup doesn't set PC to the next
6347 instruction. */
6348 dsc->wrote_to_pc = 1;
6349
6350 /* Allow OS-specific code to override SVC handling. */
6351 if (dsc->u.svc.copy_svc_os)
6352 return dsc->u.svc.copy_svc_os (gdbarch, regs, dsc);
6353 else
6354 {
6355 dsc->cleanup = &cleanup_svc;
6356 return 0;
6357 }
6358}
6359
6360static int
6361arm_copy_svc (struct gdbarch *gdbarch, uint32_t insn,
6362 struct regcache *regs, struct displaced_step_closure *dsc)
6363{
6364
6365 if (debug_displaced)
6366 fprintf_unfiltered (gdb_stdlog, "displaced: copying svc insn %.8lx\n",
6367 (unsigned long) insn);
6368
6369 dsc->modinsn[0] = insn;
6370
6371 return install_svc (gdbarch, regs, dsc);
6372}
6373
6374static int
6375thumb_copy_svc (struct gdbarch *gdbarch, uint16_t insn,
6376 struct regcache *regs, struct displaced_step_closure *dsc)
6377{
6378
6379 if (debug_displaced)
6380 fprintf_unfiltered (gdb_stdlog, "displaced: copying svc insn %.4x\n",
6381 insn);
6382
6383 dsc->modinsn[0] = insn;
6384
6385 return install_svc (gdbarch, regs, dsc);
6386}
6387
6388/* Copy undefined instructions. */
6389
6390static int
6391arm_copy_undef (struct gdbarch *gdbarch, uint32_t insn,
6392 struct displaced_step_closure *dsc)
6393{
6394 if (debug_displaced)
6395 fprintf_unfiltered (gdb_stdlog,
6396 "displaced: copying undefined insn %.8lx\n",
6397 (unsigned long) insn);
6398
6399 dsc->modinsn[0] = insn;
6400
6401 return 0;
6402}
6403
6404static int
6405thumb_32bit_copy_undef (struct gdbarch *gdbarch, uint16_t insn1, uint16_t insn2,
6406 struct displaced_step_closure *dsc)
6407{
6408
6409 if (debug_displaced)
6410 fprintf_unfiltered (gdb_stdlog, "displaced: copying undefined insn "
6411 "%.4x %.4x\n", (unsigned short) insn1,
6412 (unsigned short) insn2);
6413
6414 dsc->modinsn[0] = insn1;
6415 dsc->modinsn[1] = insn2;
6416 dsc->numinsns = 2;
6417
6418 return 0;
6419}
6420
6421/* Copy unpredictable instructions. */
6422
6423static int
6424arm_copy_unpred (struct gdbarch *gdbarch, uint32_t insn,
6425 struct displaced_step_closure *dsc)
6426{
6427 if (debug_displaced)
6428 fprintf_unfiltered (gdb_stdlog, "displaced: copying unpredictable insn "
6429 "%.8lx\n", (unsigned long) insn);
6430
6431 dsc->modinsn[0] = insn;
6432
6433 return 0;
6434}
6435
6436/* The decode_* functions are instruction decoding helpers. They mostly follow
6437 the presentation in the ARM ARM. */
6438
6439static int
6440arm_decode_misc_memhint_neon (struct gdbarch *gdbarch, uint32_t insn,
6441 struct regcache *regs,
6442 struct displaced_step_closure *dsc)
6443{
6444 unsigned int op1 = bits (insn, 20, 26), op2 = bits (insn, 4, 7);
6445 unsigned int rn = bits (insn, 16, 19);
6446
6447 if (op1 == 0x10 && (op2 & 0x2) == 0x0 && (rn & 0xe) == 0x0)
6448 return arm_copy_unmodified (gdbarch, insn, "cps", dsc);
6449 else if (op1 == 0x10 && op2 == 0x0 && (rn & 0xe) == 0x1)
6450 return arm_copy_unmodified (gdbarch, insn, "setend", dsc);
6451 else if ((op1 & 0x60) == 0x20)
6452 return arm_copy_unmodified (gdbarch, insn, "neon dataproc", dsc);
6453 else if ((op1 & 0x71) == 0x40)
6454 return arm_copy_unmodified (gdbarch, insn, "neon elt/struct load/store",
6455 dsc);
6456 else if ((op1 & 0x77) == 0x41)
6457 return arm_copy_unmodified (gdbarch, insn, "unallocated mem hint", dsc);
6458 else if ((op1 & 0x77) == 0x45)
6459 return arm_copy_preload (gdbarch, insn, regs, dsc); /* pli. */
6460 else if ((op1 & 0x77) == 0x51)
6461 {
6462 if (rn != 0xf)
6463 return arm_copy_preload (gdbarch, insn, regs, dsc); /* pld/pldw. */
6464 else
6465 return arm_copy_unpred (gdbarch, insn, dsc);
6466 }
6467 else if ((op1 & 0x77) == 0x55)
6468 return arm_copy_preload (gdbarch, insn, regs, dsc); /* pld/pldw. */
6469 else if (op1 == 0x57)
6470 switch (op2)
6471 {
6472 case 0x1: return arm_copy_unmodified (gdbarch, insn, "clrex", dsc);
6473 case 0x4: return arm_copy_unmodified (gdbarch, insn, "dsb", dsc);
6474 case 0x5: return arm_copy_unmodified (gdbarch, insn, "dmb", dsc);
6475 case 0x6: return arm_copy_unmodified (gdbarch, insn, "isb", dsc);
6476 default: return arm_copy_unpred (gdbarch, insn, dsc);
6477 }
6478 else if ((op1 & 0x63) == 0x43)
6479 return arm_copy_unpred (gdbarch, insn, dsc);
6480 else if ((op2 & 0x1) == 0x0)
6481 switch (op1 & ~0x80)
6482 {
6483 case 0x61:
6484 return arm_copy_unmodified (gdbarch, insn, "unallocated mem hint", dsc);
6485 case 0x65:
6486 return arm_copy_preload_reg (gdbarch, insn, regs, dsc); /* pli reg. */
6487 case 0x71: case 0x75:
6488 /* pld/pldw reg. */
6489 return arm_copy_preload_reg (gdbarch, insn, regs, dsc);
6490 case 0x63: case 0x67: case 0x73: case 0x77:
6491 return arm_copy_unpred (gdbarch, insn, dsc);
6492 default:
6493 return arm_copy_undef (gdbarch, insn, dsc);
6494 }
6495 else
6496 return arm_copy_undef (gdbarch, insn, dsc); /* Probably unreachable. */
6497}
6498
6499static int
6500arm_decode_unconditional (struct gdbarch *gdbarch, uint32_t insn,
6501 struct regcache *regs,
6502 struct displaced_step_closure *dsc)
6503{
6504 if (bit (insn, 27) == 0)
6505 return arm_decode_misc_memhint_neon (gdbarch, insn, regs, dsc);
6506 /* Switch on bits: 0bxxxxx321xxx0xxxxxxxxxxxxxxxxxxxx. */
6507 else switch (((insn & 0x7000000) >> 23) | ((insn & 0x100000) >> 20))
6508 {
6509 case 0x0: case 0x2:
6510 return arm_copy_unmodified (gdbarch, insn, "srs", dsc);
6511
6512 case 0x1: case 0x3:
6513 return arm_copy_unmodified (gdbarch, insn, "rfe", dsc);
6514
6515 case 0x4: case 0x5: case 0x6: case 0x7:
6516 return arm_copy_b_bl_blx (gdbarch, insn, regs, dsc);
6517
6518 case 0x8:
6519 switch ((insn & 0xe00000) >> 21)
6520 {
6521 case 0x1: case 0x3: case 0x4: case 0x5: case 0x6: case 0x7:
6522 /* stc/stc2. */
6523 return arm_copy_copro_load_store (gdbarch, insn, regs, dsc);
6524
6525 case 0x2:
6526 return arm_copy_unmodified (gdbarch, insn, "mcrr/mcrr2", dsc);
6527
6528 default:
6529 return arm_copy_undef (gdbarch, insn, dsc);
6530 }
6531
6532 case 0x9:
6533 {
6534 int rn_f = (bits (insn, 16, 19) == 0xf);
6535 switch ((insn & 0xe00000) >> 21)
6536 {
6537 case 0x1: case 0x3:
6538 /* ldc/ldc2 imm (undefined for rn == pc). */
6539 return rn_f ? arm_copy_undef (gdbarch, insn, dsc)
6540 : arm_copy_copro_load_store (gdbarch, insn, regs, dsc);
6541
6542 case 0x2:
6543 return arm_copy_unmodified (gdbarch, insn, "mrrc/mrrc2", dsc);
6544
6545 case 0x4: case 0x5: case 0x6: case 0x7:
6546 /* ldc/ldc2 lit (undefined for rn != pc). */
6547 return rn_f ? arm_copy_copro_load_store (gdbarch, insn, regs, dsc)
6548 : arm_copy_undef (gdbarch, insn, dsc);
6549
6550 default:
6551 return arm_copy_undef (gdbarch, insn, dsc);
6552 }
6553 }
6554
6555 case 0xa:
6556 return arm_copy_unmodified (gdbarch, insn, "stc/stc2", dsc);
6557
6558 case 0xb:
6559 if (bits (insn, 16, 19) == 0xf)
6560 /* ldc/ldc2 lit. */
6561 return arm_copy_copro_load_store (gdbarch, insn, regs, dsc);
6562 else
6563 return arm_copy_undef (gdbarch, insn, dsc);
6564
6565 case 0xc:
6566 if (bit (insn, 4))
6567 return arm_copy_unmodified (gdbarch, insn, "mcr/mcr2", dsc);
6568 else
6569 return arm_copy_unmodified (gdbarch, insn, "cdp/cdp2", dsc);
6570
6571 case 0xd:
6572 if (bit (insn, 4))
6573 return arm_copy_unmodified (gdbarch, insn, "mrc/mrc2", dsc);
6574 else
6575 return arm_copy_unmodified (gdbarch, insn, "cdp/cdp2", dsc);
6576
6577 default:
6578 return arm_copy_undef (gdbarch, insn, dsc);
6579 }
6580}
6581
6582/* Decode miscellaneous instructions in dp/misc encoding space. */
6583
6584static int
6585arm_decode_miscellaneous (struct gdbarch *gdbarch, uint32_t insn,
6586 struct regcache *regs,
6587 struct displaced_step_closure *dsc)
6588{
6589 unsigned int op2 = bits (insn, 4, 6);
6590 unsigned int op = bits (insn, 21, 22);
6591
6592 switch (op2)
6593 {
6594 case 0x0:
6595 return arm_copy_unmodified (gdbarch, insn, "mrs/msr", dsc);
6596
6597 case 0x1:
6598 if (op == 0x1) /* bx. */
6599 return arm_copy_bx_blx_reg (gdbarch, insn, regs, dsc);
6600 else if (op == 0x3)
6601 return arm_copy_unmodified (gdbarch, insn, "clz", dsc);
6602 else
6603 return arm_copy_undef (gdbarch, insn, dsc);
6604
6605 case 0x2:
6606 if (op == 0x1)
6607 /* Not really supported. */
6608 return arm_copy_unmodified (gdbarch, insn, "bxj", dsc);
6609 else
6610 return arm_copy_undef (gdbarch, insn, dsc);
6611
6612 case 0x3:
6613 if (op == 0x1)
6614 return arm_copy_bx_blx_reg (gdbarch, insn,
6615 regs, dsc); /* blx register. */
6616 else
6617 return arm_copy_undef (gdbarch, insn, dsc);
6618
6619 case 0x5:
6620 return arm_copy_unmodified (gdbarch, insn, "saturating add/sub", dsc);
6621
6622 case 0x7:
6623 if (op == 0x1)
6624 return arm_copy_unmodified (gdbarch, insn, "bkpt", dsc);
6625 else if (op == 0x3)
6626 /* Not really supported. */
6627 return arm_copy_unmodified (gdbarch, insn, "smc", dsc);
6628
6629 default:
6630 return arm_copy_undef (gdbarch, insn, dsc);
6631 }
6632}
6633
6634static int
6635arm_decode_dp_misc (struct gdbarch *gdbarch, uint32_t insn,
6636 struct regcache *regs,
6637 struct displaced_step_closure *dsc)
6638{
6639 if (bit (insn, 25))
6640 switch (bits (insn, 20, 24))
6641 {
6642 case 0x10:
6643 return arm_copy_unmodified (gdbarch, insn, "movw", dsc);
6644
6645 case 0x14:
6646 return arm_copy_unmodified (gdbarch, insn, "movt", dsc);
6647
6648 case 0x12: case 0x16:
6649 return arm_copy_unmodified (gdbarch, insn, "msr imm", dsc);
6650
6651 default:
6652 return arm_copy_alu_imm (gdbarch, insn, regs, dsc);
6653 }
6654 else
6655 {
6656 uint32_t op1 = bits (insn, 20, 24), op2 = bits (insn, 4, 7);
6657
6658 if ((op1 & 0x19) != 0x10 && (op2 & 0x1) == 0x0)
6659 return arm_copy_alu_reg (gdbarch, insn, regs, dsc);
6660 else if ((op1 & 0x19) != 0x10 && (op2 & 0x9) == 0x1)
6661 return arm_copy_alu_shifted_reg (gdbarch, insn, regs, dsc);
6662 else if ((op1 & 0x19) == 0x10 && (op2 & 0x8) == 0x0)
6663 return arm_decode_miscellaneous (gdbarch, insn, regs, dsc);
6664 else if ((op1 & 0x19) == 0x10 && (op2 & 0x9) == 0x8)
6665 return arm_copy_unmodified (gdbarch, insn, "halfword mul/mla", dsc);
6666 else if ((op1 & 0x10) == 0x00 && op2 == 0x9)
6667 return arm_copy_unmodified (gdbarch, insn, "mul/mla", dsc);
6668 else if ((op1 & 0x10) == 0x10 && op2 == 0x9)
6669 return arm_copy_unmodified (gdbarch, insn, "synch", dsc);
6670 else if (op2 == 0xb || (op2 & 0xd) == 0xd)
6671 /* 2nd arg means "unprivileged". */
6672 return arm_copy_extra_ld_st (gdbarch, insn, (op1 & 0x12) == 0x02, regs,
6673 dsc);
6674 }
6675
6676 /* Should be unreachable. */
6677 return 1;
6678}
6679
6680static int
6681arm_decode_ld_st_word_ubyte (struct gdbarch *gdbarch, uint32_t insn,
6682 struct regcache *regs,
6683 struct displaced_step_closure *dsc)
6684{
6685 int a = bit (insn, 25), b = bit (insn, 4);
6686 uint32_t op1 = bits (insn, 20, 24);
6687
6688 if ((!a && (op1 & 0x05) == 0x00 && (op1 & 0x17) != 0x02)
6689 || (a && (op1 & 0x05) == 0x00 && (op1 & 0x17) != 0x02 && !b))
6690 return arm_copy_ldr_str_ldrb_strb (gdbarch, insn, regs, dsc, 0, 4, 0);
6691 else if ((!a && (op1 & 0x17) == 0x02)
6692 || (a && (op1 & 0x17) == 0x02 && !b))
6693 return arm_copy_ldr_str_ldrb_strb (gdbarch, insn, regs, dsc, 0, 4, 1);
6694 else if ((!a && (op1 & 0x05) == 0x01 && (op1 & 0x17) != 0x03)
6695 || (a && (op1 & 0x05) == 0x01 && (op1 & 0x17) != 0x03 && !b))
6696 return arm_copy_ldr_str_ldrb_strb (gdbarch, insn, regs, dsc, 1, 4, 0);
6697 else if ((!a && (op1 & 0x17) == 0x03)
6698 || (a && (op1 & 0x17) == 0x03 && !b))
6699 return arm_copy_ldr_str_ldrb_strb (gdbarch, insn, regs, dsc, 1, 4, 1);
6700 else if ((!a && (op1 & 0x05) == 0x04 && (op1 & 0x17) != 0x06)
6701 || (a && (op1 & 0x05) == 0x04 && (op1 & 0x17) != 0x06 && !b))
6702 return arm_copy_ldr_str_ldrb_strb (gdbarch, insn, regs, dsc, 0, 1, 0);
6703 else if ((!a && (op1 & 0x17) == 0x06)
6704 || (a && (op1 & 0x17) == 0x06 && !b))
6705 return arm_copy_ldr_str_ldrb_strb (gdbarch, insn, regs, dsc, 0, 1, 1);
6706 else if ((!a && (op1 & 0x05) == 0x05 && (op1 & 0x17) != 0x07)
6707 || (a && (op1 & 0x05) == 0x05 && (op1 & 0x17) != 0x07 && !b))
6708 return arm_copy_ldr_str_ldrb_strb (gdbarch, insn, regs, dsc, 1, 1, 0);
6709 else if ((!a && (op1 & 0x17) == 0x07)
6710 || (a && (op1 & 0x17) == 0x07 && !b))
6711 return arm_copy_ldr_str_ldrb_strb (gdbarch, insn, regs, dsc, 1, 1, 1);
6712
6713 /* Should be unreachable. */
6714 return 1;
6715}
6716
6717static int
6718arm_decode_media (struct gdbarch *gdbarch, uint32_t insn,
6719 struct displaced_step_closure *dsc)
6720{
6721 switch (bits (insn, 20, 24))
6722 {
6723 case 0x00: case 0x01: case 0x02: case 0x03:
6724 return arm_copy_unmodified (gdbarch, insn, "parallel add/sub signed", dsc);
6725
6726 case 0x04: case 0x05: case 0x06: case 0x07:
6727 return arm_copy_unmodified (gdbarch, insn, "parallel add/sub unsigned", dsc);
6728
6729 case 0x08: case 0x09: case 0x0a: case 0x0b:
6730 case 0x0c: case 0x0d: case 0x0e: case 0x0f:
6731 return arm_copy_unmodified (gdbarch, insn,
6732 "decode/pack/unpack/saturate/reverse", dsc);
6733
6734 case 0x18:
6735 if (bits (insn, 5, 7) == 0) /* op2. */
6736 {
6737 if (bits (insn, 12, 15) == 0xf)
6738 return arm_copy_unmodified (gdbarch, insn, "usad8", dsc);
6739 else
6740 return arm_copy_unmodified (gdbarch, insn, "usada8", dsc);
6741 }
6742 else
6743 return arm_copy_undef (gdbarch, insn, dsc);
6744
6745 case 0x1a: case 0x1b:
6746 if (bits (insn, 5, 6) == 0x2) /* op2[1:0]. */
6747 return arm_copy_unmodified (gdbarch, insn, "sbfx", dsc);
6748 else
6749 return arm_copy_undef (gdbarch, insn, dsc);
6750
6751 case 0x1c: case 0x1d:
6752 if (bits (insn, 5, 6) == 0x0) /* op2[1:0]. */
6753 {
6754 if (bits (insn, 0, 3) == 0xf)
6755 return arm_copy_unmodified (gdbarch, insn, "bfc", dsc);
6756 else
6757 return arm_copy_unmodified (gdbarch, insn, "bfi", dsc);
6758 }
6759 else
6760 return arm_copy_undef (gdbarch, insn, dsc);
6761
6762 case 0x1e: case 0x1f:
6763 if (bits (insn, 5, 6) == 0x2) /* op2[1:0]. */
6764 return arm_copy_unmodified (gdbarch, insn, "ubfx", dsc);
6765 else
6766 return arm_copy_undef (gdbarch, insn, dsc);
6767 }
6768
6769 /* Should be unreachable. */
6770 return 1;
6771}
6772
6773static int
6774arm_decode_b_bl_ldmstm (struct gdbarch *gdbarch, uint32_t insn,
6775 struct regcache *regs,
6776 struct displaced_step_closure *dsc)
6777{
6778 if (bit (insn, 25))
6779 return arm_copy_b_bl_blx (gdbarch, insn, regs, dsc);
6780 else
6781 return arm_copy_block_xfer (gdbarch, insn, regs, dsc);
6782}
6783
6784static int
6785arm_decode_ext_reg_ld_st (struct gdbarch *gdbarch, uint32_t insn,
6786 struct regcache *regs,
6787 struct displaced_step_closure *dsc)
6788{
6789 unsigned int opcode = bits (insn, 20, 24);
6790
6791 switch (opcode)
6792 {
6793 case 0x04: case 0x05: /* VFP/Neon mrrc/mcrr. */
6794 return arm_copy_unmodified (gdbarch, insn, "vfp/neon mrrc/mcrr", dsc);
6795
6796 case 0x08: case 0x0a: case 0x0c: case 0x0e:
6797 case 0x12: case 0x16:
6798 return arm_copy_unmodified (gdbarch, insn, "vfp/neon vstm/vpush", dsc);
6799
6800 case 0x09: case 0x0b: case 0x0d: case 0x0f:
6801 case 0x13: case 0x17:
6802 return arm_copy_unmodified (gdbarch, insn, "vfp/neon vldm/vpop", dsc);
6803
6804 case 0x10: case 0x14: case 0x18: case 0x1c: /* vstr. */
6805 case 0x11: case 0x15: case 0x19: case 0x1d: /* vldr. */
6806 /* Note: no writeback for these instructions. Bit 25 will always be
6807 zero though (via caller), so the following works OK. */
6808 return arm_copy_copro_load_store (gdbarch, insn, regs, dsc);
6809 }
6810
6811 /* Should be unreachable. */
6812 return 1;
6813}
6814
6815/* Decode shifted register instructions. */
6816
6817static int
6818thumb2_decode_dp_shift_reg (struct gdbarch *gdbarch, uint16_t insn1,
6819 uint16_t insn2, struct regcache *regs,
6820 struct displaced_step_closure *dsc)
6821{
6822 /* PC is only allowed to be used in instruction MOV. */
6823
6824 unsigned int op = bits (insn1, 5, 8);
6825 unsigned int rn = bits (insn1, 0, 3);
6826
6827 if (op == 0x2 && rn == 0xf) /* MOV */
6828 return thumb2_copy_alu_imm (gdbarch, insn1, insn2, regs, dsc);
6829 else
6830 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
6831 "dp (shift reg)", dsc);
6832}
6833
6834
6835/* Decode extension register load/store. Exactly the same as
6836 arm_decode_ext_reg_ld_st. */
6837
6838static int
6839thumb2_decode_ext_reg_ld_st (struct gdbarch *gdbarch, uint16_t insn1,
6840 uint16_t insn2, struct regcache *regs,
6841 struct displaced_step_closure *dsc)
6842{
6843 unsigned int opcode = bits (insn1, 4, 8);
6844
6845 switch (opcode)
6846 {
6847 case 0x04: case 0x05:
6848 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
6849 "vfp/neon vmov", dsc);
6850
6851 case 0x08: case 0x0c: /* 01x00 */
6852 case 0x0a: case 0x0e: /* 01x10 */
6853 case 0x12: case 0x16: /* 10x10 */
6854 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
6855 "vfp/neon vstm/vpush", dsc);
6856
6857 case 0x09: case 0x0d: /* 01x01 */
6858 case 0x0b: case 0x0f: /* 01x11 */
6859 case 0x13: case 0x17: /* 10x11 */
6860 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
6861 "vfp/neon vldm/vpop", dsc);
6862
6863 case 0x10: case 0x14: case 0x18: case 0x1c: /* vstr. */
6864 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
6865 "vstr", dsc);
6866 case 0x11: case 0x15: case 0x19: case 0x1d: /* vldr. */
6867 return thumb2_copy_copro_load_store (gdbarch, insn1, insn2, regs, dsc);
6868 }
6869
6870 /* Should be unreachable. */
6871 return 1;
6872}
6873
6874static int
6875arm_decode_svc_copro (struct gdbarch *gdbarch, uint32_t insn,
6876 struct regcache *regs, struct displaced_step_closure *dsc)
6877{
6878 unsigned int op1 = bits (insn, 20, 25);
6879 int op = bit (insn, 4);
6880 unsigned int coproc = bits (insn, 8, 11);
6881
6882 if ((op1 & 0x20) == 0x00 && (op1 & 0x3a) != 0x00 && (coproc & 0xe) == 0xa)
6883 return arm_decode_ext_reg_ld_st (gdbarch, insn, regs, dsc);
6884 else if ((op1 & 0x21) == 0x00 && (op1 & 0x3a) != 0x00
6885 && (coproc & 0xe) != 0xa)
6886 /* stc/stc2. */
6887 return arm_copy_copro_load_store (gdbarch, insn, regs, dsc);
6888 else if ((op1 & 0x21) == 0x01 && (op1 & 0x3a) != 0x00
6889 && (coproc & 0xe) != 0xa)
6890 /* ldc/ldc2 imm/lit. */
6891 return arm_copy_copro_load_store (gdbarch, insn, regs, dsc);
6892 else if ((op1 & 0x3e) == 0x00)
6893 return arm_copy_undef (gdbarch, insn, dsc);
6894 else if ((op1 & 0x3e) == 0x04 && (coproc & 0xe) == 0xa)
6895 return arm_copy_unmodified (gdbarch, insn, "neon 64bit xfer", dsc);
6896 else if (op1 == 0x04 && (coproc & 0xe) != 0xa)
6897 return arm_copy_unmodified (gdbarch, insn, "mcrr/mcrr2", dsc);
6898 else if (op1 == 0x05 && (coproc & 0xe) != 0xa)
6899 return arm_copy_unmodified (gdbarch, insn, "mrrc/mrrc2", dsc);
6900 else if ((op1 & 0x30) == 0x20 && !op)
6901 {
6902 if ((coproc & 0xe) == 0xa)
6903 return arm_copy_unmodified (gdbarch, insn, "vfp dataproc", dsc);
6904 else
6905 return arm_copy_unmodified (gdbarch, insn, "cdp/cdp2", dsc);
6906 }
6907 else if ((op1 & 0x30) == 0x20 && op)
6908 return arm_copy_unmodified (gdbarch, insn, "neon 8/16/32 bit xfer", dsc);
6909 else if ((op1 & 0x31) == 0x20 && op && (coproc & 0xe) != 0xa)
6910 return arm_copy_unmodified (gdbarch, insn, "mcr/mcr2", dsc);
6911 else if ((op1 & 0x31) == 0x21 && op && (coproc & 0xe) != 0xa)
6912 return arm_copy_unmodified (gdbarch, insn, "mrc/mrc2", dsc);
6913 else if ((op1 & 0x30) == 0x30)
6914 return arm_copy_svc (gdbarch, insn, regs, dsc);
6915 else
6916 return arm_copy_undef (gdbarch, insn, dsc); /* Possibly unreachable. */
6917}
6918
6919static int
6920thumb2_decode_svc_copro (struct gdbarch *gdbarch, uint16_t insn1,
6921 uint16_t insn2, struct regcache *regs,
6922 struct displaced_step_closure *dsc)
6923{
6924 unsigned int coproc = bits (insn2, 8, 11);
6925 unsigned int bit_5_8 = bits (insn1, 5, 8);
6926 unsigned int bit_9 = bit (insn1, 9);
6927 unsigned int bit_4 = bit (insn1, 4);
6928
6929 if (bit_9 == 0)
6930 {
6931 if (bit_5_8 == 2)
6932 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
6933 "neon 64bit xfer/mrrc/mrrc2/mcrr/mcrr2",
6934 dsc);
6935 else if (bit_5_8 == 0) /* UNDEFINED. */
6936 return thumb_32bit_copy_undef (gdbarch, insn1, insn2, dsc);
6937 else
6938 {
6939 /*coproc is 101x. SIMD/VFP, ext registers load/store. */
6940 if ((coproc & 0xe) == 0xa)
6941 return thumb2_decode_ext_reg_ld_st (gdbarch, insn1, insn2, regs,
6942 dsc);
6943 else /* coproc is not 101x. */
6944 {
6945 if (bit_4 == 0) /* STC/STC2. */
6946 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
6947 "stc/stc2", dsc);
6948 else /* LDC/LDC2 {literal, immeidate}. */
6949 return thumb2_copy_copro_load_store (gdbarch, insn1, insn2,
6950 regs, dsc);
6951 }
6952 }
6953 }
6954 else
6955 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2, "coproc", dsc);
6956
6957 return 0;
6958}
6959
6960static void
6961install_pc_relative (struct gdbarch *gdbarch, struct regcache *regs,
6962 struct displaced_step_closure *dsc, int rd)
6963{
6964 /* ADR Rd, #imm
6965
6966 Rewrite as:
6967
6968 Preparation: Rd <- PC
6969 Insn: ADD Rd, #imm
6970 Cleanup: Null.
6971 */
6972
6973 /* Rd <- PC */
6974 int val = displaced_read_reg (regs, dsc, ARM_PC_REGNUM);
6975 displaced_write_reg (regs, dsc, rd, val, CANNOT_WRITE_PC);
6976}
6977
6978static int
6979thumb_copy_pc_relative_16bit (struct gdbarch *gdbarch, struct regcache *regs,
6980 struct displaced_step_closure *dsc,
6981 int rd, unsigned int imm)
6982{
6983
6984 /* Encoding T2: ADDS Rd, #imm */
6985 dsc->modinsn[0] = (0x3000 | (rd << 8) | imm);
6986
6987 install_pc_relative (gdbarch, regs, dsc, rd);
6988
6989 return 0;
6990}
6991
6992static int
6993thumb_decode_pc_relative_16bit (struct gdbarch *gdbarch, uint16_t insn,
6994 struct regcache *regs,
6995 struct displaced_step_closure *dsc)
6996{
6997 unsigned int rd = bits (insn, 8, 10);
6998 unsigned int imm8 = bits (insn, 0, 7);
6999
7000 if (debug_displaced)
7001 fprintf_unfiltered (gdb_stdlog,
7002 "displaced: copying thumb adr r%d, #%d insn %.4x\n",
7003 rd, imm8, insn);
7004
7005 return thumb_copy_pc_relative_16bit (gdbarch, regs, dsc, rd, imm8);
7006}
7007
7008static int
7009thumb_copy_pc_relative_32bit (struct gdbarch *gdbarch, uint16_t insn1,
7010 uint16_t insn2, struct regcache *regs,
7011 struct displaced_step_closure *dsc)
7012{
7013 unsigned int rd = bits (insn2, 8, 11);
7014 /* Since immediate has the same encoding in ADR ADD and SUB, so we simply
7015 extract raw immediate encoding rather than computing immediate. When
7016 generating ADD or SUB instruction, we can simply perform OR operation to
7017 set immediate into ADD. */
7018 unsigned int imm_3_8 = insn2 & 0x70ff;
7019 unsigned int imm_i = insn1 & 0x0400; /* Clear all bits except bit 10. */
7020
7021 if (debug_displaced)
7022 fprintf_unfiltered (gdb_stdlog,
7023 "displaced: copying thumb adr r%d, #%d:%d insn %.4x%.4x\n",
7024 rd, imm_i, imm_3_8, insn1, insn2);
7025
7026 if (bit (insn1, 7)) /* Encoding T2 */
7027 {
7028 /* Encoding T3: SUB Rd, Rd, #imm */
7029 dsc->modinsn[0] = (0xf1a0 | rd | imm_i);
7030 dsc->modinsn[1] = ((rd << 8) | imm_3_8);
7031 }
7032 else /* Encoding T3 */
7033 {
7034 /* Encoding T3: ADD Rd, Rd, #imm */
7035 dsc->modinsn[0] = (0xf100 | rd | imm_i);
7036 dsc->modinsn[1] = ((rd << 8) | imm_3_8);
7037 }
7038 dsc->numinsns = 2;
7039
7040 install_pc_relative (gdbarch, regs, dsc, rd);
7041
7042 return 0;
7043}
7044
7045static int
7046thumb_copy_16bit_ldr_literal (struct gdbarch *gdbarch, uint16_t insn1,
7047 struct regcache *regs,
7048 struct displaced_step_closure *dsc)
7049{
7050 unsigned int rt = bits (insn1, 8, 10);
7051 unsigned int pc;
7052 int imm8 = (bits (insn1, 0, 7) << 2);
7053
7054 /* LDR Rd, #imm8
7055
7056 Rwrite as:
7057
7058 Preparation: tmp0 <- R0, tmp2 <- R2, tmp3 <- R3, R2 <- PC, R3 <- #imm8;
7059
7060 Insn: LDR R0, [R2, R3];
7061 Cleanup: R2 <- tmp2, R3 <- tmp3, Rd <- R0, R0 <- tmp0 */
7062
7063 if (debug_displaced)
7064 fprintf_unfiltered (gdb_stdlog,
7065 "displaced: copying thumb ldr r%d [pc #%d]\n"
7066 , rt, imm8);
7067
7068 dsc->tmp[0] = displaced_read_reg (regs, dsc, 0);
7069 dsc->tmp[2] = displaced_read_reg (regs, dsc, 2);
7070 dsc->tmp[3] = displaced_read_reg (regs, dsc, 3);
7071 pc = displaced_read_reg (regs, dsc, ARM_PC_REGNUM);
7072 /* The assembler calculates the required value of the offset from the
7073 Align(PC,4) value of this instruction to the label. */
7074 pc = pc & 0xfffffffc;
7075
7076 displaced_write_reg (regs, dsc, 2, pc, CANNOT_WRITE_PC);
7077 displaced_write_reg (regs, dsc, 3, imm8, CANNOT_WRITE_PC);
7078
7079 dsc->rd = rt;
7080 dsc->u.ldst.xfersize = 4;
7081 dsc->u.ldst.rn = 0;
7082 dsc->u.ldst.immed = 0;
7083 dsc->u.ldst.writeback = 0;
7084 dsc->u.ldst.restore_r4 = 0;
7085
7086 dsc->modinsn[0] = 0x58d0; /* ldr r0, [r2, r3]*/
7087
7088 dsc->cleanup = &cleanup_load;
7089
7090 return 0;
7091}
7092
7093/* Copy Thumb cbnz/cbz insruction. */
7094
7095static int
7096thumb_copy_cbnz_cbz (struct gdbarch *gdbarch, uint16_t insn1,
7097 struct regcache *regs,
7098 struct displaced_step_closure *dsc)
7099{
7100 int non_zero = bit (insn1, 11);
7101 unsigned int imm5 = (bit (insn1, 9) << 6) | (bits (insn1, 3, 7) << 1);
7102 CORE_ADDR from = dsc->insn_addr;
7103 int rn = bits (insn1, 0, 2);
7104 int rn_val = displaced_read_reg (regs, dsc, rn);
7105
7106 dsc->u.branch.cond = (rn_val && non_zero) || (!rn_val && !non_zero);
7107 /* CBNZ and CBZ do not affect the condition flags. If condition is true,
7108 set it INST_AL, so cleanup_branch will know branch is taken, otherwise,
7109 condition is false, let it be, cleanup_branch will do nothing. */
7110 if (dsc->u.branch.cond)
7111 {
7112 dsc->u.branch.cond = INST_AL;
7113 dsc->u.branch.dest = from + 4 + imm5;
7114 }
7115 else
7116 dsc->u.branch.dest = from + 2;
7117
7118 dsc->u.branch.link = 0;
7119 dsc->u.branch.exchange = 0;
7120
7121 if (debug_displaced)
7122 fprintf_unfiltered (gdb_stdlog, "displaced: copying %s [r%d = 0x%x]"
7123 " insn %.4x to %.8lx\n", non_zero ? "cbnz" : "cbz",
7124 rn, rn_val, insn1, dsc->u.branch.dest);
7125
7126 dsc->modinsn[0] = THUMB_NOP;
7127
7128 dsc->cleanup = &cleanup_branch;
7129 return 0;
7130}
7131
7132/* Copy Table Branch Byte/Halfword */
7133static int
7134thumb2_copy_table_branch (struct gdbarch *gdbarch, uint16_t insn1,
7135 uint16_t insn2, struct regcache *regs,
7136 struct displaced_step_closure *dsc)
7137{
7138 ULONGEST rn_val, rm_val;
7139 int is_tbh = bit (insn2, 4);
7140 CORE_ADDR halfwords = 0;
7141 enum bfd_endian byte_order = gdbarch_byte_order (gdbarch);
7142
7143 rn_val = displaced_read_reg (regs, dsc, bits (insn1, 0, 3));
7144 rm_val = displaced_read_reg (regs, dsc, bits (insn2, 0, 3));
7145
7146 if (is_tbh)
7147 {
7148 gdb_byte buf[2];
7149
7150 target_read_memory (rn_val + 2 * rm_val, buf, 2);
7151 halfwords = extract_unsigned_integer (buf, 2, byte_order);
7152 }
7153 else
7154 {
7155 gdb_byte buf[1];
7156
7157 target_read_memory (rn_val + rm_val, buf, 1);
7158 halfwords = extract_unsigned_integer (buf, 1, byte_order);
7159 }
7160
7161 if (debug_displaced)
7162 fprintf_unfiltered (gdb_stdlog, "displaced: %s base 0x%x offset 0x%x"
7163 " offset 0x%x\n", is_tbh ? "tbh" : "tbb",
7164 (unsigned int) rn_val, (unsigned int) rm_val,
7165 (unsigned int) halfwords);
7166
7167 dsc->u.branch.cond = INST_AL;
7168 dsc->u.branch.link = 0;
7169 dsc->u.branch.exchange = 0;
7170 dsc->u.branch.dest = dsc->insn_addr + 4 + 2 * halfwords;
7171
7172 dsc->cleanup = &cleanup_branch;
7173
7174 return 0;
7175}
7176
7177static void
7178cleanup_pop_pc_16bit_all (struct gdbarch *gdbarch, struct regcache *regs,
7179 struct displaced_step_closure *dsc)
7180{
7181 /* PC <- r7 */
7182 int val = displaced_read_reg (regs, dsc, 7);
7183 displaced_write_reg (regs, dsc, ARM_PC_REGNUM, val, BX_WRITE_PC);
7184
7185 /* r7 <- r8 */
7186 val = displaced_read_reg (regs, dsc, 8);
7187 displaced_write_reg (regs, dsc, 7, val, CANNOT_WRITE_PC);
7188
7189 /* r8 <- tmp[0] */
7190 displaced_write_reg (regs, dsc, 8, dsc->tmp[0], CANNOT_WRITE_PC);
7191
7192}
7193
7194static int
7195thumb_copy_pop_pc_16bit (struct gdbarch *gdbarch, uint16_t insn1,
7196 struct regcache *regs,
7197 struct displaced_step_closure *dsc)
7198{
7199 dsc->u.block.regmask = insn1 & 0x00ff;
7200
7201 /* Rewrite instruction: POP {rX, rY, ...,rZ, PC}
7202 to :
7203
7204 (1) register list is full, that is, r0-r7 are used.
7205 Prepare: tmp[0] <- r8
7206
7207 POP {r0, r1, ...., r6, r7}; remove PC from reglist
7208 MOV r8, r7; Move value of r7 to r8;
7209 POP {r7}; Store PC value into r7.
7210
7211 Cleanup: PC <- r7, r7 <- r8, r8 <-tmp[0]
7212
7213 (2) register list is not full, supposing there are N registers in
7214 register list (except PC, 0 <= N <= 7).
7215 Prepare: for each i, 0 - N, tmp[i] <- ri.
7216
7217 POP {r0, r1, ...., rN};
7218
7219 Cleanup: Set registers in original reglist from r0 - rN. Restore r0 - rN
7220 from tmp[] properly.
7221 */
7222 if (debug_displaced)
7223 fprintf_unfiltered (gdb_stdlog,
7224 "displaced: copying thumb pop {%.8x, pc} insn %.4x\n",
7225 dsc->u.block.regmask, insn1);
7226
7227 if (dsc->u.block.regmask == 0xff)
7228 {
7229 dsc->tmp[0] = displaced_read_reg (regs, dsc, 8);
7230
7231 dsc->modinsn[0] = (insn1 & 0xfeff); /* POP {r0,r1,...,r6, r7} */
7232 dsc->modinsn[1] = 0x46b8; /* MOV r8, r7 */
7233 dsc->modinsn[2] = 0xbc80; /* POP {r7} */
7234
7235 dsc->numinsns = 3;
7236 dsc->cleanup = &cleanup_pop_pc_16bit_all;
7237 }
7238 else
7239 {
7240 unsigned int num_in_list = bitcount (dsc->u.block.regmask);
7241 unsigned int i;
7242 unsigned int new_regmask;
7243
7244 for (i = 0; i < num_in_list + 1; i++)
7245 dsc->tmp[i] = displaced_read_reg (regs, dsc, i);
7246
7247 new_regmask = (1 << (num_in_list + 1)) - 1;
7248
7249 if (debug_displaced)
7250 fprintf_unfiltered (gdb_stdlog, _("displaced: POP "
7251 "{..., pc}: original reg list %.4x,"
7252 " modified list %.4x\n"),
7253 (int) dsc->u.block.regmask, new_regmask);
7254
7255 dsc->u.block.regmask |= 0x8000;
7256 dsc->u.block.writeback = 0;
7257 dsc->u.block.cond = INST_AL;
7258
7259 dsc->modinsn[0] = (insn1 & ~0x1ff) | (new_regmask & 0xff);
7260
7261 dsc->cleanup = &cleanup_block_load_pc;
7262 }
7263
7264 return 0;
7265}
7266
7267static void
7268thumb_process_displaced_16bit_insn (struct gdbarch *gdbarch, uint16_t insn1,
7269 struct regcache *regs,
7270 struct displaced_step_closure *dsc)
7271{
7272 unsigned short op_bit_12_15 = bits (insn1, 12, 15);
7273 unsigned short op_bit_10_11 = bits (insn1, 10, 11);
7274 int err = 0;
7275
7276 /* 16-bit thumb instructions. */
7277 switch (op_bit_12_15)
7278 {
7279 /* Shift (imme), add, subtract, move and compare. */
7280 case 0: case 1: case 2: case 3:
7281 err = thumb_copy_unmodified_16bit (gdbarch, insn1,
7282 "shift/add/sub/mov/cmp",
7283 dsc);
7284 break;
7285 case 4:
7286 switch (op_bit_10_11)
7287 {
7288 case 0: /* Data-processing */
7289 err = thumb_copy_unmodified_16bit (gdbarch, insn1,
7290 "data-processing",
7291 dsc);
7292 break;
7293 case 1: /* Special data instructions and branch and exchange. */
7294 {
7295 unsigned short op = bits (insn1, 7, 9);
7296 if (op == 6 || op == 7) /* BX or BLX */
7297 err = thumb_copy_bx_blx_reg (gdbarch, insn1, regs, dsc);
7298 else if (bits (insn1, 6, 7) != 0) /* ADD/MOV/CMP high registers. */
7299 err = thumb_copy_alu_reg (gdbarch, insn1, regs, dsc);
7300 else
7301 err = thumb_copy_unmodified_16bit (gdbarch, insn1, "special data",
7302 dsc);
7303 }
7304 break;
7305 default: /* LDR (literal) */
7306 err = thumb_copy_16bit_ldr_literal (gdbarch, insn1, regs, dsc);
7307 }
7308 break;
7309 case 5: case 6: case 7: case 8: case 9: /* Load/Store single data item */
7310 err = thumb_copy_unmodified_16bit (gdbarch, insn1, "ldr/str", dsc);
7311 break;
7312 case 10:
7313 if (op_bit_10_11 < 2) /* Generate PC-relative address */
7314 err = thumb_decode_pc_relative_16bit (gdbarch, insn1, regs, dsc);
7315 else /* Generate SP-relative address */
7316 err = thumb_copy_unmodified_16bit (gdbarch, insn1, "sp-relative", dsc);
7317 break;
7318 case 11: /* Misc 16-bit instructions */
7319 {
7320 switch (bits (insn1, 8, 11))
7321 {
7322 case 1: case 3: case 9: case 11: /* CBNZ, CBZ */
7323 err = thumb_copy_cbnz_cbz (gdbarch, insn1, regs, dsc);
7324 break;
7325 case 12: case 13: /* POP */
7326 if (bit (insn1, 8)) /* PC is in register list. */
7327 err = thumb_copy_pop_pc_16bit (gdbarch, insn1, regs, dsc);
7328 else
7329 err = thumb_copy_unmodified_16bit (gdbarch, insn1, "pop", dsc);
7330 break;
7331 case 15: /* If-Then, and hints */
7332 if (bits (insn1, 0, 3))
7333 /* If-Then makes up to four following instructions conditional.
7334 IT instruction itself is not conditional, so handle it as a
7335 common unmodified instruction. */
7336 err = thumb_copy_unmodified_16bit (gdbarch, insn1, "If-Then",
7337 dsc);
7338 else
7339 err = thumb_copy_unmodified_16bit (gdbarch, insn1, "hints", dsc);
7340 break;
7341 default:
7342 err = thumb_copy_unmodified_16bit (gdbarch, insn1, "misc", dsc);
7343 }
7344 }
7345 break;
7346 case 12:
7347 if (op_bit_10_11 < 2) /* Store multiple registers */
7348 err = thumb_copy_unmodified_16bit (gdbarch, insn1, "stm", dsc);
7349 else /* Load multiple registers */
7350 err = thumb_copy_unmodified_16bit (gdbarch, insn1, "ldm", dsc);
7351 break;
7352 case 13: /* Conditional branch and supervisor call */
7353 if (bits (insn1, 9, 11) != 7) /* conditional branch */
7354 err = thumb_copy_b (gdbarch, insn1, dsc);
7355 else
7356 err = thumb_copy_svc (gdbarch, insn1, regs, dsc);
7357 break;
7358 case 14: /* Unconditional branch */
7359 err = thumb_copy_b (gdbarch, insn1, dsc);
7360 break;
7361 default:
7362 err = 1;
7363 }
7364
7365 if (err)
7366 internal_error (__FILE__, __LINE__,
7367 _("thumb_process_displaced_16bit_insn: Instruction decode error"));
7368}
7369
7370static int
7371decode_thumb_32bit_ld_mem_hints (struct gdbarch *gdbarch,
7372 uint16_t insn1, uint16_t insn2,
7373 struct regcache *regs,
7374 struct displaced_step_closure *dsc)
7375{
7376 int rt = bits (insn2, 12, 15);
7377 int rn = bits (insn1, 0, 3);
7378 int op1 = bits (insn1, 7, 8);
7379
7380 switch (bits (insn1, 5, 6))
7381 {
7382 case 0: /* Load byte and memory hints */
7383 if (rt == 0xf) /* PLD/PLI */
7384 {
7385 if (rn == 0xf)
7386 /* PLD literal or Encoding T3 of PLI(immediate, literal). */
7387 return thumb2_copy_preload (gdbarch, insn1, insn2, regs, dsc);
7388 else
7389 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
7390 "pli/pld", dsc);
7391 }
7392 else
7393 {
7394 if (rn == 0xf) /* LDRB/LDRSB (literal) */
7395 return thumb2_copy_load_literal (gdbarch, insn1, insn2, regs, dsc,
7396 1);
7397 else
7398 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
7399 "ldrb{reg, immediate}/ldrbt",
7400 dsc);
7401 }
7402
7403 break;
7404 case 1: /* Load halfword and memory hints. */
7405 if (rt == 0xf) /* PLD{W} and Unalloc memory hint. */
7406 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
7407 "pld/unalloc memhint", dsc);
7408 else
7409 {
7410 if (rn == 0xf)
7411 return thumb2_copy_load_literal (gdbarch, insn1, insn2, regs, dsc,
7412 2);
7413 else
7414 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
7415 "ldrh/ldrht", dsc);
7416 }
7417 break;
7418 case 2: /* Load word */
7419 {
7420 int insn2_bit_8_11 = bits (insn2, 8, 11);
7421
7422 if (rn == 0xf)
7423 return thumb2_copy_load_literal (gdbarch, insn1, insn2, regs, dsc, 4);
7424 else if (op1 == 0x1) /* Encoding T3 */
7425 return thumb2_copy_load_reg_imm (gdbarch, insn1, insn2, regs, dsc,
7426 0, 1);
7427 else /* op1 == 0x0 */
7428 {
7429 if (insn2_bit_8_11 == 0xc || (insn2_bit_8_11 & 0x9) == 0x9)
7430 /* LDR (immediate) */
7431 return thumb2_copy_load_reg_imm (gdbarch, insn1, insn2, regs,
7432 dsc, bit (insn2, 8), 1);
7433 else if (insn2_bit_8_11 == 0xe) /* LDRT */
7434 return thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
7435 "ldrt", dsc);
7436 else
7437 /* LDR (register) */
7438 return thumb2_copy_load_reg_imm (gdbarch, insn1, insn2, regs,
7439 dsc, 0, 0);
7440 }
7441 break;
7442 }
7443 default:
7444 return thumb_32bit_copy_undef (gdbarch, insn1, insn2, dsc);
7445 break;
7446 }
7447 return 0;
7448}
7449
7450static void
7451thumb_process_displaced_32bit_insn (struct gdbarch *gdbarch, uint16_t insn1,
7452 uint16_t insn2, struct regcache *regs,
7453 struct displaced_step_closure *dsc)
7454{
7455 int err = 0;
7456 unsigned short op = bit (insn2, 15);
7457 unsigned int op1 = bits (insn1, 11, 12);
7458
7459 switch (op1)
7460 {
7461 case 1:
7462 {
7463 switch (bits (insn1, 9, 10))
7464 {
7465 case 0:
7466 if (bit (insn1, 6))
7467 {
7468 /* Load/store {dual, execlusive}, table branch. */
7469 if (bits (insn1, 7, 8) == 1 && bits (insn1, 4, 5) == 1
7470 && bits (insn2, 5, 7) == 0)
7471 err = thumb2_copy_table_branch (gdbarch, insn1, insn2, regs,
7472 dsc);
7473 else
7474 /* PC is not allowed to use in load/store {dual, exclusive}
7475 instructions. */
7476 err = thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
7477 "load/store dual/ex", dsc);
7478 }
7479 else /* load/store multiple */
7480 {
7481 switch (bits (insn1, 7, 8))
7482 {
7483 case 0: case 3: /* SRS, RFE */
7484 err = thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
7485 "srs/rfe", dsc);
7486 break;
7487 case 1: case 2: /* LDM/STM/PUSH/POP */
7488 err = thumb2_copy_block_xfer (gdbarch, insn1, insn2, regs, dsc);
7489 break;
7490 }
7491 }
7492 break;
7493
7494 case 1:
7495 /* Data-processing (shift register). */
7496 err = thumb2_decode_dp_shift_reg (gdbarch, insn1, insn2, regs,
7497 dsc);
7498 break;
7499 default: /* Coprocessor instructions. */
7500 err = thumb2_decode_svc_copro (gdbarch, insn1, insn2, regs, dsc);
7501 break;
7502 }
7503 break;
7504 }
7505 case 2: /* op1 = 2 */
7506 if (op) /* Branch and misc control. */
7507 {
7508 if (bit (insn2, 14) /* BLX/BL */
7509 || bit (insn2, 12) /* Unconditional branch */
7510 || (bits (insn1, 7, 9) != 0x7)) /* Conditional branch */
7511 err = thumb2_copy_b_bl_blx (gdbarch, insn1, insn2, regs, dsc);
7512 else
7513 err = thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
7514 "misc ctrl", dsc);
7515 }
7516 else
7517 {
7518 if (bit (insn1, 9)) /* Data processing (plain binary imm). */
7519 {
7520 int op = bits (insn1, 4, 8);
7521 int rn = bits (insn1, 0, 3);
7522 if ((op == 0 || op == 0xa) && rn == 0xf)
7523 err = thumb_copy_pc_relative_32bit (gdbarch, insn1, insn2,
7524 regs, dsc);
7525 else
7526 err = thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
7527 "dp/pb", dsc);
7528 }
7529 else /* Data processing (modified immeidate) */
7530 err = thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
7531 "dp/mi", dsc);
7532 }
7533 break;
7534 case 3: /* op1 = 3 */
7535 switch (bits (insn1, 9, 10))
7536 {
7537 case 0:
7538 if (bit (insn1, 4))
7539 err = decode_thumb_32bit_ld_mem_hints (gdbarch, insn1, insn2,
7540 regs, dsc);
7541 else /* NEON Load/Store and Store single data item */
7542 err = thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
7543 "neon elt/struct load/store",
7544 dsc);
7545 break;
7546 case 1: /* op1 = 3, bits (9, 10) == 1 */
7547 switch (bits (insn1, 7, 8))
7548 {
7549 case 0: case 1: /* Data processing (register) */
7550 err = thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
7551 "dp(reg)", dsc);
7552 break;
7553 case 2: /* Multiply and absolute difference */
7554 err = thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
7555 "mul/mua/diff", dsc);
7556 break;
7557 case 3: /* Long multiply and divide */
7558 err = thumb_copy_unmodified_32bit (gdbarch, insn1, insn2,
7559 "lmul/lmua", dsc);
7560 break;
7561 }
7562 break;
7563 default: /* Coprocessor instructions */
7564 err = thumb2_decode_svc_copro (gdbarch, insn1, insn2, regs, dsc);
7565 break;
7566 }
7567 break;
7568 default:
7569 err = 1;
7570 }
7571
7572 if (err)
7573 internal_error (__FILE__, __LINE__,
7574 _("thumb_process_displaced_32bit_insn: Instruction decode error"));
7575
7576}
7577
7578static void
7579thumb_process_displaced_insn (struct gdbarch *gdbarch, CORE_ADDR from,
7580 struct regcache *regs,
7581 struct displaced_step_closure *dsc)
7582{
7583 enum bfd_endian byte_order_for_code = gdbarch_byte_order_for_code (gdbarch);
7584 uint16_t insn1
7585 = read_memory_unsigned_integer (from, 2, byte_order_for_code);
7586
7587 if (debug_displaced)
7588 fprintf_unfiltered (gdb_stdlog, "displaced: process thumb insn %.4x "
7589 "at %.8lx\n", insn1, (unsigned long) from);
7590
7591 dsc->is_thumb = 1;
7592 dsc->insn_size = thumb_insn_size (insn1);
7593 if (thumb_insn_size (insn1) == 4)
7594 {
7595 uint16_t insn2
7596 = read_memory_unsigned_integer (from + 2, 2, byte_order_for_code);
7597 thumb_process_displaced_32bit_insn (gdbarch, insn1, insn2, regs, dsc);
7598 }
7599 else
7600 thumb_process_displaced_16bit_insn (gdbarch, insn1, regs, dsc);
7601}
7602
7603void
7604arm_process_displaced_insn (struct gdbarch *gdbarch, CORE_ADDR from,
7605 CORE_ADDR to, struct regcache *regs,
7606 struct displaced_step_closure *dsc)
7607{
7608 int err = 0;
7609 enum bfd_endian byte_order_for_code = gdbarch_byte_order_for_code (gdbarch);
7610 uint32_t insn;
7611
7612 /* Most displaced instructions use a 1-instruction scratch space, so set this
7613 here and override below if/when necessary. */
7614 dsc->numinsns = 1;
7615 dsc->insn_addr = from;
7616 dsc->scratch_base = to;
7617 dsc->cleanup = NULL;
7618 dsc->wrote_to_pc = 0;
7619
7620 if (!displaced_in_arm_mode (regs))
7621 return thumb_process_displaced_insn (gdbarch, from, regs, dsc);
7622
7623 dsc->is_thumb = 0;
7624 dsc->insn_size = 4;
7625 insn = read_memory_unsigned_integer (from, 4, byte_order_for_code);
7626 if (debug_displaced)
7627 fprintf_unfiltered (gdb_stdlog, "displaced: stepping insn %.8lx "
7628 "at %.8lx\n", (unsigned long) insn,
7629 (unsigned long) from);
7630
7631 if ((insn & 0xf0000000) == 0xf0000000)
7632 err = arm_decode_unconditional (gdbarch, insn, regs, dsc);
7633 else switch (((insn & 0x10) >> 4) | ((insn & 0xe000000) >> 24))
7634 {
7635 case 0x0: case 0x1: case 0x2: case 0x3:
7636 err = arm_decode_dp_misc (gdbarch, insn, regs, dsc);
7637 break;
7638
7639 case 0x4: case 0x5: case 0x6:
7640 err = arm_decode_ld_st_word_ubyte (gdbarch, insn, regs, dsc);
7641 break;
7642
7643 case 0x7:
7644 err = arm_decode_media (gdbarch, insn, dsc);
7645 break;
7646
7647 case 0x8: case 0x9: case 0xa: case 0xb:
7648 err = arm_decode_b_bl_ldmstm (gdbarch, insn, regs, dsc);
7649 break;
7650
7651 case 0xc: case 0xd: case 0xe: case 0xf:
7652 err = arm_decode_svc_copro (gdbarch, insn, regs, dsc);
7653 break;
7654 }
7655
7656 if (err)
7657 internal_error (__FILE__, __LINE__,
7658 _("arm_process_displaced_insn: Instruction decode error"));
7659}
7660
7661/* Actually set up the scratch space for a displaced instruction. */
7662
7663void
7664arm_displaced_init_closure (struct gdbarch *gdbarch, CORE_ADDR from,
7665 CORE_ADDR to, struct displaced_step_closure *dsc)
7666{
7667 struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
7668 unsigned int i, len, offset;
7669 enum bfd_endian byte_order_for_code = gdbarch_byte_order_for_code (gdbarch);
7670 int size = dsc->is_thumb? 2 : 4;
7671 const gdb_byte *bkp_insn;
7672
7673 offset = 0;
7674 /* Poke modified instruction(s). */
7675 for (i = 0; i < dsc->numinsns; i++)
7676 {
7677 if (debug_displaced)
7678 {
7679 fprintf_unfiltered (gdb_stdlog, "displaced: writing insn ");
7680 if (size == 4)
7681 fprintf_unfiltered (gdb_stdlog, "%.8lx",
7682 dsc->modinsn[i]);
7683 else if (size == 2)
7684 fprintf_unfiltered (gdb_stdlog, "%.4x",
7685 (unsigned short)dsc->modinsn[i]);
7686
7687 fprintf_unfiltered (gdb_stdlog, " at %.8lx\n",
7688 (unsigned long) to + offset);
7689
7690 }
7691 write_memory_unsigned_integer (to + offset, size,
7692 byte_order_for_code,
7693 dsc->modinsn[i]);
7694 offset += size;
7695 }
7696
7697 /* Choose the correct breakpoint instruction. */
7698 if (dsc->is_thumb)
7699 {
7700 bkp_insn = tdep->thumb_breakpoint;
7701 len = tdep->thumb_breakpoint_size;
7702 }
7703 else
7704 {
7705 bkp_insn = tdep->arm_breakpoint;
7706 len = tdep->arm_breakpoint_size;
7707 }
7708
7709 /* Put breakpoint afterwards. */
7710 write_memory (to + offset, bkp_insn, len);
7711
7712 if (debug_displaced)
7713 fprintf_unfiltered (gdb_stdlog, "displaced: copy %s->%s: ",
7714 paddress (gdbarch, from), paddress (gdbarch, to));
7715}
7716
7717/* Entry point for cleaning things up after a displaced instruction has been
7718 single-stepped. */
7719
7720void
7721arm_displaced_step_fixup (struct gdbarch *gdbarch,
7722 struct displaced_step_closure *dsc,
7723 CORE_ADDR from, CORE_ADDR to,
7724 struct regcache *regs)
7725{
7726 if (dsc->cleanup)
7727 dsc->cleanup (gdbarch, regs, dsc);
7728
7729 if (!dsc->wrote_to_pc)
7730 regcache_cooked_write_unsigned (regs, ARM_PC_REGNUM,
7731 dsc->insn_addr + dsc->insn_size);
7732
7733}
7734
7735#include "bfd-in2.h"
7736#include "libcoff.h"
7737
7738static int
7739gdb_print_insn_arm (bfd_vma memaddr, disassemble_info *info)
7740{
7741 gdb_disassembler *di
7742 = static_cast<gdb_disassembler *>(info->application_data);
7743 struct gdbarch *gdbarch = di->arch ();
7744
7745 if (arm_pc_is_thumb (gdbarch, memaddr))
7746 {
7747 static asymbol *asym;
7748 static combined_entry_type ce;
7749 static struct coff_symbol_struct csym;
7750 static struct bfd fake_bfd;
7751 static bfd_target fake_target;
7752
7753 if (csym.native == NULL)
7754 {
7755 /* Create a fake symbol vector containing a Thumb symbol.
7756 This is solely so that the code in print_insn_little_arm()
7757 and print_insn_big_arm() in opcodes/arm-dis.c will detect
7758 the presence of a Thumb symbol and switch to decoding
7759 Thumb instructions. */
7760
7761 fake_target.flavour = bfd_target_coff_flavour;
7762 fake_bfd.xvec = &fake_target;
7763 ce.u.syment.n_sclass = C_THUMBEXTFUNC;
7764 csym.native = &ce;
7765 csym.symbol.the_bfd = &fake_bfd;
7766 csym.symbol.name = "fake";
7767 asym = (asymbol *) & csym;
7768 }
7769
7770 memaddr = UNMAKE_THUMB_ADDR (memaddr);
7771 info->symbols = &asym;
7772 }
7773 else
7774 info->symbols = NULL;
7775
7776 if (info->endian == BFD_ENDIAN_BIG)
7777 return print_insn_big_arm (memaddr, info);
7778 else
7779 return print_insn_little_arm (memaddr, info);
7780}
7781
7782/* The following define instruction sequences that will cause ARM
7783 cpu's to take an undefined instruction trap. These are used to
7784 signal a breakpoint to GDB.
7785
7786 The newer ARMv4T cpu's are capable of operating in ARM or Thumb
7787 modes. A different instruction is required for each mode. The ARM
7788 cpu's can also be big or little endian. Thus four different
7789 instructions are needed to support all cases.
7790
7791 Note: ARMv4 defines several new instructions that will take the
7792 undefined instruction trap. ARM7TDMI is nominally ARMv4T, but does
7793 not in fact add the new instructions. The new undefined
7794 instructions in ARMv4 are all instructions that had no defined
7795 behaviour in earlier chips. There is no guarantee that they will
7796 raise an exception, but may be treated as NOP's. In practice, it
7797 may only safe to rely on instructions matching:
7798
7799 3 3 2 2 2 2 2 2 2 2 2 2 1 1 1 1 1 1 1 1 1 1
7800 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0
7801 C C C C 0 1 1 x x x x x x x x x x x x x x x x x x x x 1 x x x x
7802
7803 Even this may only true if the condition predicate is true. The
7804 following use a condition predicate of ALWAYS so it is always TRUE.
7805
7806 There are other ways of forcing a breakpoint. GNU/Linux, RISC iX,
7807 and NetBSD all use a software interrupt rather than an undefined
7808 instruction to force a trap. This can be handled by by the
7809 abi-specific code during establishment of the gdbarch vector. */
7810
7811#define ARM_LE_BREAKPOINT {0xFE,0xDE,0xFF,0xE7}
7812#define ARM_BE_BREAKPOINT {0xE7,0xFF,0xDE,0xFE}
7813#define THUMB_LE_BREAKPOINT {0xbe,0xbe}
7814#define THUMB_BE_BREAKPOINT {0xbe,0xbe}
7815
7816static const gdb_byte arm_default_arm_le_breakpoint[] = ARM_LE_BREAKPOINT;
7817static const gdb_byte arm_default_arm_be_breakpoint[] = ARM_BE_BREAKPOINT;
7818static const gdb_byte arm_default_thumb_le_breakpoint[] = THUMB_LE_BREAKPOINT;
7819static const gdb_byte arm_default_thumb_be_breakpoint[] = THUMB_BE_BREAKPOINT;
7820
7821/* Implement the breakpoint_kind_from_pc gdbarch method. */
7822
7823static int
7824arm_breakpoint_kind_from_pc (struct gdbarch *gdbarch, CORE_ADDR *pcptr)
7825{
7826 struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
7827 enum bfd_endian byte_order_for_code = gdbarch_byte_order_for_code (gdbarch);
7828
7829 if (arm_pc_is_thumb (gdbarch, *pcptr))
7830 {
7831 *pcptr = UNMAKE_THUMB_ADDR (*pcptr);
7832
7833 /* If we have a separate 32-bit breakpoint instruction for Thumb-2,
7834 check whether we are replacing a 32-bit instruction. */
7835 if (tdep->thumb2_breakpoint != NULL)
7836 {
7837 gdb_byte buf[2];
7838
7839 if (target_read_memory (*pcptr, buf, 2) == 0)
7840 {
7841 unsigned short inst1;
7842
7843 inst1 = extract_unsigned_integer (buf, 2, byte_order_for_code);
7844 if (thumb_insn_size (inst1) == 4)
7845 return ARM_BP_KIND_THUMB2;
7846 }
7847 }
7848
7849 return ARM_BP_KIND_THUMB;
7850 }
7851 else
7852 return ARM_BP_KIND_ARM;
7853
7854}
7855
7856/* Implement the sw_breakpoint_from_kind gdbarch method. */
7857
7858static const gdb_byte *
7859arm_sw_breakpoint_from_kind (struct gdbarch *gdbarch, int kind, int *size)
7860{
7861 struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
7862
7863 switch (kind)
7864 {
7865 case ARM_BP_KIND_ARM:
7866 *size = tdep->arm_breakpoint_size;
7867 return tdep->arm_breakpoint;
7868 case ARM_BP_KIND_THUMB:
7869 *size = tdep->thumb_breakpoint_size;
7870 return tdep->thumb_breakpoint;
7871 case ARM_BP_KIND_THUMB2:
7872 *size = tdep->thumb2_breakpoint_size;
7873 return tdep->thumb2_breakpoint;
7874 default:
7875 gdb_assert_not_reached ("unexpected arm breakpoint kind");
7876 }
7877}
7878
7879/* Implement the breakpoint_kind_from_current_state gdbarch method. */
7880
7881static int
7882arm_breakpoint_kind_from_current_state (struct gdbarch *gdbarch,
7883 struct regcache *regcache,
7884 CORE_ADDR *pcptr)
7885{
7886 gdb_byte buf[4];
7887
7888 /* Check the memory pointed by PC is readable. */
7889 if (target_read_memory (regcache_read_pc (regcache), buf, 4) == 0)
7890 {
7891 struct arm_get_next_pcs next_pcs_ctx;
7892
7893 arm_get_next_pcs_ctor (&next_pcs_ctx,
7894 &arm_get_next_pcs_ops,
7895 gdbarch_byte_order (gdbarch),
7896 gdbarch_byte_order_for_code (gdbarch),
7897 0,
7898 regcache);
7899
7900 std::vector<CORE_ADDR> next_pcs = arm_get_next_pcs (&next_pcs_ctx);
7901
7902 /* If MEMADDR is the next instruction of current pc, do the
7903 software single step computation, and get the thumb mode by
7904 the destination address. */
7905 for (CORE_ADDR pc : next_pcs)
7906 {
7907 if (UNMAKE_THUMB_ADDR (pc) == *pcptr)
7908 {
7909 if (IS_THUMB_ADDR (pc))
7910 {
7911 *pcptr = MAKE_THUMB_ADDR (*pcptr);
7912 return arm_breakpoint_kind_from_pc (gdbarch, pcptr);
7913 }
7914 else
7915 return ARM_BP_KIND_ARM;
7916 }
7917 }
7918 }
7919
7920 return arm_breakpoint_kind_from_pc (gdbarch, pcptr);
7921}
7922
7923/* Extract from an array REGBUF containing the (raw) register state a
7924 function return value of type TYPE, and copy that, in virtual
7925 format, into VALBUF. */
7926
7927static void
7928arm_extract_return_value (struct type *type, struct regcache *regs,
7929 gdb_byte *valbuf)
7930{
7931 struct gdbarch *gdbarch = get_regcache_arch (regs);
7932 enum bfd_endian byte_order = gdbarch_byte_order (gdbarch);
7933
7934 if (TYPE_CODE_FLT == TYPE_CODE (type))
7935 {
7936 switch (gdbarch_tdep (gdbarch)->fp_model)
7937 {
7938 case ARM_FLOAT_FPA:
7939 {
7940 /* The value is in register F0 in internal format. We need to
7941 extract the raw value and then convert it to the desired
7942 internal type. */
7943 bfd_byte tmpbuf[FP_REGISTER_SIZE];
7944
7945 regcache_cooked_read (regs, ARM_F0_REGNUM, tmpbuf);
7946 convert_from_extended (floatformat_from_type (type), tmpbuf,
7947 valbuf, gdbarch_byte_order (gdbarch));
7948 }
7949 break;
7950
7951 case ARM_FLOAT_SOFT_FPA:
7952 case ARM_FLOAT_SOFT_VFP:
7953 /* ARM_FLOAT_VFP can arise if this is a variadic function so
7954 not using the VFP ABI code. */
7955 case ARM_FLOAT_VFP:
7956 regcache_cooked_read (regs, ARM_A1_REGNUM, valbuf);
7957 if (TYPE_LENGTH (type) > 4)
7958 regcache_cooked_read (regs, ARM_A1_REGNUM + 1,
7959 valbuf + INT_REGISTER_SIZE);
7960 break;
7961
7962 default:
7963 internal_error (__FILE__, __LINE__,
7964 _("arm_extract_return_value: "
7965 "Floating point model not supported"));
7966 break;
7967 }
7968 }
7969 else if (TYPE_CODE (type) == TYPE_CODE_INT
7970 || TYPE_CODE (type) == TYPE_CODE_CHAR
7971 || TYPE_CODE (type) == TYPE_CODE_BOOL
7972 || TYPE_CODE (type) == TYPE_CODE_PTR
7973 || TYPE_IS_REFERENCE (type)
7974 || TYPE_CODE (type) == TYPE_CODE_ENUM)
7975 {
7976 /* If the type is a plain integer, then the access is
7977 straight-forward. Otherwise we have to play around a bit
7978 more. */
7979 int len = TYPE_LENGTH (type);
7980 int regno = ARM_A1_REGNUM;
7981 ULONGEST tmp;
7982
7983 while (len > 0)
7984 {
7985 /* By using store_unsigned_integer we avoid having to do
7986 anything special for small big-endian values. */
7987 regcache_cooked_read_unsigned (regs, regno++, &tmp);
7988 store_unsigned_integer (valbuf,
7989 (len > INT_REGISTER_SIZE
7990 ? INT_REGISTER_SIZE : len),
7991 byte_order, tmp);
7992 len -= INT_REGISTER_SIZE;
7993 valbuf += INT_REGISTER_SIZE;
7994 }
7995 }
7996 else
7997 {
7998 /* For a structure or union the behaviour is as if the value had
7999 been stored to word-aligned memory and then loaded into
8000 registers with 32-bit load instruction(s). */
8001 int len = TYPE_LENGTH (type);
8002 int regno = ARM_A1_REGNUM;
8003 bfd_byte tmpbuf[INT_REGISTER_SIZE];
8004
8005 while (len > 0)
8006 {
8007 regcache_cooked_read (regs, regno++, tmpbuf);
8008 memcpy (valbuf, tmpbuf,
8009 len > INT_REGISTER_SIZE ? INT_REGISTER_SIZE : len);
8010 len -= INT_REGISTER_SIZE;
8011 valbuf += INT_REGISTER_SIZE;
8012 }
8013 }
8014}
8015
8016
8017/* Will a function return an aggregate type in memory or in a
8018 register? Return 0 if an aggregate type can be returned in a
8019 register, 1 if it must be returned in memory. */
8020
8021static int
8022arm_return_in_memory (struct gdbarch *gdbarch, struct type *type)
8023{
8024 enum type_code code;
8025
8026 type = check_typedef (type);
8027
8028 /* Simple, non-aggregate types (ie not including vectors and
8029 complex) are always returned in a register (or registers). */
8030 code = TYPE_CODE (type);
8031 if (TYPE_CODE_STRUCT != code && TYPE_CODE_UNION != code
8032 && TYPE_CODE_ARRAY != code && TYPE_CODE_COMPLEX != code)
8033 return 0;
8034
8035 if (TYPE_CODE_ARRAY == code && TYPE_VECTOR (type))
8036 {
8037 /* Vector values should be returned using ARM registers if they
8038 are not over 16 bytes. */
8039 return (TYPE_LENGTH (type) > 16);
8040 }
8041
8042 if (gdbarch_tdep (gdbarch)->arm_abi != ARM_ABI_APCS)
8043 {
8044 /* The AAPCS says all aggregates not larger than a word are returned
8045 in a register. */
8046 if (TYPE_LENGTH (type) <= INT_REGISTER_SIZE)
8047 return 0;
8048
8049 return 1;
8050 }
8051 else
8052 {
8053 int nRc;
8054
8055 /* All aggregate types that won't fit in a register must be returned
8056 in memory. */
8057 if (TYPE_LENGTH (type) > INT_REGISTER_SIZE)
8058 return 1;
8059
8060 /* In the ARM ABI, "integer" like aggregate types are returned in
8061 registers. For an aggregate type to be integer like, its size
8062 must be less than or equal to INT_REGISTER_SIZE and the
8063 offset of each addressable subfield must be zero. Note that bit
8064 fields are not addressable, and all addressable subfields of
8065 unions always start at offset zero.
8066
8067 This function is based on the behaviour of GCC 2.95.1.
8068 See: gcc/arm.c: arm_return_in_memory() for details.
8069
8070 Note: All versions of GCC before GCC 2.95.2 do not set up the
8071 parameters correctly for a function returning the following
8072 structure: struct { float f;}; This should be returned in memory,
8073 not a register. Richard Earnshaw sent me a patch, but I do not
8074 know of any way to detect if a function like the above has been
8075 compiled with the correct calling convention. */
8076
8077 /* Assume all other aggregate types can be returned in a register.
8078 Run a check for structures, unions and arrays. */
8079 nRc = 0;
8080
8081 if ((TYPE_CODE_STRUCT == code) || (TYPE_CODE_UNION == code))
8082 {
8083 int i;
8084 /* Need to check if this struct/union is "integer" like. For
8085 this to be true, its size must be less than or equal to
8086 INT_REGISTER_SIZE and the offset of each addressable
8087 subfield must be zero. Note that bit fields are not
8088 addressable, and unions always start at offset zero. If any
8089 of the subfields is a floating point type, the struct/union
8090 cannot be an integer type. */
8091
8092 /* For each field in the object, check:
8093 1) Is it FP? --> yes, nRc = 1;
8094 2) Is it addressable (bitpos != 0) and
8095 not packed (bitsize == 0)?
8096 --> yes, nRc = 1
8097 */
8098
8099 for (i = 0; i < TYPE_NFIELDS (type); i++)
8100 {
8101 enum type_code field_type_code;
8102
8103 field_type_code
8104 = TYPE_CODE (check_typedef (TYPE_FIELD_TYPE (type,
8105 i)));
8106
8107 /* Is it a floating point type field? */
8108 if (field_type_code == TYPE_CODE_FLT)
8109 {
8110 nRc = 1;
8111 break;
8112 }
8113
8114 /* If bitpos != 0, then we have to care about it. */
8115 if (TYPE_FIELD_BITPOS (type, i) != 0)
8116 {
8117 /* Bitfields are not addressable. If the field bitsize is
8118 zero, then the field is not packed. Hence it cannot be
8119 a bitfield or any other packed type. */
8120 if (TYPE_FIELD_BITSIZE (type, i) == 0)
8121 {
8122 nRc = 1;
8123 break;
8124 }
8125 }
8126 }
8127 }
8128
8129 return nRc;
8130 }
8131}
8132
8133/* Write into appropriate registers a function return value of type
8134 TYPE, given in virtual format. */
8135
8136static void
8137arm_store_return_value (struct type *type, struct regcache *regs,
8138 const gdb_byte *valbuf)
8139{
8140 struct gdbarch *gdbarch = get_regcache_arch (regs);
8141 enum bfd_endian byte_order = gdbarch_byte_order (gdbarch);
8142
8143 if (TYPE_CODE (type) == TYPE_CODE_FLT)
8144 {
8145 gdb_byte buf[FP_REGISTER_SIZE];
8146
8147 switch (gdbarch_tdep (gdbarch)->fp_model)
8148 {
8149 case ARM_FLOAT_FPA:
8150
8151 convert_to_extended (floatformat_from_type (type), buf, valbuf,
8152 gdbarch_byte_order (gdbarch));
8153 regcache_cooked_write (regs, ARM_F0_REGNUM, buf);
8154 break;
8155
8156 case ARM_FLOAT_SOFT_FPA:
8157 case ARM_FLOAT_SOFT_VFP:
8158 /* ARM_FLOAT_VFP can arise if this is a variadic function so
8159 not using the VFP ABI code. */
8160 case ARM_FLOAT_VFP:
8161 regcache_cooked_write (regs, ARM_A1_REGNUM, valbuf);
8162 if (TYPE_LENGTH (type) > 4)
8163 regcache_cooked_write (regs, ARM_A1_REGNUM + 1,
8164 valbuf + INT_REGISTER_SIZE);
8165 break;
8166
8167 default:
8168 internal_error (__FILE__, __LINE__,
8169 _("arm_store_return_value: Floating "
8170 "point model not supported"));
8171 break;
8172 }
8173 }
8174 else if (TYPE_CODE (type) == TYPE_CODE_INT
8175 || TYPE_CODE (type) == TYPE_CODE_CHAR
8176 || TYPE_CODE (type) == TYPE_CODE_BOOL
8177 || TYPE_CODE (type) == TYPE_CODE_PTR
8178 || TYPE_IS_REFERENCE (type)
8179 || TYPE_CODE (type) == TYPE_CODE_ENUM)
8180 {
8181 if (TYPE_LENGTH (type) <= 4)
8182 {
8183 /* Values of one word or less are zero/sign-extended and
8184 returned in r0. */
8185 bfd_byte tmpbuf[INT_REGISTER_SIZE];
8186 LONGEST val = unpack_long (type, valbuf);
8187
8188 store_signed_integer (tmpbuf, INT_REGISTER_SIZE, byte_order, val);
8189 regcache_cooked_write (regs, ARM_A1_REGNUM, tmpbuf);
8190 }
8191 else
8192 {
8193 /* Integral values greater than one word are stored in consecutive
8194 registers starting with r0. This will always be a multiple of
8195 the regiser size. */
8196 int len = TYPE_LENGTH (type);
8197 int regno = ARM_A1_REGNUM;
8198
8199 while (len > 0)
8200 {
8201 regcache_cooked_write (regs, regno++, valbuf);
8202 len -= INT_REGISTER_SIZE;
8203 valbuf += INT_REGISTER_SIZE;
8204 }
8205 }
8206 }
8207 else
8208 {
8209 /* For a structure or union the behaviour is as if the value had
8210 been stored to word-aligned memory and then loaded into
8211 registers with 32-bit load instruction(s). */
8212 int len = TYPE_LENGTH (type);
8213 int regno = ARM_A1_REGNUM;
8214 bfd_byte tmpbuf[INT_REGISTER_SIZE];
8215
8216 while (len > 0)
8217 {
8218 memcpy (tmpbuf, valbuf,
8219 len > INT_REGISTER_SIZE ? INT_REGISTER_SIZE : len);
8220 regcache_cooked_write (regs, regno++, tmpbuf);
8221 len -= INT_REGISTER_SIZE;
8222 valbuf += INT_REGISTER_SIZE;
8223 }
8224 }
8225}
8226
8227
8228/* Handle function return values. */
8229
8230static enum return_value_convention
8231arm_return_value (struct gdbarch *gdbarch, struct value *function,
8232 struct type *valtype, struct regcache *regcache,
8233 gdb_byte *readbuf, const gdb_byte *writebuf)
8234{
8235 struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
8236 struct type *func_type = function ? value_type (function) : NULL;
8237 enum arm_vfp_cprc_base_type vfp_base_type;
8238 int vfp_base_count;
8239
8240 if (arm_vfp_abi_for_function (gdbarch, func_type)
8241 && arm_vfp_call_candidate (valtype, &vfp_base_type, &vfp_base_count))
8242 {
8243 int reg_char = arm_vfp_cprc_reg_char (vfp_base_type);
8244 int unit_length = arm_vfp_cprc_unit_length (vfp_base_type);
8245 int i;
8246 for (i = 0; i < vfp_base_count; i++)
8247 {
8248 if (reg_char == 'q')
8249 {
8250 if (writebuf)
8251 arm_neon_quad_write (gdbarch, regcache, i,
8252 writebuf + i * unit_length);
8253
8254 if (readbuf)
8255 arm_neon_quad_read (gdbarch, regcache, i,
8256 readbuf + i * unit_length);
8257 }
8258 else
8259 {
8260 char name_buf[4];
8261 int regnum;
8262
8263 xsnprintf (name_buf, sizeof (name_buf), "%c%d", reg_char, i);
8264 regnum = user_reg_map_name_to_regnum (gdbarch, name_buf,
8265 strlen (name_buf));
8266 if (writebuf)
8267 regcache_cooked_write (regcache, regnum,
8268 writebuf + i * unit_length);
8269 if (readbuf)
8270 regcache_cooked_read (regcache, regnum,
8271 readbuf + i * unit_length);
8272 }
8273 }
8274 return RETURN_VALUE_REGISTER_CONVENTION;
8275 }
8276
8277 if (TYPE_CODE (valtype) == TYPE_CODE_STRUCT
8278 || TYPE_CODE (valtype) == TYPE_CODE_UNION
8279 || TYPE_CODE (valtype) == TYPE_CODE_ARRAY)
8280 {
8281 if (tdep->struct_return == pcc_struct_return
8282 || arm_return_in_memory (gdbarch, valtype))
8283 return RETURN_VALUE_STRUCT_CONVENTION;
8284 }
8285 else if (TYPE_CODE (valtype) == TYPE_CODE_COMPLEX)
8286 {
8287 if (arm_return_in_memory (gdbarch, valtype))
8288 return RETURN_VALUE_STRUCT_CONVENTION;
8289 }
8290
8291 if (writebuf)
8292 arm_store_return_value (valtype, regcache, writebuf);
8293
8294 if (readbuf)
8295 arm_extract_return_value (valtype, regcache, readbuf);
8296
8297 return RETURN_VALUE_REGISTER_CONVENTION;
8298}
8299
8300
8301static int
8302arm_get_longjmp_target (struct frame_info *frame, CORE_ADDR *pc)
8303{
8304 struct gdbarch *gdbarch = get_frame_arch (frame);
8305 struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
8306 enum bfd_endian byte_order = gdbarch_byte_order (gdbarch);
8307 CORE_ADDR jb_addr;
8308 gdb_byte buf[INT_REGISTER_SIZE];
8309
8310 jb_addr = get_frame_register_unsigned (frame, ARM_A1_REGNUM);
8311
8312 if (target_read_memory (jb_addr + tdep->jb_pc * tdep->jb_elt_size, buf,
8313 INT_REGISTER_SIZE))
8314 return 0;
8315
8316 *pc = extract_unsigned_integer (buf, INT_REGISTER_SIZE, byte_order);
8317 return 1;
8318}
8319
8320/* Recognize GCC and GNU ld's trampolines. If we are in a trampoline,
8321 return the target PC. Otherwise return 0. */
8322
8323CORE_ADDR
8324arm_skip_stub (struct frame_info *frame, CORE_ADDR pc)
8325{
8326 const char *name;
8327 int namelen;
8328 CORE_ADDR start_addr;
8329
8330 /* Find the starting address and name of the function containing the PC. */
8331 if (find_pc_partial_function (pc, &name, &start_addr, NULL) == 0)
8332 {
8333 /* Trampoline 'bx reg' doesn't belong to any functions. Do the
8334 check here. */
8335 start_addr = arm_skip_bx_reg (frame, pc);
8336 if (start_addr != 0)
8337 return start_addr;
8338
8339 return 0;
8340 }
8341
8342 /* If PC is in a Thumb call or return stub, return the address of the
8343 target PC, which is in a register. The thunk functions are called
8344 _call_via_xx, where x is the register name. The possible names
8345 are r0-r9, sl, fp, ip, sp, and lr. ARM RealView has similar
8346 functions, named __ARM_call_via_r[0-7]. */
8347 if (startswith (name, "_call_via_")
8348 || startswith (name, "__ARM_call_via_"))
8349 {
8350 /* Use the name suffix to determine which register contains the
8351 target PC. */
8352 static const char *table[15] =
8353 {"r0", "r1", "r2", "r3", "r4", "r5", "r6", "r7",
8354 "r8", "r9", "sl", "fp", "ip", "sp", "lr"
8355 };
8356 int regno;
8357 int offset = strlen (name) - 2;
8358
8359 for (regno = 0; regno <= 14; regno++)
8360 if (strcmp (&name[offset], table[regno]) == 0)
8361 return get_frame_register_unsigned (frame, regno);
8362 }
8363
8364 /* GNU ld generates __foo_from_arm or __foo_from_thumb for
8365 non-interworking calls to foo. We could decode the stubs
8366 to find the target but it's easier to use the symbol table. */
8367 namelen = strlen (name);
8368 if (name[0] == '_' && name[1] == '_'
8369 && ((namelen > 2 + strlen ("_from_thumb")
8370 && startswith (name + namelen - strlen ("_from_thumb"), "_from_thumb"))
8371 || (namelen > 2 + strlen ("_from_arm")
8372 && startswith (name + namelen - strlen ("_from_arm"), "_from_arm"))))
8373 {
8374 char *target_name;
8375 int target_len = namelen - 2;
8376 struct bound_minimal_symbol minsym;
8377 struct objfile *objfile;
8378 struct obj_section *sec;
8379
8380 if (name[namelen - 1] == 'b')
8381 target_len -= strlen ("_from_thumb");
8382 else
8383 target_len -= strlen ("_from_arm");
8384
8385 target_name = (char *) alloca (target_len + 1);
8386 memcpy (target_name, name + 2, target_len);
8387 target_name[target_len] = '\0';
8388
8389 sec = find_pc_section (pc);
8390 objfile = (sec == NULL) ? NULL : sec->objfile;
8391 minsym = lookup_minimal_symbol (target_name, NULL, objfile);
8392 if (minsym.minsym != NULL)
8393 return BMSYMBOL_VALUE_ADDRESS (minsym);
8394 else
8395 return 0;
8396 }
8397
8398 return 0; /* not a stub */
8399}
8400
8401static void
8402set_arm_command (char *args, int from_tty)
8403{
8404 printf_unfiltered (_("\
8405\"set arm\" must be followed by an apporpriate subcommand.\n"));
8406 help_list (setarmcmdlist, "set arm ", all_commands, gdb_stdout);
8407}
8408
8409static void
8410show_arm_command (char *args, int from_tty)
8411{
8412 cmd_show_list (showarmcmdlist, from_tty, "");
8413}
8414
8415static void
8416arm_update_current_architecture (void)
8417{
8418 struct gdbarch_info info;
8419
8420 /* If the current architecture is not ARM, we have nothing to do. */
8421 if (gdbarch_bfd_arch_info (target_gdbarch ())->arch != bfd_arch_arm)
8422 return;
8423
8424 /* Update the architecture. */
8425 gdbarch_info_init (&info);
8426
8427 if (!gdbarch_update_p (info))
8428 internal_error (__FILE__, __LINE__, _("could not update architecture"));
8429}
8430
8431static void
8432set_fp_model_sfunc (char *args, int from_tty,
8433 struct cmd_list_element *c)
8434{
8435 int fp_model;
8436
8437 for (fp_model = ARM_FLOAT_AUTO; fp_model != ARM_FLOAT_LAST; fp_model++)
8438 if (strcmp (current_fp_model, fp_model_strings[fp_model]) == 0)
8439 {
8440 arm_fp_model = (enum arm_float_model) fp_model;
8441 break;
8442 }
8443
8444 if (fp_model == ARM_FLOAT_LAST)
8445 internal_error (__FILE__, __LINE__, _("Invalid fp model accepted: %s."),
8446 current_fp_model);
8447
8448 arm_update_current_architecture ();
8449}
8450
8451static void
8452show_fp_model (struct ui_file *file, int from_tty,
8453 struct cmd_list_element *c, const char *value)
8454{
8455 struct gdbarch_tdep *tdep = gdbarch_tdep (target_gdbarch ());
8456
8457 if (arm_fp_model == ARM_FLOAT_AUTO
8458 && gdbarch_bfd_arch_info (target_gdbarch ())->arch == bfd_arch_arm)
8459 fprintf_filtered (file, _("\
8460The current ARM floating point model is \"auto\" (currently \"%s\").\n"),
8461 fp_model_strings[tdep->fp_model]);
8462 else
8463 fprintf_filtered (file, _("\
8464The current ARM floating point model is \"%s\".\n"),
8465 fp_model_strings[arm_fp_model]);
8466}
8467
8468static void
8469arm_set_abi (char *args, int from_tty,
8470 struct cmd_list_element *c)
8471{
8472 int arm_abi;
8473
8474 for (arm_abi = ARM_ABI_AUTO; arm_abi != ARM_ABI_LAST; arm_abi++)
8475 if (strcmp (arm_abi_string, arm_abi_strings[arm_abi]) == 0)
8476 {
8477 arm_abi_global = (enum arm_abi_kind) arm_abi;
8478 break;
8479 }
8480
8481 if (arm_abi == ARM_ABI_LAST)
8482 internal_error (__FILE__, __LINE__, _("Invalid ABI accepted: %s."),
8483 arm_abi_string);
8484
8485 arm_update_current_architecture ();
8486}
8487
8488static void
8489arm_show_abi (struct ui_file *file, int from_tty,
8490 struct cmd_list_element *c, const char *value)
8491{
8492 struct gdbarch_tdep *tdep = gdbarch_tdep (target_gdbarch ());
8493
8494 if (arm_abi_global == ARM_ABI_AUTO
8495 && gdbarch_bfd_arch_info (target_gdbarch ())->arch == bfd_arch_arm)
8496 fprintf_filtered (file, _("\
8497The current ARM ABI is \"auto\" (currently \"%s\").\n"),
8498 arm_abi_strings[tdep->arm_abi]);
8499 else
8500 fprintf_filtered (file, _("The current ARM ABI is \"%s\".\n"),
8501 arm_abi_string);
8502}
8503
8504static void
8505arm_show_fallback_mode (struct ui_file *file, int from_tty,
8506 struct cmd_list_element *c, const char *value)
8507{
8508 fprintf_filtered (file,
8509 _("The current execution mode assumed "
8510 "(when symbols are unavailable) is \"%s\".\n"),
8511 arm_fallback_mode_string);
8512}
8513
8514static void
8515arm_show_force_mode (struct ui_file *file, int from_tty,
8516 struct cmd_list_element *c, const char *value)
8517{
8518 fprintf_filtered (file,
8519 _("The current execution mode assumed "
8520 "(even when symbols are available) is \"%s\".\n"),
8521 arm_force_mode_string);
8522}
8523
8524/* If the user changes the register disassembly style used for info
8525 register and other commands, we have to also switch the style used
8526 in opcodes for disassembly output. This function is run in the "set
8527 arm disassembly" command, and does that. */
8528
8529static void
8530set_disassembly_style_sfunc (char *args, int from_tty,
8531 struct cmd_list_element *c)
8532{
8533 /* Convert the short style name into the long style name (eg, reg-names-*)
8534 before calling the generic set_disassembler_options() function. */
8535 std::string long_name = std::string ("reg-names-") + disassembly_style;
8536 set_disassembler_options (&long_name[0]);
8537}
8538
8539static void
8540show_disassembly_style_sfunc (struct ui_file *file, int from_tty,
8541 struct cmd_list_element *c, const char *value)
8542{
8543 struct gdbarch *gdbarch = get_current_arch ();
8544 char *options = get_disassembler_options (gdbarch);
8545 const char *style = "";
8546 int len = 0;
8547 const char *opt;
8548
8549 FOR_EACH_DISASSEMBLER_OPTION (opt, options)
8550 if (CONST_STRNEQ (opt, "reg-names-"))
8551 {
8552 style = &opt[strlen ("reg-names-")];
8553 len = strcspn (style, ",");
8554 }
8555
8556 fprintf_unfiltered (file, "The disassembly style is \"%.*s\".\n", len, style);
8557}
8558\f
8559/* Return the ARM register name corresponding to register I. */
8560static const char *
8561arm_register_name (struct gdbarch *gdbarch, int i)
8562{
8563 const int num_regs = gdbarch_num_regs (gdbarch);
8564
8565 if (gdbarch_tdep (gdbarch)->have_vfp_pseudos
8566 && i >= num_regs && i < num_regs + 32)
8567 {
8568 static const char *const vfp_pseudo_names[] = {
8569 "s0", "s1", "s2", "s3", "s4", "s5", "s6", "s7",
8570 "s8", "s9", "s10", "s11", "s12", "s13", "s14", "s15",
8571 "s16", "s17", "s18", "s19", "s20", "s21", "s22", "s23",
8572 "s24", "s25", "s26", "s27", "s28", "s29", "s30", "s31",
8573 };
8574
8575 return vfp_pseudo_names[i - num_regs];
8576 }
8577
8578 if (gdbarch_tdep (gdbarch)->have_neon_pseudos
8579 && i >= num_regs + 32 && i < num_regs + 32 + 16)
8580 {
8581 static const char *const neon_pseudo_names[] = {
8582 "q0", "q1", "q2", "q3", "q4", "q5", "q6", "q7",
8583 "q8", "q9", "q10", "q11", "q12", "q13", "q14", "q15",
8584 };
8585
8586 return neon_pseudo_names[i - num_regs - 32];
8587 }
8588
8589 if (i >= ARRAY_SIZE (arm_register_names))
8590 /* These registers are only supported on targets which supply
8591 an XML description. */
8592 return "";
8593
8594 return arm_register_names[i];
8595}
8596
8597/* Test whether the coff symbol specific value corresponds to a Thumb
8598 function. */
8599
8600static int
8601coff_sym_is_thumb (int val)
8602{
8603 return (val == C_THUMBEXT
8604 || val == C_THUMBSTAT
8605 || val == C_THUMBEXTFUNC
8606 || val == C_THUMBSTATFUNC
8607 || val == C_THUMBLABEL);
8608}
8609
8610/* arm_coff_make_msymbol_special()
8611 arm_elf_make_msymbol_special()
8612
8613 These functions test whether the COFF or ELF symbol corresponds to
8614 an address in thumb code, and set a "special" bit in a minimal
8615 symbol to indicate that it does. */
8616
8617static void
8618arm_elf_make_msymbol_special(asymbol *sym, struct minimal_symbol *msym)
8619{
8620 elf_symbol_type *elfsym = (elf_symbol_type *) sym;
8621
8622 if (ARM_GET_SYM_BRANCH_TYPE (elfsym->internal_elf_sym.st_target_internal)
8623 == ST_BRANCH_TO_THUMB)
8624 MSYMBOL_SET_SPECIAL (msym);
8625}
8626
8627static void
8628arm_coff_make_msymbol_special(int val, struct minimal_symbol *msym)
8629{
8630 if (coff_sym_is_thumb (val))
8631 MSYMBOL_SET_SPECIAL (msym);
8632}
8633
8634static void
8635arm_objfile_data_free (struct objfile *objfile, void *arg)
8636{
8637 struct arm_per_objfile *data = (struct arm_per_objfile *) arg;
8638 unsigned int i;
8639
8640 for (i = 0; i < objfile->obfd->section_count; i++)
8641 VEC_free (arm_mapping_symbol_s, data->section_maps[i]);
8642}
8643
8644static void
8645arm_record_special_symbol (struct gdbarch *gdbarch, struct objfile *objfile,
8646 asymbol *sym)
8647{
8648 const char *name = bfd_asymbol_name (sym);
8649 struct arm_per_objfile *data;
8650 VEC(arm_mapping_symbol_s) **map_p;
8651 struct arm_mapping_symbol new_map_sym;
8652
8653 gdb_assert (name[0] == '$');
8654 if (name[1] != 'a' && name[1] != 't' && name[1] != 'd')
8655 return;
8656
8657 data = (struct arm_per_objfile *) objfile_data (objfile,
8658 arm_objfile_data_key);
8659 if (data == NULL)
8660 {
8661 data = OBSTACK_ZALLOC (&objfile->objfile_obstack,
8662 struct arm_per_objfile);
8663 set_objfile_data (objfile, arm_objfile_data_key, data);
8664 data->section_maps = OBSTACK_CALLOC (&objfile->objfile_obstack,
8665 objfile->obfd->section_count,
8666 VEC(arm_mapping_symbol_s) *);
8667 }
8668 map_p = &data->section_maps[bfd_get_section (sym)->index];
8669
8670 new_map_sym.value = sym->value;
8671 new_map_sym.type = name[1];
8672
8673 /* Assume that most mapping symbols appear in order of increasing
8674 value. If they were randomly distributed, it would be faster to
8675 always push here and then sort at first use. */
8676 if (!VEC_empty (arm_mapping_symbol_s, *map_p))
8677 {
8678 struct arm_mapping_symbol *prev_map_sym;
8679
8680 prev_map_sym = VEC_last (arm_mapping_symbol_s, *map_p);
8681 if (prev_map_sym->value >= sym->value)
8682 {
8683 unsigned int idx;
8684 idx = VEC_lower_bound (arm_mapping_symbol_s, *map_p, &new_map_sym,
8685 arm_compare_mapping_symbols);
8686 VEC_safe_insert (arm_mapping_symbol_s, *map_p, idx, &new_map_sym);
8687 return;
8688 }
8689 }
8690
8691 VEC_safe_push (arm_mapping_symbol_s, *map_p, &new_map_sym);
8692}
8693
8694static void
8695arm_write_pc (struct regcache *regcache, CORE_ADDR pc)
8696{
8697 struct gdbarch *gdbarch = get_regcache_arch (regcache);
8698 regcache_cooked_write_unsigned (regcache, ARM_PC_REGNUM, pc);
8699
8700 /* If necessary, set the T bit. */
8701 if (arm_apcs_32)
8702 {
8703 ULONGEST val, t_bit;
8704 regcache_cooked_read_unsigned (regcache, ARM_PS_REGNUM, &val);
8705 t_bit = arm_psr_thumb_bit (gdbarch);
8706 if (arm_pc_is_thumb (gdbarch, pc))
8707 regcache_cooked_write_unsigned (regcache, ARM_PS_REGNUM,
8708 val | t_bit);
8709 else
8710 regcache_cooked_write_unsigned (regcache, ARM_PS_REGNUM,
8711 val & ~t_bit);
8712 }
8713}
8714
8715/* Read the contents of a NEON quad register, by reading from two
8716 double registers. This is used to implement the quad pseudo
8717 registers, and for argument passing in case the quad registers are
8718 missing; vectors are passed in quad registers when using the VFP
8719 ABI, even if a NEON unit is not present. REGNUM is the index of
8720 the quad register, in [0, 15]. */
8721
8722static enum register_status
8723arm_neon_quad_read (struct gdbarch *gdbarch, struct regcache *regcache,
8724 int regnum, gdb_byte *buf)
8725{
8726 char name_buf[4];
8727 gdb_byte reg_buf[8];
8728 int offset, double_regnum;
8729 enum register_status status;
8730
8731 xsnprintf (name_buf, sizeof (name_buf), "d%d", regnum << 1);
8732 double_regnum = user_reg_map_name_to_regnum (gdbarch, name_buf,
8733 strlen (name_buf));
8734
8735 /* d0 is always the least significant half of q0. */
8736 if (gdbarch_byte_order (gdbarch) == BFD_ENDIAN_BIG)
8737 offset = 8;
8738 else
8739 offset = 0;
8740
8741 status = regcache_raw_read (regcache, double_regnum, reg_buf);
8742 if (status != REG_VALID)
8743 return status;
8744 memcpy (buf + offset, reg_buf, 8);
8745
8746 offset = 8 - offset;
8747 status = regcache_raw_read (regcache, double_regnum + 1, reg_buf);
8748 if (status != REG_VALID)
8749 return status;
8750 memcpy (buf + offset, reg_buf, 8);
8751
8752 return REG_VALID;
8753}
8754
8755static enum register_status
8756arm_pseudo_read (struct gdbarch *gdbarch, struct regcache *regcache,
8757 int regnum, gdb_byte *buf)
8758{
8759 const int num_regs = gdbarch_num_regs (gdbarch);
8760 char name_buf[4];
8761 gdb_byte reg_buf[8];
8762 int offset, double_regnum;
8763
8764 gdb_assert (regnum >= num_regs);
8765 regnum -= num_regs;
8766
8767 if (gdbarch_tdep (gdbarch)->have_neon_pseudos && regnum >= 32 && regnum < 48)
8768 /* Quad-precision register. */
8769 return arm_neon_quad_read (gdbarch, regcache, regnum - 32, buf);
8770 else
8771 {
8772 enum register_status status;
8773
8774 /* Single-precision register. */
8775 gdb_assert (regnum < 32);
8776
8777 /* s0 is always the least significant half of d0. */
8778 if (gdbarch_byte_order (gdbarch) == BFD_ENDIAN_BIG)
8779 offset = (regnum & 1) ? 0 : 4;
8780 else
8781 offset = (regnum & 1) ? 4 : 0;
8782
8783 xsnprintf (name_buf, sizeof (name_buf), "d%d", regnum >> 1);
8784 double_regnum = user_reg_map_name_to_regnum (gdbarch, name_buf,
8785 strlen (name_buf));
8786
8787 status = regcache_raw_read (regcache, double_regnum, reg_buf);
8788 if (status == REG_VALID)
8789 memcpy (buf, reg_buf + offset, 4);
8790 return status;
8791 }
8792}
8793
8794/* Store the contents of BUF to a NEON quad register, by writing to
8795 two double registers. This is used to implement the quad pseudo
8796 registers, and for argument passing in case the quad registers are
8797 missing; vectors are passed in quad registers when using the VFP
8798 ABI, even if a NEON unit is not present. REGNUM is the index
8799 of the quad register, in [0, 15]. */
8800
8801static void
8802arm_neon_quad_write (struct gdbarch *gdbarch, struct regcache *regcache,
8803 int regnum, const gdb_byte *buf)
8804{
8805 char name_buf[4];
8806 int offset, double_regnum;
8807
8808 xsnprintf (name_buf, sizeof (name_buf), "d%d", regnum << 1);
8809 double_regnum = user_reg_map_name_to_regnum (gdbarch, name_buf,
8810 strlen (name_buf));
8811
8812 /* d0 is always the least significant half of q0. */
8813 if (gdbarch_byte_order (gdbarch) == BFD_ENDIAN_BIG)
8814 offset = 8;
8815 else
8816 offset = 0;
8817
8818 regcache_raw_write (regcache, double_regnum, buf + offset);
8819 offset = 8 - offset;
8820 regcache_raw_write (regcache, double_regnum + 1, buf + offset);
8821}
8822
8823static void
8824arm_pseudo_write (struct gdbarch *gdbarch, struct regcache *regcache,
8825 int regnum, const gdb_byte *buf)
8826{
8827 const int num_regs = gdbarch_num_regs (gdbarch);
8828 char name_buf[4];
8829 gdb_byte reg_buf[8];
8830 int offset, double_regnum;
8831
8832 gdb_assert (regnum >= num_regs);
8833 regnum -= num_regs;
8834
8835 if (gdbarch_tdep (gdbarch)->have_neon_pseudos && regnum >= 32 && regnum < 48)
8836 /* Quad-precision register. */
8837 arm_neon_quad_write (gdbarch, regcache, regnum - 32, buf);
8838 else
8839 {
8840 /* Single-precision register. */
8841 gdb_assert (regnum < 32);
8842
8843 /* s0 is always the least significant half of d0. */
8844 if (gdbarch_byte_order (gdbarch) == BFD_ENDIAN_BIG)
8845 offset = (regnum & 1) ? 0 : 4;
8846 else
8847 offset = (regnum & 1) ? 4 : 0;
8848
8849 xsnprintf (name_buf, sizeof (name_buf), "d%d", regnum >> 1);
8850 double_regnum = user_reg_map_name_to_regnum (gdbarch, name_buf,
8851 strlen (name_buf));
8852
8853 regcache_raw_read (regcache, double_regnum, reg_buf);
8854 memcpy (reg_buf + offset, buf, 4);
8855 regcache_raw_write (regcache, double_regnum, reg_buf);
8856 }
8857}
8858
8859static struct value *
8860value_of_arm_user_reg (struct frame_info *frame, const void *baton)
8861{
8862 const int *reg_p = (const int *) baton;
8863 return value_of_register (*reg_p, frame);
8864}
8865\f
8866static enum gdb_osabi
8867arm_elf_osabi_sniffer (bfd *abfd)
8868{
8869 unsigned int elfosabi;
8870 enum gdb_osabi osabi = GDB_OSABI_UNKNOWN;
8871
8872 elfosabi = elf_elfheader (abfd)->e_ident[EI_OSABI];
8873
8874 if (elfosabi == ELFOSABI_ARM)
8875 /* GNU tools use this value. Check note sections in this case,
8876 as well. */
8877 bfd_map_over_sections (abfd,
8878 generic_elf_osabi_sniff_abi_tag_sections,
8879 &osabi);
8880
8881 /* Anything else will be handled by the generic ELF sniffer. */
8882 return osabi;
8883}
8884
8885static int
8886arm_register_reggroup_p (struct gdbarch *gdbarch, int regnum,
8887 struct reggroup *group)
8888{
8889 /* FPS register's type is INT, but belongs to float_reggroup. Beside
8890 this, FPS register belongs to save_regroup, restore_reggroup, and
8891 all_reggroup, of course. */
8892 if (regnum == ARM_FPS_REGNUM)
8893 return (group == float_reggroup
8894 || group == save_reggroup
8895 || group == restore_reggroup
8896 || group == all_reggroup);
8897 else
8898 return default_register_reggroup_p (gdbarch, regnum, group);
8899}
8900
8901\f
8902/* For backward-compatibility we allow two 'g' packet lengths with
8903 the remote protocol depending on whether FPA registers are
8904 supplied. M-profile targets do not have FPA registers, but some
8905 stubs already exist in the wild which use a 'g' packet which
8906 supplies them albeit with dummy values. The packet format which
8907 includes FPA registers should be considered deprecated for
8908 M-profile targets. */
8909
8910static void
8911arm_register_g_packet_guesses (struct gdbarch *gdbarch)
8912{
8913 if (gdbarch_tdep (gdbarch)->is_m)
8914 {
8915 /* If we know from the executable this is an M-profile target,
8916 cater for remote targets whose register set layout is the
8917 same as the FPA layout. */
8918 register_remote_g_packet_guess (gdbarch,
8919 /* r0-r12,sp,lr,pc; f0-f7; fps,xpsr */
8920 (16 * INT_REGISTER_SIZE)
8921 + (8 * FP_REGISTER_SIZE)
8922 + (2 * INT_REGISTER_SIZE),
8923 tdesc_arm_with_m_fpa_layout);
8924
8925 /* The regular M-profile layout. */
8926 register_remote_g_packet_guess (gdbarch,
8927 /* r0-r12,sp,lr,pc; xpsr */
8928 (16 * INT_REGISTER_SIZE)
8929 + INT_REGISTER_SIZE,
8930 tdesc_arm_with_m);
8931
8932 /* M-profile plus M4F VFP. */
8933 register_remote_g_packet_guess (gdbarch,
8934 /* r0-r12,sp,lr,pc; d0-d15; fpscr,xpsr */
8935 (16 * INT_REGISTER_SIZE)
8936 + (16 * VFP_REGISTER_SIZE)
8937 + (2 * INT_REGISTER_SIZE),
8938 tdesc_arm_with_m_vfp_d16);
8939 }
8940
8941 /* Otherwise we don't have a useful guess. */
8942}
8943
8944/* Implement the code_of_frame_writable gdbarch method. */
8945
8946static int
8947arm_code_of_frame_writable (struct gdbarch *gdbarch, struct frame_info *frame)
8948{
8949 if (gdbarch_tdep (gdbarch)->is_m
8950 && get_frame_type (frame) == SIGTRAMP_FRAME)
8951 {
8952 /* M-profile exception frames return to some magic PCs, where
8953 isn't writable at all. */
8954 return 0;
8955 }
8956 else
8957 return 1;
8958}
8959
8960\f
8961/* Initialize the current architecture based on INFO. If possible,
8962 re-use an architecture from ARCHES, which is a list of
8963 architectures already created during this debugging session.
8964
8965 Called e.g. at program startup, when reading a core file, and when
8966 reading a binary file. */
8967
8968static struct gdbarch *
8969arm_gdbarch_init (struct gdbarch_info info, struct gdbarch_list *arches)
8970{
8971 struct gdbarch_tdep *tdep;
8972 struct gdbarch *gdbarch;
8973 struct gdbarch_list *best_arch;
8974 enum arm_abi_kind arm_abi = arm_abi_global;
8975 enum arm_float_model fp_model = arm_fp_model;
8976 struct tdesc_arch_data *tdesc_data = NULL;
8977 int i, is_m = 0;
8978 int vfp_register_count = 0, have_vfp_pseudos = 0, have_neon_pseudos = 0;
8979 int have_wmmx_registers = 0;
8980 int have_neon = 0;
8981 int have_fpa_registers = 1;
8982 const struct target_desc *tdesc = info.target_desc;
8983
8984 /* If we have an object to base this architecture on, try to determine
8985 its ABI. */
8986
8987 if (arm_abi == ARM_ABI_AUTO && info.abfd != NULL)
8988 {
8989 int ei_osabi, e_flags;
8990
8991 switch (bfd_get_flavour (info.abfd))
8992 {
8993 case bfd_target_coff_flavour:
8994 /* Assume it's an old APCS-style ABI. */
8995 /* XXX WinCE? */
8996 arm_abi = ARM_ABI_APCS;
8997 break;
8998
8999 case bfd_target_elf_flavour:
9000 ei_osabi = elf_elfheader (info.abfd)->e_ident[EI_OSABI];
9001 e_flags = elf_elfheader (info.abfd)->e_flags;
9002
9003 if (ei_osabi == ELFOSABI_ARM)
9004 {
9005 /* GNU tools used to use this value, but do not for EABI
9006 objects. There's nowhere to tag an EABI version
9007 anyway, so assume APCS. */
9008 arm_abi = ARM_ABI_APCS;
9009 }
9010 else if (ei_osabi == ELFOSABI_NONE || ei_osabi == ELFOSABI_GNU)
9011 {
9012 int eabi_ver = EF_ARM_EABI_VERSION (e_flags);
9013 int attr_arch, attr_profile;
9014
9015 switch (eabi_ver)
9016 {
9017 case EF_ARM_EABI_UNKNOWN:
9018 /* Assume GNU tools. */
9019 arm_abi = ARM_ABI_APCS;
9020 break;
9021
9022 case EF_ARM_EABI_VER4:
9023 case EF_ARM_EABI_VER5:
9024 arm_abi = ARM_ABI_AAPCS;
9025 /* EABI binaries default to VFP float ordering.
9026 They may also contain build attributes that can
9027 be used to identify if the VFP argument-passing
9028 ABI is in use. */
9029 if (fp_model == ARM_FLOAT_AUTO)
9030 {
9031#ifdef HAVE_ELF
9032 switch (bfd_elf_get_obj_attr_int (info.abfd,
9033 OBJ_ATTR_PROC,
9034 Tag_ABI_VFP_args))
9035 {
9036 case AEABI_VFP_args_base:
9037 /* "The user intended FP parameter/result
9038 passing to conform to AAPCS, base
9039 variant". */
9040 fp_model = ARM_FLOAT_SOFT_VFP;
9041 break;
9042 case AEABI_VFP_args_vfp:
9043 /* "The user intended FP parameter/result
9044 passing to conform to AAPCS, VFP
9045 variant". */
9046 fp_model = ARM_FLOAT_VFP;
9047 break;
9048 case AEABI_VFP_args_toolchain:
9049 /* "The user intended FP parameter/result
9050 passing to conform to tool chain-specific
9051 conventions" - we don't know any such
9052 conventions, so leave it as "auto". */
9053 break;
9054 case AEABI_VFP_args_compatible:
9055 /* "Code is compatible with both the base
9056 and VFP variants; the user did not permit
9057 non-variadic functions to pass FP
9058 parameters/results" - leave it as
9059 "auto". */
9060 break;
9061 default:
9062 /* Attribute value not mentioned in the
9063 November 2012 ABI, so leave it as
9064 "auto". */
9065 break;
9066 }
9067#else
9068 fp_model = ARM_FLOAT_SOFT_VFP;
9069#endif
9070 }
9071 break;
9072
9073 default:
9074 /* Leave it as "auto". */
9075 warning (_("unknown ARM EABI version 0x%x"), eabi_ver);
9076 break;
9077 }
9078
9079#ifdef HAVE_ELF
9080 /* Detect M-profile programs. This only works if the
9081 executable file includes build attributes; GCC does
9082 copy them to the executable, but e.g. RealView does
9083 not. */
9084 attr_arch = bfd_elf_get_obj_attr_int (info.abfd, OBJ_ATTR_PROC,
9085 Tag_CPU_arch);
9086 attr_profile = bfd_elf_get_obj_attr_int (info.abfd,
9087 OBJ_ATTR_PROC,
9088 Tag_CPU_arch_profile);
9089 /* GCC specifies the profile for v6-M; RealView only
9090 specifies the profile for architectures starting with
9091 V7 (as opposed to architectures with a tag
9092 numerically greater than TAG_CPU_ARCH_V7). */
9093 if (!tdesc_has_registers (tdesc)
9094 && (attr_arch == TAG_CPU_ARCH_V6_M
9095 || attr_arch == TAG_CPU_ARCH_V6S_M
9096 || attr_profile == 'M'))
9097 is_m = 1;
9098#endif
9099 }
9100
9101 if (fp_model == ARM_FLOAT_AUTO)
9102 {
9103 int e_flags = elf_elfheader (info.abfd)->e_flags;
9104
9105 switch (e_flags & (EF_ARM_SOFT_FLOAT | EF_ARM_VFP_FLOAT))
9106 {
9107 case 0:
9108 /* Leave it as "auto". Strictly speaking this case
9109 means FPA, but almost nobody uses that now, and
9110 many toolchains fail to set the appropriate bits
9111 for the floating-point model they use. */
9112 break;
9113 case EF_ARM_SOFT_FLOAT:
9114 fp_model = ARM_FLOAT_SOFT_FPA;
9115 break;
9116 case EF_ARM_VFP_FLOAT:
9117 fp_model = ARM_FLOAT_VFP;
9118 break;
9119 case EF_ARM_SOFT_FLOAT | EF_ARM_VFP_FLOAT:
9120 fp_model = ARM_FLOAT_SOFT_VFP;
9121 break;
9122 }
9123 }
9124
9125 if (e_flags & EF_ARM_BE8)
9126 info.byte_order_for_code = BFD_ENDIAN_LITTLE;
9127
9128 break;
9129
9130 default:
9131 /* Leave it as "auto". */
9132 break;
9133 }
9134 }
9135
9136 /* Check any target description for validity. */
9137 if (tdesc_has_registers (tdesc))
9138 {
9139 /* For most registers we require GDB's default names; but also allow
9140 the numeric names for sp / lr / pc, as a convenience. */
9141 static const char *const arm_sp_names[] = { "r13", "sp", NULL };
9142 static const char *const arm_lr_names[] = { "r14", "lr", NULL };
9143 static const char *const arm_pc_names[] = { "r15", "pc", NULL };
9144
9145 const struct tdesc_feature *feature;
9146 int valid_p;
9147
9148 feature = tdesc_find_feature (tdesc,
9149 "org.gnu.gdb.arm.core");
9150 if (feature == NULL)
9151 {
9152 feature = tdesc_find_feature (tdesc,
9153 "org.gnu.gdb.arm.m-profile");
9154 if (feature == NULL)
9155 return NULL;
9156 else
9157 is_m = 1;
9158 }
9159
9160 tdesc_data = tdesc_data_alloc ();
9161
9162 valid_p = 1;
9163 for (i = 0; i < ARM_SP_REGNUM; i++)
9164 valid_p &= tdesc_numbered_register (feature, tdesc_data, i,
9165 arm_register_names[i]);
9166 valid_p &= tdesc_numbered_register_choices (feature, tdesc_data,
9167 ARM_SP_REGNUM,
9168 arm_sp_names);
9169 valid_p &= tdesc_numbered_register_choices (feature, tdesc_data,
9170 ARM_LR_REGNUM,
9171 arm_lr_names);
9172 valid_p &= tdesc_numbered_register_choices (feature, tdesc_data,
9173 ARM_PC_REGNUM,
9174 arm_pc_names);
9175 if (is_m)
9176 valid_p &= tdesc_numbered_register (feature, tdesc_data,
9177 ARM_PS_REGNUM, "xpsr");
9178 else
9179 valid_p &= tdesc_numbered_register (feature, tdesc_data,
9180 ARM_PS_REGNUM, "cpsr");
9181
9182 if (!valid_p)
9183 {
9184 tdesc_data_cleanup (tdesc_data);
9185 return NULL;
9186 }
9187
9188 feature = tdesc_find_feature (tdesc,
9189 "org.gnu.gdb.arm.fpa");
9190 if (feature != NULL)
9191 {
9192 valid_p = 1;
9193 for (i = ARM_F0_REGNUM; i <= ARM_FPS_REGNUM; i++)
9194 valid_p &= tdesc_numbered_register (feature, tdesc_data, i,
9195 arm_register_names[i]);
9196 if (!valid_p)
9197 {
9198 tdesc_data_cleanup (tdesc_data);
9199 return NULL;
9200 }
9201 }
9202 else
9203 have_fpa_registers = 0;
9204
9205 feature = tdesc_find_feature (tdesc,
9206 "org.gnu.gdb.xscale.iwmmxt");
9207 if (feature != NULL)
9208 {
9209 static const char *const iwmmxt_names[] = {
9210 "wR0", "wR1", "wR2", "wR3", "wR4", "wR5", "wR6", "wR7",
9211 "wR8", "wR9", "wR10", "wR11", "wR12", "wR13", "wR14", "wR15",
9212 "wCID", "wCon", "wCSSF", "wCASF", "", "", "", "",
9213 "wCGR0", "wCGR1", "wCGR2", "wCGR3", "", "", "", "",
9214 };
9215
9216 valid_p = 1;
9217 for (i = ARM_WR0_REGNUM; i <= ARM_WR15_REGNUM; i++)
9218 valid_p
9219 &= tdesc_numbered_register (feature, tdesc_data, i,
9220 iwmmxt_names[i - ARM_WR0_REGNUM]);
9221
9222 /* Check for the control registers, but do not fail if they
9223 are missing. */
9224 for (i = ARM_WC0_REGNUM; i <= ARM_WCASF_REGNUM; i++)
9225 tdesc_numbered_register (feature, tdesc_data, i,
9226 iwmmxt_names[i - ARM_WR0_REGNUM]);
9227
9228 for (i = ARM_WCGR0_REGNUM; i <= ARM_WCGR3_REGNUM; i++)
9229 valid_p
9230 &= tdesc_numbered_register (feature, tdesc_data, i,
9231 iwmmxt_names[i - ARM_WR0_REGNUM]);
9232
9233 if (!valid_p)
9234 {
9235 tdesc_data_cleanup (tdesc_data);
9236 return NULL;
9237 }
9238
9239 have_wmmx_registers = 1;
9240 }
9241
9242 /* If we have a VFP unit, check whether the single precision registers
9243 are present. If not, then we will synthesize them as pseudo
9244 registers. */
9245 feature = tdesc_find_feature (tdesc,
9246 "org.gnu.gdb.arm.vfp");
9247 if (feature != NULL)
9248 {
9249 static const char *const vfp_double_names[] = {
9250 "d0", "d1", "d2", "d3", "d4", "d5", "d6", "d7",
9251 "d8", "d9", "d10", "d11", "d12", "d13", "d14", "d15",
9252 "d16", "d17", "d18", "d19", "d20", "d21", "d22", "d23",
9253 "d24", "d25", "d26", "d27", "d28", "d29", "d30", "d31",
9254 };
9255
9256 /* Require the double precision registers. There must be either
9257 16 or 32. */
9258 valid_p = 1;
9259 for (i = 0; i < 32; i++)
9260 {
9261 valid_p &= tdesc_numbered_register (feature, tdesc_data,
9262 ARM_D0_REGNUM + i,
9263 vfp_double_names[i]);
9264 if (!valid_p)
9265 break;
9266 }
9267 if (!valid_p && i == 16)
9268 valid_p = 1;
9269
9270 /* Also require FPSCR. */
9271 valid_p &= tdesc_numbered_register (feature, tdesc_data,
9272 ARM_FPSCR_REGNUM, "fpscr");
9273 if (!valid_p)
9274 {
9275 tdesc_data_cleanup (tdesc_data);
9276 return NULL;
9277 }
9278
9279 if (tdesc_unnumbered_register (feature, "s0") == 0)
9280 have_vfp_pseudos = 1;
9281
9282 vfp_register_count = i;
9283
9284 /* If we have VFP, also check for NEON. The architecture allows
9285 NEON without VFP (integer vector operations only), but GDB
9286 does not support that. */
9287 feature = tdesc_find_feature (tdesc,
9288 "org.gnu.gdb.arm.neon");
9289 if (feature != NULL)
9290 {
9291 /* NEON requires 32 double-precision registers. */
9292 if (i != 32)
9293 {
9294 tdesc_data_cleanup (tdesc_data);
9295 return NULL;
9296 }
9297
9298 /* If there are quad registers defined by the stub, use
9299 their type; otherwise (normally) provide them with
9300 the default type. */
9301 if (tdesc_unnumbered_register (feature, "q0") == 0)
9302 have_neon_pseudos = 1;
9303
9304 have_neon = 1;
9305 }
9306 }
9307 }
9308
9309 /* If there is already a candidate, use it. */
9310 for (best_arch = gdbarch_list_lookup_by_info (arches, &info);
9311 best_arch != NULL;
9312 best_arch = gdbarch_list_lookup_by_info (best_arch->next, &info))
9313 {
9314 if (arm_abi != ARM_ABI_AUTO
9315 && arm_abi != gdbarch_tdep (best_arch->gdbarch)->arm_abi)
9316 continue;
9317
9318 if (fp_model != ARM_FLOAT_AUTO
9319 && fp_model != gdbarch_tdep (best_arch->gdbarch)->fp_model)
9320 continue;
9321
9322 /* There are various other properties in tdep that we do not
9323 need to check here: those derived from a target description,
9324 since gdbarches with a different target description are
9325 automatically disqualified. */
9326
9327 /* Do check is_m, though, since it might come from the binary. */
9328 if (is_m != gdbarch_tdep (best_arch->gdbarch)->is_m)
9329 continue;
9330
9331 /* Found a match. */
9332 break;
9333 }
9334
9335 if (best_arch != NULL)
9336 {
9337 if (tdesc_data != NULL)
9338 tdesc_data_cleanup (tdesc_data);
9339 return best_arch->gdbarch;
9340 }
9341
9342 tdep = XCNEW (struct gdbarch_tdep);
9343 gdbarch = gdbarch_alloc (&info, tdep);
9344
9345 /* Record additional information about the architecture we are defining.
9346 These are gdbarch discriminators, like the OSABI. */
9347 tdep->arm_abi = arm_abi;
9348 tdep->fp_model = fp_model;
9349 tdep->is_m = is_m;
9350 tdep->have_fpa_registers = have_fpa_registers;
9351 tdep->have_wmmx_registers = have_wmmx_registers;
9352 gdb_assert (vfp_register_count == 0
9353 || vfp_register_count == 16
9354 || vfp_register_count == 32);
9355 tdep->vfp_register_count = vfp_register_count;
9356 tdep->have_vfp_pseudos = have_vfp_pseudos;
9357 tdep->have_neon_pseudos = have_neon_pseudos;
9358 tdep->have_neon = have_neon;
9359
9360 arm_register_g_packet_guesses (gdbarch);
9361
9362 /* Breakpoints. */
9363 switch (info.byte_order_for_code)
9364 {
9365 case BFD_ENDIAN_BIG:
9366 tdep->arm_breakpoint = arm_default_arm_be_breakpoint;
9367 tdep->arm_breakpoint_size = sizeof (arm_default_arm_be_breakpoint);
9368 tdep->thumb_breakpoint = arm_default_thumb_be_breakpoint;
9369 tdep->thumb_breakpoint_size = sizeof (arm_default_thumb_be_breakpoint);
9370
9371 break;
9372
9373 case BFD_ENDIAN_LITTLE:
9374 tdep->arm_breakpoint = arm_default_arm_le_breakpoint;
9375 tdep->arm_breakpoint_size = sizeof (arm_default_arm_le_breakpoint);
9376 tdep->thumb_breakpoint = arm_default_thumb_le_breakpoint;
9377 tdep->thumb_breakpoint_size = sizeof (arm_default_thumb_le_breakpoint);
9378
9379 break;
9380
9381 default:
9382 internal_error (__FILE__, __LINE__,
9383 _("arm_gdbarch_init: bad byte order for float format"));
9384 }
9385
9386 /* On ARM targets char defaults to unsigned. */
9387 set_gdbarch_char_signed (gdbarch, 0);
9388
9389 /* wchar_t is unsigned under the AAPCS. */
9390 if (tdep->arm_abi == ARM_ABI_AAPCS)
9391 set_gdbarch_wchar_signed (gdbarch, 0);
9392 else
9393 set_gdbarch_wchar_signed (gdbarch, 1);
9394
9395 /* Note: for displaced stepping, this includes the breakpoint, and one word
9396 of additional scratch space. This setting isn't used for anything beside
9397 displaced stepping at present. */
9398 set_gdbarch_max_insn_length (gdbarch, 4 * DISPLACED_MODIFIED_INSNS);
9399
9400 /* This should be low enough for everything. */
9401 tdep->lowest_pc = 0x20;
9402 tdep->jb_pc = -1; /* Longjump support not enabled by default. */
9403
9404 /* The default, for both APCS and AAPCS, is to return small
9405 structures in registers. */
9406 tdep->struct_return = reg_struct_return;
9407
9408 set_gdbarch_push_dummy_call (gdbarch, arm_push_dummy_call);
9409 set_gdbarch_frame_align (gdbarch, arm_frame_align);
9410
9411 if (is_m)
9412 set_gdbarch_code_of_frame_writable (gdbarch, arm_code_of_frame_writable);
9413
9414 set_gdbarch_write_pc (gdbarch, arm_write_pc);
9415
9416 /* Frame handling. */
9417 set_gdbarch_dummy_id (gdbarch, arm_dummy_id);
9418 set_gdbarch_unwind_pc (gdbarch, arm_unwind_pc);
9419 set_gdbarch_unwind_sp (gdbarch, arm_unwind_sp);
9420
9421 frame_base_set_default (gdbarch, &arm_normal_base);
9422
9423 /* Address manipulation. */
9424 set_gdbarch_addr_bits_remove (gdbarch, arm_addr_bits_remove);
9425
9426 /* Advance PC across function entry code. */
9427 set_gdbarch_skip_prologue (gdbarch, arm_skip_prologue);
9428
9429 /* Detect whether PC is at a point where the stack has been destroyed. */
9430 set_gdbarch_stack_frame_destroyed_p (gdbarch, arm_stack_frame_destroyed_p);
9431
9432 /* Skip trampolines. */
9433 set_gdbarch_skip_trampoline_code (gdbarch, arm_skip_stub);
9434
9435 /* The stack grows downward. */
9436 set_gdbarch_inner_than (gdbarch, core_addr_lessthan);
9437
9438 /* Breakpoint manipulation. */
9439 set_gdbarch_breakpoint_kind_from_pc (gdbarch, arm_breakpoint_kind_from_pc);
9440 set_gdbarch_sw_breakpoint_from_kind (gdbarch, arm_sw_breakpoint_from_kind);
9441 set_gdbarch_breakpoint_kind_from_current_state (gdbarch,
9442 arm_breakpoint_kind_from_current_state);
9443
9444 /* Information about registers, etc. */
9445 set_gdbarch_sp_regnum (gdbarch, ARM_SP_REGNUM);
9446 set_gdbarch_pc_regnum (gdbarch, ARM_PC_REGNUM);
9447 set_gdbarch_num_regs (gdbarch, ARM_NUM_REGS);
9448 set_gdbarch_register_type (gdbarch, arm_register_type);
9449 set_gdbarch_register_reggroup_p (gdbarch, arm_register_reggroup_p);
9450
9451 /* This "info float" is FPA-specific. Use the generic version if we
9452 do not have FPA. */
9453 if (gdbarch_tdep (gdbarch)->have_fpa_registers)
9454 set_gdbarch_print_float_info (gdbarch, arm_print_float_info);
9455
9456 /* Internal <-> external register number maps. */
9457 set_gdbarch_dwarf2_reg_to_regnum (gdbarch, arm_dwarf_reg_to_regnum);
9458 set_gdbarch_register_sim_regno (gdbarch, arm_register_sim_regno);
9459
9460 set_gdbarch_register_name (gdbarch, arm_register_name);
9461
9462 /* Returning results. */
9463 set_gdbarch_return_value (gdbarch, arm_return_value);
9464
9465 /* Disassembly. */
9466 set_gdbarch_print_insn (gdbarch, gdb_print_insn_arm);
9467
9468 /* Minsymbol frobbing. */
9469 set_gdbarch_elf_make_msymbol_special (gdbarch, arm_elf_make_msymbol_special);
9470 set_gdbarch_coff_make_msymbol_special (gdbarch,
9471 arm_coff_make_msymbol_special);
9472 set_gdbarch_record_special_symbol (gdbarch, arm_record_special_symbol);
9473
9474 /* Thumb-2 IT block support. */
9475 set_gdbarch_adjust_breakpoint_address (gdbarch,
9476 arm_adjust_breakpoint_address);
9477
9478 /* Virtual tables. */
9479 set_gdbarch_vbit_in_delta (gdbarch, 1);
9480
9481 /* Hook in the ABI-specific overrides, if they have been registered. */
9482 gdbarch_init_osabi (info, gdbarch);
9483
9484 dwarf2_frame_set_init_reg (gdbarch, arm_dwarf2_frame_init_reg);
9485
9486 /* Add some default predicates. */
9487 if (is_m)
9488 frame_unwind_append_unwinder (gdbarch, &arm_m_exception_unwind);
9489 frame_unwind_append_unwinder (gdbarch, &arm_stub_unwind);
9490 dwarf2_append_unwinders (gdbarch);
9491 frame_unwind_append_unwinder (gdbarch, &arm_exidx_unwind);
9492 frame_unwind_append_unwinder (gdbarch, &arm_epilogue_frame_unwind);
9493 frame_unwind_append_unwinder (gdbarch, &arm_prologue_unwind);
9494
9495 /* Now we have tuned the configuration, set a few final things,
9496 based on what the OS ABI has told us. */
9497
9498 /* If the ABI is not otherwise marked, assume the old GNU APCS. EABI
9499 binaries are always marked. */
9500 if (tdep->arm_abi == ARM_ABI_AUTO)
9501 tdep->arm_abi = ARM_ABI_APCS;
9502
9503 /* Watchpoints are not steppable. */
9504 set_gdbarch_have_nonsteppable_watchpoint (gdbarch, 1);
9505
9506 /* We used to default to FPA for generic ARM, but almost nobody
9507 uses that now, and we now provide a way for the user to force
9508 the model. So default to the most useful variant. */
9509 if (tdep->fp_model == ARM_FLOAT_AUTO)
9510 tdep->fp_model = ARM_FLOAT_SOFT_FPA;
9511
9512 if (tdep->jb_pc >= 0)
9513 set_gdbarch_get_longjmp_target (gdbarch, arm_get_longjmp_target);
9514
9515 /* Floating point sizes and format. */
9516 set_gdbarch_float_format (gdbarch, floatformats_ieee_single);
9517 if (tdep->fp_model == ARM_FLOAT_SOFT_FPA || tdep->fp_model == ARM_FLOAT_FPA)
9518 {
9519 set_gdbarch_double_format
9520 (gdbarch, floatformats_ieee_double_littlebyte_bigword);
9521 set_gdbarch_long_double_format
9522 (gdbarch, floatformats_ieee_double_littlebyte_bigword);
9523 }
9524 else
9525 {
9526 set_gdbarch_double_format (gdbarch, floatformats_ieee_double);
9527 set_gdbarch_long_double_format (gdbarch, floatformats_ieee_double);
9528 }
9529
9530 if (have_vfp_pseudos)
9531 {
9532 /* NOTE: These are the only pseudo registers used by
9533 the ARM target at the moment. If more are added, a
9534 little more care in numbering will be needed. */
9535
9536 int num_pseudos = 32;
9537 if (have_neon_pseudos)
9538 num_pseudos += 16;
9539 set_gdbarch_num_pseudo_regs (gdbarch, num_pseudos);
9540 set_gdbarch_pseudo_register_read (gdbarch, arm_pseudo_read);
9541 set_gdbarch_pseudo_register_write (gdbarch, arm_pseudo_write);
9542 }
9543
9544 if (tdesc_data)
9545 {
9546 set_tdesc_pseudo_register_name (gdbarch, arm_register_name);
9547
9548 tdesc_use_registers (gdbarch, tdesc, tdesc_data);
9549
9550 /* Override tdesc_register_type to adjust the types of VFP
9551 registers for NEON. */
9552 set_gdbarch_register_type (gdbarch, arm_register_type);
9553 }
9554
9555 /* Add standard register aliases. We add aliases even for those
9556 nanes which are used by the current architecture - it's simpler,
9557 and does no harm, since nothing ever lists user registers. */
9558 for (i = 0; i < ARRAY_SIZE (arm_register_aliases); i++)
9559 user_reg_add (gdbarch, arm_register_aliases[i].name,
9560 value_of_arm_user_reg, &arm_register_aliases[i].regnum);
9561
9562 set_gdbarch_disassembler_options (gdbarch, &arm_disassembler_options);
9563 set_gdbarch_valid_disassembler_options (gdbarch, disassembler_options_arm ());
9564
9565 return gdbarch;
9566}
9567
9568static void
9569arm_dump_tdep (struct gdbarch *gdbarch, struct ui_file *file)
9570{
9571 struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
9572
9573 if (tdep == NULL)
9574 return;
9575
9576 fprintf_unfiltered (file, _("arm_dump_tdep: Lowest pc = 0x%lx"),
9577 (unsigned long) tdep->lowest_pc);
9578}
9579
9580#if GDB_SELF_TEST
9581namespace selftests
9582{
9583static void arm_record_test (void);
9584}
9585#endif
9586
9587extern initialize_file_ftype _initialize_arm_tdep; /* -Wmissing-prototypes */
9588
9589void
9590_initialize_arm_tdep (void)
9591{
9592 long length;
9593 const char *setname;
9594 const char *setdesc;
9595 int i, j;
9596 char regdesc[1024], *rdptr = regdesc;
9597 size_t rest = sizeof (regdesc);
9598
9599 gdbarch_register (bfd_arch_arm, arm_gdbarch_init, arm_dump_tdep);
9600
9601 arm_objfile_data_key
9602 = register_objfile_data_with_cleanup (NULL, arm_objfile_data_free);
9603
9604 /* Add ourselves to objfile event chain. */
9605 observer_attach_new_objfile (arm_exidx_new_objfile);
9606 arm_exidx_data_key
9607 = register_objfile_data_with_cleanup (NULL, arm_exidx_data_free);
9608
9609 /* Register an ELF OS ABI sniffer for ARM binaries. */
9610 gdbarch_register_osabi_sniffer (bfd_arch_arm,
9611 bfd_target_elf_flavour,
9612 arm_elf_osabi_sniffer);
9613
9614 /* Initialize the standard target descriptions. */
9615 initialize_tdesc_arm_with_m ();
9616 initialize_tdesc_arm_with_m_fpa_layout ();
9617 initialize_tdesc_arm_with_m_vfp_d16 ();
9618 initialize_tdesc_arm_with_iwmmxt ();
9619 initialize_tdesc_arm_with_vfpv2 ();
9620 initialize_tdesc_arm_with_vfpv3 ();
9621 initialize_tdesc_arm_with_neon ();
9622
9623 /* Add root prefix command for all "set arm"/"show arm" commands. */
9624 add_prefix_cmd ("arm", no_class, set_arm_command,
9625 _("Various ARM-specific commands."),
9626 &setarmcmdlist, "set arm ", 0, &setlist);
9627
9628 add_prefix_cmd ("arm", no_class, show_arm_command,
9629 _("Various ARM-specific commands."),
9630 &showarmcmdlist, "show arm ", 0, &showlist);
9631
9632
9633 arm_disassembler_options = xstrdup ("reg-names-std");
9634 const disasm_options_t *disasm_options = disassembler_options_arm ();
9635 int num_disassembly_styles = 0;
9636 for (i = 0; disasm_options->name[i] != NULL; i++)
9637 if (CONST_STRNEQ (disasm_options->name[i], "reg-names-"))
9638 num_disassembly_styles++;
9639
9640 /* Initialize the array that will be passed to add_setshow_enum_cmd(). */
9641 valid_disassembly_styles = XNEWVEC (const char *,
9642 num_disassembly_styles + 1);
9643 for (i = j = 0; disasm_options->name[i] != NULL; i++)
9644 if (CONST_STRNEQ (disasm_options->name[i], "reg-names-"))
9645 {
9646 size_t offset = strlen ("reg-names-");
9647 const char *style = disasm_options->name[i];
9648 valid_disassembly_styles[j++] = &style[offset];
9649 length = snprintf (rdptr, rest, "%s - %s\n", &style[offset],
9650 disasm_options->description[i]);
9651 rdptr += length;
9652 rest -= length;
9653 }
9654 /* Mark the end of valid options. */
9655 valid_disassembly_styles[num_disassembly_styles] = NULL;
9656
9657 /* Create the help text. */
9658 std::string helptext = string_printf ("%s%s%s",
9659 _("The valid values are:\n"),
9660 regdesc,
9661 _("The default is \"std\"."));
9662
9663 add_setshow_enum_cmd("disassembler", no_class,
9664 valid_disassembly_styles, &disassembly_style,
9665 _("Set the disassembly style."),
9666 _("Show the disassembly style."),
9667 helptext.c_str (),
9668 set_disassembly_style_sfunc,
9669 show_disassembly_style_sfunc,
9670 &setarmcmdlist, &showarmcmdlist);
9671
9672 add_setshow_boolean_cmd ("apcs32", no_class, &arm_apcs_32,
9673 _("Set usage of ARM 32-bit mode."),
9674 _("Show usage of ARM 32-bit mode."),
9675 _("When off, a 26-bit PC will be used."),
9676 NULL,
9677 NULL, /* FIXME: i18n: Usage of ARM 32-bit
9678 mode is %s. */
9679 &setarmcmdlist, &showarmcmdlist);
9680
9681 /* Add a command to allow the user to force the FPU model. */
9682 add_setshow_enum_cmd ("fpu", no_class, fp_model_strings, &current_fp_model,
9683 _("Set the floating point type."),
9684 _("Show the floating point type."),
9685 _("auto - Determine the FP typefrom the OS-ABI.\n\
9686softfpa - Software FP, mixed-endian doubles on little-endian ARMs.\n\
9687fpa - FPA co-processor (GCC compiled).\n\
9688softvfp - Software FP with pure-endian doubles.\n\
9689vfp - VFP co-processor."),
9690 set_fp_model_sfunc, show_fp_model,
9691 &setarmcmdlist, &showarmcmdlist);
9692
9693 /* Add a command to allow the user to force the ABI. */
9694 add_setshow_enum_cmd ("abi", class_support, arm_abi_strings, &arm_abi_string,
9695 _("Set the ABI."),
9696 _("Show the ABI."),
9697 NULL, arm_set_abi, arm_show_abi,
9698 &setarmcmdlist, &showarmcmdlist);
9699
9700 /* Add two commands to allow the user to force the assumed
9701 execution mode. */
9702 add_setshow_enum_cmd ("fallback-mode", class_support,
9703 arm_mode_strings, &arm_fallback_mode_string,
9704 _("Set the mode assumed when symbols are unavailable."),
9705 _("Show the mode assumed when symbols are unavailable."),
9706 NULL, NULL, arm_show_fallback_mode,
9707 &setarmcmdlist, &showarmcmdlist);
9708 add_setshow_enum_cmd ("force-mode", class_support,
9709 arm_mode_strings, &arm_force_mode_string,
9710 _("Set the mode assumed even when symbols are available."),
9711 _("Show the mode assumed even when symbols are available."),
9712 NULL, NULL, arm_show_force_mode,
9713 &setarmcmdlist, &showarmcmdlist);
9714
9715 /* Debugging flag. */
9716 add_setshow_boolean_cmd ("arm", class_maintenance, &arm_debug,
9717 _("Set ARM debugging."),
9718 _("Show ARM debugging."),
9719 _("When on, arm-specific debugging is enabled."),
9720 NULL,
9721 NULL, /* FIXME: i18n: "ARM debugging is %s. */
9722 &setdebuglist, &showdebuglist);
9723
9724#if GDB_SELF_TEST
9725 register_self_test (selftests::arm_record_test);
9726#endif
9727
9728}
9729
9730/* ARM-reversible process record data structures. */
9731
9732#define ARM_INSN_SIZE_BYTES 4
9733#define THUMB_INSN_SIZE_BYTES 2
9734#define THUMB2_INSN_SIZE_BYTES 4
9735
9736
9737/* Position of the bit within a 32-bit ARM instruction
9738 that defines whether the instruction is a load or store. */
9739#define INSN_S_L_BIT_NUM 20
9740
9741#define REG_ALLOC(REGS, LENGTH, RECORD_BUF) \
9742 do \
9743 { \
9744 unsigned int reg_len = LENGTH; \
9745 if (reg_len) \
9746 { \
9747 REGS = XNEWVEC (uint32_t, reg_len); \
9748 memcpy(&REGS[0], &RECORD_BUF[0], sizeof(uint32_t)*LENGTH); \
9749 } \
9750 } \
9751 while (0)
9752
9753#define MEM_ALLOC(MEMS, LENGTH, RECORD_BUF) \
9754 do \
9755 { \
9756 unsigned int mem_len = LENGTH; \
9757 if (mem_len) \
9758 { \
9759 MEMS = XNEWVEC (struct arm_mem_r, mem_len); \
9760 memcpy(&MEMS->len, &RECORD_BUF[0], \
9761 sizeof(struct arm_mem_r) * LENGTH); \
9762 } \
9763 } \
9764 while (0)
9765
9766/* Checks whether insn is already recorded or yet to be decoded. (boolean expression). */
9767#define INSN_RECORDED(ARM_RECORD) \
9768 (0 != (ARM_RECORD)->reg_rec_count || 0 != (ARM_RECORD)->mem_rec_count)
9769
9770/* ARM memory record structure. */
9771struct arm_mem_r
9772{
9773 uint32_t len; /* Record length. */
9774 uint32_t addr; /* Memory address. */
9775};
9776
9777/* ARM instruction record contains opcode of current insn
9778 and execution state (before entry to decode_insn()),
9779 contains list of to-be-modified registers and
9780 memory blocks (on return from decode_insn()). */
9781
9782typedef struct insn_decode_record_t
9783{
9784 struct gdbarch *gdbarch;
9785 struct regcache *regcache;
9786 CORE_ADDR this_addr; /* Address of the insn being decoded. */
9787 uint32_t arm_insn; /* Should accommodate thumb. */
9788 uint32_t cond; /* Condition code. */
9789 uint32_t opcode; /* Insn opcode. */
9790 uint32_t decode; /* Insn decode bits. */
9791 uint32_t mem_rec_count; /* No of mem records. */
9792 uint32_t reg_rec_count; /* No of reg records. */
9793 uint32_t *arm_regs; /* Registers to be saved for this record. */
9794 struct arm_mem_r *arm_mems; /* Memory to be saved for this record. */
9795} insn_decode_record;
9796
9797
9798/* Checks ARM SBZ and SBO mandatory fields. */
9799
9800static int
9801sbo_sbz (uint32_t insn, uint32_t bit_num, uint32_t len, uint32_t sbo)
9802{
9803 uint32_t ones = bits (insn, bit_num - 1, (bit_num -1) + (len - 1));
9804
9805 if (!len)
9806 return 1;
9807
9808 if (!sbo)
9809 ones = ~ones;
9810
9811 while (ones)
9812 {
9813 if (!(ones & sbo))
9814 {
9815 return 0;
9816 }
9817 ones = ones >> 1;
9818 }
9819 return 1;
9820}
9821
9822enum arm_record_result
9823{
9824 ARM_RECORD_SUCCESS = 0,
9825 ARM_RECORD_FAILURE = 1
9826};
9827
9828typedef enum
9829{
9830 ARM_RECORD_STRH=1,
9831 ARM_RECORD_STRD
9832} arm_record_strx_t;
9833
9834typedef enum
9835{
9836 ARM_RECORD=1,
9837 THUMB_RECORD,
9838 THUMB2_RECORD
9839} record_type_t;
9840
9841
9842static int
9843arm_record_strx (insn_decode_record *arm_insn_r, uint32_t *record_buf,
9844 uint32_t *record_buf_mem, arm_record_strx_t str_type)
9845{
9846
9847 struct regcache *reg_cache = arm_insn_r->regcache;
9848 ULONGEST u_regval[2]= {0};
9849
9850 uint32_t reg_src1 = 0, reg_src2 = 0;
9851 uint32_t immed_high = 0, immed_low = 0,offset_8 = 0, tgt_mem_addr = 0;
9852
9853 arm_insn_r->opcode = bits (arm_insn_r->arm_insn, 21, 24);
9854 arm_insn_r->decode = bits (arm_insn_r->arm_insn, 4, 7);
9855
9856 if (14 == arm_insn_r->opcode || 10 == arm_insn_r->opcode)
9857 {
9858 /* 1) Handle misc store, immediate offset. */
9859 immed_low = bits (arm_insn_r->arm_insn, 0, 3);
9860 immed_high = bits (arm_insn_r->arm_insn, 8, 11);
9861 reg_src1 = bits (arm_insn_r->arm_insn, 16, 19);
9862 regcache_raw_read_unsigned (reg_cache, reg_src1,
9863 &u_regval[0]);
9864 if (ARM_PC_REGNUM == reg_src1)
9865 {
9866 /* If R15 was used as Rn, hence current PC+8. */
9867 u_regval[0] = u_regval[0] + 8;
9868 }
9869 offset_8 = (immed_high << 4) | immed_low;
9870 /* Calculate target store address. */
9871 if (14 == arm_insn_r->opcode)
9872 {
9873 tgt_mem_addr = u_regval[0] + offset_8;
9874 }
9875 else
9876 {
9877 tgt_mem_addr = u_regval[0] - offset_8;
9878 }
9879 if (ARM_RECORD_STRH == str_type)
9880 {
9881 record_buf_mem[0] = 2;
9882 record_buf_mem[1] = tgt_mem_addr;
9883 arm_insn_r->mem_rec_count = 1;
9884 }
9885 else if (ARM_RECORD_STRD == str_type)
9886 {
9887 record_buf_mem[0] = 4;
9888 record_buf_mem[1] = tgt_mem_addr;
9889 record_buf_mem[2] = 4;
9890 record_buf_mem[3] = tgt_mem_addr + 4;
9891 arm_insn_r->mem_rec_count = 2;
9892 }
9893 }
9894 else if (12 == arm_insn_r->opcode || 8 == arm_insn_r->opcode)
9895 {
9896 /* 2) Store, register offset. */
9897 /* Get Rm. */
9898 reg_src1 = bits (arm_insn_r->arm_insn, 0, 3);
9899 /* Get Rn. */
9900 reg_src2 = bits (arm_insn_r->arm_insn, 16, 19);
9901 regcache_raw_read_unsigned (reg_cache, reg_src1, &u_regval[0]);
9902 regcache_raw_read_unsigned (reg_cache, reg_src2, &u_regval[1]);
9903 if (15 == reg_src2)
9904 {
9905 /* If R15 was used as Rn, hence current PC+8. */
9906 u_regval[0] = u_regval[0] + 8;
9907 }
9908 /* Calculate target store address, Rn +/- Rm, register offset. */
9909 if (12 == arm_insn_r->opcode)
9910 {
9911 tgt_mem_addr = u_regval[0] + u_regval[1];
9912 }
9913 else
9914 {
9915 tgt_mem_addr = u_regval[1] - u_regval[0];
9916 }
9917 if (ARM_RECORD_STRH == str_type)
9918 {
9919 record_buf_mem[0] = 2;
9920 record_buf_mem[1] = tgt_mem_addr;
9921 arm_insn_r->mem_rec_count = 1;
9922 }
9923 else if (ARM_RECORD_STRD == str_type)
9924 {
9925 record_buf_mem[0] = 4;
9926 record_buf_mem[1] = tgt_mem_addr;
9927 record_buf_mem[2] = 4;
9928 record_buf_mem[3] = tgt_mem_addr + 4;
9929 arm_insn_r->mem_rec_count = 2;
9930 }
9931 }
9932 else if (11 == arm_insn_r->opcode || 15 == arm_insn_r->opcode
9933 || 2 == arm_insn_r->opcode || 6 == arm_insn_r->opcode)
9934 {
9935 /* 3) Store, immediate pre-indexed. */
9936 /* 5) Store, immediate post-indexed. */
9937 immed_low = bits (arm_insn_r->arm_insn, 0, 3);
9938 immed_high = bits (arm_insn_r->arm_insn, 8, 11);
9939 offset_8 = (immed_high << 4) | immed_low;
9940 reg_src1 = bits (arm_insn_r->arm_insn, 16, 19);
9941 regcache_raw_read_unsigned (reg_cache, reg_src1, &u_regval[0]);
9942 /* Calculate target store address, Rn +/- Rm, register offset. */
9943 if (15 == arm_insn_r->opcode || 6 == arm_insn_r->opcode)
9944 {
9945 tgt_mem_addr = u_regval[0] + offset_8;
9946 }
9947 else
9948 {
9949 tgt_mem_addr = u_regval[0] - offset_8;
9950 }
9951 if (ARM_RECORD_STRH == str_type)
9952 {
9953 record_buf_mem[0] = 2;
9954 record_buf_mem[1] = tgt_mem_addr;
9955 arm_insn_r->mem_rec_count = 1;
9956 }
9957 else if (ARM_RECORD_STRD == str_type)
9958 {
9959 record_buf_mem[0] = 4;
9960 record_buf_mem[1] = tgt_mem_addr;
9961 record_buf_mem[2] = 4;
9962 record_buf_mem[3] = tgt_mem_addr + 4;
9963 arm_insn_r->mem_rec_count = 2;
9964 }
9965 /* Record Rn also as it changes. */
9966 *(record_buf) = bits (arm_insn_r->arm_insn, 16, 19);
9967 arm_insn_r->reg_rec_count = 1;
9968 }
9969 else if (9 == arm_insn_r->opcode || 13 == arm_insn_r->opcode
9970 || 0 == arm_insn_r->opcode || 4 == arm_insn_r->opcode)
9971 {
9972 /* 4) Store, register pre-indexed. */
9973 /* 6) Store, register post -indexed. */
9974 reg_src1 = bits (arm_insn_r->arm_insn, 0, 3);
9975 reg_src2 = bits (arm_insn_r->arm_insn, 16, 19);
9976 regcache_raw_read_unsigned (reg_cache, reg_src1, &u_regval[0]);
9977 regcache_raw_read_unsigned (reg_cache, reg_src2, &u_regval[1]);
9978 /* Calculate target store address, Rn +/- Rm, register offset. */
9979 if (13 == arm_insn_r->opcode || 4 == arm_insn_r->opcode)
9980 {
9981 tgt_mem_addr = u_regval[0] + u_regval[1];
9982 }
9983 else
9984 {
9985 tgt_mem_addr = u_regval[1] - u_regval[0];
9986 }
9987 if (ARM_RECORD_STRH == str_type)
9988 {
9989 record_buf_mem[0] = 2;
9990 record_buf_mem[1] = tgt_mem_addr;
9991 arm_insn_r->mem_rec_count = 1;
9992 }
9993 else if (ARM_RECORD_STRD == str_type)
9994 {
9995 record_buf_mem[0] = 4;
9996 record_buf_mem[1] = tgt_mem_addr;
9997 record_buf_mem[2] = 4;
9998 record_buf_mem[3] = tgt_mem_addr + 4;
9999 arm_insn_r->mem_rec_count = 2;
10000 }
10001 /* Record Rn also as it changes. */
10002 *(record_buf) = bits (arm_insn_r->arm_insn, 16, 19);
10003 arm_insn_r->reg_rec_count = 1;
10004 }
10005 return 0;
10006}
10007
10008/* Handling ARM extension space insns. */
10009
10010static int
10011arm_record_extension_space (insn_decode_record *arm_insn_r)
10012{
10013 uint32_t ret = 0; /* Return value: -1:record failure ; 0:success */
10014 uint32_t opcode1 = 0, opcode2 = 0, insn_op1 = 0;
10015 uint32_t record_buf[8], record_buf_mem[8];
10016 uint32_t reg_src1 = 0;
10017 struct regcache *reg_cache = arm_insn_r->regcache;
10018 ULONGEST u_regval = 0;
10019
10020 gdb_assert (!INSN_RECORDED(arm_insn_r));
10021 /* Handle unconditional insn extension space. */
10022
10023 opcode1 = bits (arm_insn_r->arm_insn, 20, 27);
10024 opcode2 = bits (arm_insn_r->arm_insn, 4, 7);
10025 if (arm_insn_r->cond)
10026 {
10027 /* PLD has no affect on architectural state, it just affects
10028 the caches. */
10029 if (5 == ((opcode1 & 0xE0) >> 5))
10030 {
10031 /* BLX(1) */
10032 record_buf[0] = ARM_PS_REGNUM;
10033 record_buf[1] = ARM_LR_REGNUM;
10034 arm_insn_r->reg_rec_count = 2;
10035 }
10036 /* STC2, LDC2, MCR2, MRC2, CDP2: <TBD>, co-processor insn. */
10037 }
10038
10039
10040 opcode1 = bits (arm_insn_r->arm_insn, 25, 27);
10041 if (3 == opcode1 && bit (arm_insn_r->arm_insn, 4))
10042 {
10043 ret = -1;
10044 /* Undefined instruction on ARM V5; need to handle if later
10045 versions define it. */
10046 }
10047
10048 opcode1 = bits (arm_insn_r->arm_insn, 24, 27);
10049 opcode2 = bits (arm_insn_r->arm_insn, 4, 7);
10050 insn_op1 = bits (arm_insn_r->arm_insn, 20, 23);
10051
10052 /* Handle arithmetic insn extension space. */
10053 if (!opcode1 && 9 == opcode2 && 1 != arm_insn_r->cond
10054 && !INSN_RECORDED(arm_insn_r))
10055 {
10056 /* Handle MLA(S) and MUL(S). */
10057 if (0 <= insn_op1 && 3 >= insn_op1)
10058 {
10059 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10060 record_buf[1] = ARM_PS_REGNUM;
10061 arm_insn_r->reg_rec_count = 2;
10062 }
10063 else if (4 <= insn_op1 && 15 >= insn_op1)
10064 {
10065 /* Handle SMLAL(S), SMULL(S), UMLAL(S), UMULL(S). */
10066 record_buf[0] = bits (arm_insn_r->arm_insn, 16, 19);
10067 record_buf[1] = bits (arm_insn_r->arm_insn, 12, 15);
10068 record_buf[2] = ARM_PS_REGNUM;
10069 arm_insn_r->reg_rec_count = 3;
10070 }
10071 }
10072
10073 opcode1 = bits (arm_insn_r->arm_insn, 26, 27);
10074 opcode2 = bits (arm_insn_r->arm_insn, 23, 24);
10075 insn_op1 = bits (arm_insn_r->arm_insn, 21, 22);
10076
10077 /* Handle control insn extension space. */
10078
10079 if (!opcode1 && 2 == opcode2 && !bit (arm_insn_r->arm_insn, 20)
10080 && 1 != arm_insn_r->cond && !INSN_RECORDED(arm_insn_r))
10081 {
10082 if (!bit (arm_insn_r->arm_insn,25))
10083 {
10084 if (!bits (arm_insn_r->arm_insn, 4, 7))
10085 {
10086 if ((0 == insn_op1) || (2 == insn_op1))
10087 {
10088 /* MRS. */
10089 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10090 arm_insn_r->reg_rec_count = 1;
10091 }
10092 else if (1 == insn_op1)
10093 {
10094 /* CSPR is going to be changed. */
10095 record_buf[0] = ARM_PS_REGNUM;
10096 arm_insn_r->reg_rec_count = 1;
10097 }
10098 else if (3 == insn_op1)
10099 {
10100 /* SPSR is going to be changed. */
10101 /* We need to get SPSR value, which is yet to be done. */
10102 return -1;
10103 }
10104 }
10105 else if (1 == bits (arm_insn_r->arm_insn, 4, 7))
10106 {
10107 if (1 == insn_op1)
10108 {
10109 /* BX. */
10110 record_buf[0] = ARM_PS_REGNUM;
10111 arm_insn_r->reg_rec_count = 1;
10112 }
10113 else if (3 == insn_op1)
10114 {
10115 /* CLZ. */
10116 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10117 arm_insn_r->reg_rec_count = 1;
10118 }
10119 }
10120 else if (3 == bits (arm_insn_r->arm_insn, 4, 7))
10121 {
10122 /* BLX. */
10123 record_buf[0] = ARM_PS_REGNUM;
10124 record_buf[1] = ARM_LR_REGNUM;
10125 arm_insn_r->reg_rec_count = 2;
10126 }
10127 else if (5 == bits (arm_insn_r->arm_insn, 4, 7))
10128 {
10129 /* QADD, QSUB, QDADD, QDSUB */
10130 record_buf[0] = ARM_PS_REGNUM;
10131 record_buf[1] = bits (arm_insn_r->arm_insn, 12, 15);
10132 arm_insn_r->reg_rec_count = 2;
10133 }
10134 else if (7 == bits (arm_insn_r->arm_insn, 4, 7))
10135 {
10136 /* BKPT. */
10137 record_buf[0] = ARM_PS_REGNUM;
10138 record_buf[1] = ARM_LR_REGNUM;
10139 arm_insn_r->reg_rec_count = 2;
10140
10141 /* Save SPSR also;how? */
10142 return -1;
10143 }
10144 else if(8 == bits (arm_insn_r->arm_insn, 4, 7)
10145 || 10 == bits (arm_insn_r->arm_insn, 4, 7)
10146 || 12 == bits (arm_insn_r->arm_insn, 4, 7)
10147 || 14 == bits (arm_insn_r->arm_insn, 4, 7)
10148 )
10149 {
10150 if (0 == insn_op1 || 1 == insn_op1)
10151 {
10152 /* SMLA<x><y>, SMLAW<y>, SMULW<y>. */
10153 /* We dont do optimization for SMULW<y> where we
10154 need only Rd. */
10155 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10156 record_buf[1] = ARM_PS_REGNUM;
10157 arm_insn_r->reg_rec_count = 2;
10158 }
10159 else if (2 == insn_op1)
10160 {
10161 /* SMLAL<x><y>. */
10162 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10163 record_buf[1] = bits (arm_insn_r->arm_insn, 16, 19);
10164 arm_insn_r->reg_rec_count = 2;
10165 }
10166 else if (3 == insn_op1)
10167 {
10168 /* SMUL<x><y>. */
10169 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10170 arm_insn_r->reg_rec_count = 1;
10171 }
10172 }
10173 }
10174 else
10175 {
10176 /* MSR : immediate form. */
10177 if (1 == insn_op1)
10178 {
10179 /* CSPR is going to be changed. */
10180 record_buf[0] = ARM_PS_REGNUM;
10181 arm_insn_r->reg_rec_count = 1;
10182 }
10183 else if (3 == insn_op1)
10184 {
10185 /* SPSR is going to be changed. */
10186 /* we need to get SPSR value, which is yet to be done */
10187 return -1;
10188 }
10189 }
10190 }
10191
10192 opcode1 = bits (arm_insn_r->arm_insn, 25, 27);
10193 opcode2 = bits (arm_insn_r->arm_insn, 20, 24);
10194 insn_op1 = bits (arm_insn_r->arm_insn, 5, 6);
10195
10196 /* Handle load/store insn extension space. */
10197
10198 if (!opcode1 && bit (arm_insn_r->arm_insn, 7)
10199 && bit (arm_insn_r->arm_insn, 4) && 1 != arm_insn_r->cond
10200 && !INSN_RECORDED(arm_insn_r))
10201 {
10202 /* SWP/SWPB. */
10203 if (0 == insn_op1)
10204 {
10205 /* These insn, changes register and memory as well. */
10206 /* SWP or SWPB insn. */
10207 /* Get memory address given by Rn. */
10208 reg_src1 = bits (arm_insn_r->arm_insn, 16, 19);
10209 regcache_raw_read_unsigned (reg_cache, reg_src1, &u_regval);
10210 /* SWP insn ?, swaps word. */
10211 if (8 == arm_insn_r->opcode)
10212 {
10213 record_buf_mem[0] = 4;
10214 }
10215 else
10216 {
10217 /* SWPB insn, swaps only byte. */
10218 record_buf_mem[0] = 1;
10219 }
10220 record_buf_mem[1] = u_regval;
10221 arm_insn_r->mem_rec_count = 1;
10222 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10223 arm_insn_r->reg_rec_count = 1;
10224 }
10225 else if (1 == insn_op1 && !bit (arm_insn_r->arm_insn, 20))
10226 {
10227 /* STRH. */
10228 arm_record_strx(arm_insn_r, &record_buf[0], &record_buf_mem[0],
10229 ARM_RECORD_STRH);
10230 }
10231 else if (2 == insn_op1 && !bit (arm_insn_r->arm_insn, 20))
10232 {
10233 /* LDRD. */
10234 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10235 record_buf[1] = record_buf[0] + 1;
10236 arm_insn_r->reg_rec_count = 2;
10237 }
10238 else if (3 == insn_op1 && !bit (arm_insn_r->arm_insn, 20))
10239 {
10240 /* STRD. */
10241 arm_record_strx(arm_insn_r, &record_buf[0], &record_buf_mem[0],
10242 ARM_RECORD_STRD);
10243 }
10244 else if (bit (arm_insn_r->arm_insn, 20) && insn_op1 <= 3)
10245 {
10246 /* LDRH, LDRSB, LDRSH. */
10247 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10248 arm_insn_r->reg_rec_count = 1;
10249 }
10250
10251 }
10252
10253 opcode1 = bits (arm_insn_r->arm_insn, 23, 27);
10254 if (24 == opcode1 && bit (arm_insn_r->arm_insn, 21)
10255 && !INSN_RECORDED(arm_insn_r))
10256 {
10257 ret = -1;
10258 /* Handle coprocessor insn extension space. */
10259 }
10260
10261 /* To be done for ARMv5 and later; as of now we return -1. */
10262 if (-1 == ret)
10263 return ret;
10264
10265 REG_ALLOC (arm_insn_r->arm_regs, arm_insn_r->reg_rec_count, record_buf);
10266 MEM_ALLOC (arm_insn_r->arm_mems, arm_insn_r->mem_rec_count, record_buf_mem);
10267
10268 return ret;
10269}
10270
10271/* Handling opcode 000 insns. */
10272
10273static int
10274arm_record_data_proc_misc_ld_str (insn_decode_record *arm_insn_r)
10275{
10276 struct regcache *reg_cache = arm_insn_r->regcache;
10277 uint32_t record_buf[8], record_buf_mem[8];
10278 ULONGEST u_regval[2] = {0};
10279
10280 uint32_t reg_src1 = 0, reg_dest = 0;
10281 uint32_t opcode1 = 0;
10282
10283 arm_insn_r->opcode = bits (arm_insn_r->arm_insn, 21, 24);
10284 arm_insn_r->decode = bits (arm_insn_r->arm_insn, 4, 7);
10285 opcode1 = bits (arm_insn_r->arm_insn, 20, 24);
10286
10287 /* Data processing insn /multiply insn. */
10288 if (9 == arm_insn_r->decode
10289 && ((4 <= arm_insn_r->opcode && 7 >= arm_insn_r->opcode)
10290 || (0 == arm_insn_r->opcode || 1 == arm_insn_r->opcode)))
10291 {
10292 /* Handle multiply instructions. */
10293 /* MLA, MUL, SMLAL, SMULL, UMLAL, UMULL. */
10294 if (0 == arm_insn_r->opcode || 1 == arm_insn_r->opcode)
10295 {
10296 /* Handle MLA and MUL. */
10297 record_buf[0] = bits (arm_insn_r->arm_insn, 16, 19);
10298 record_buf[1] = ARM_PS_REGNUM;
10299 arm_insn_r->reg_rec_count = 2;
10300 }
10301 else if (4 <= arm_insn_r->opcode && 7 >= arm_insn_r->opcode)
10302 {
10303 /* Handle SMLAL, SMULL, UMLAL, UMULL. */
10304 record_buf[0] = bits (arm_insn_r->arm_insn, 16, 19);
10305 record_buf[1] = bits (arm_insn_r->arm_insn, 12, 15);
10306 record_buf[2] = ARM_PS_REGNUM;
10307 arm_insn_r->reg_rec_count = 3;
10308 }
10309 }
10310 else if (bit (arm_insn_r->arm_insn, INSN_S_L_BIT_NUM)
10311 && (11 == arm_insn_r->decode || 13 == arm_insn_r->decode))
10312 {
10313 /* Handle misc load insns, as 20th bit (L = 1). */
10314 /* LDR insn has a capability to do branching, if
10315 MOV LR, PC is precceded by LDR insn having Rn as R15
10316 in that case, it emulates branch and link insn, and hence we
10317 need to save CSPR and PC as well. I am not sure this is right
10318 place; as opcode = 010 LDR insn make this happen, if R15 was
10319 used. */
10320 reg_dest = bits (arm_insn_r->arm_insn, 12, 15);
10321 if (15 != reg_dest)
10322 {
10323 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10324 arm_insn_r->reg_rec_count = 1;
10325 }
10326 else
10327 {
10328 record_buf[0] = reg_dest;
10329 record_buf[1] = ARM_PS_REGNUM;
10330 arm_insn_r->reg_rec_count = 2;
10331 }
10332 }
10333 else if ((9 == arm_insn_r->opcode || 11 == arm_insn_r->opcode)
10334 && sbo_sbz (arm_insn_r->arm_insn, 5, 12, 0)
10335 && sbo_sbz (arm_insn_r->arm_insn, 13, 4, 1)
10336 && 2 == bits (arm_insn_r->arm_insn, 20, 21))
10337 {
10338 /* Handle MSR insn. */
10339 if (9 == arm_insn_r->opcode)
10340 {
10341 /* CSPR is going to be changed. */
10342 record_buf[0] = ARM_PS_REGNUM;
10343 arm_insn_r->reg_rec_count = 1;
10344 }
10345 else
10346 {
10347 /* SPSR is going to be changed. */
10348 /* How to read SPSR value? */
10349 return -1;
10350 }
10351 }
10352 else if (9 == arm_insn_r->decode
10353 && (8 == arm_insn_r->opcode || 10 == arm_insn_r->opcode)
10354 && !bit (arm_insn_r->arm_insn, INSN_S_L_BIT_NUM))
10355 {
10356 /* Handling SWP, SWPB. */
10357 /* These insn, changes register and memory as well. */
10358 /* SWP or SWPB insn. */
10359
10360 reg_src1 = bits (arm_insn_r->arm_insn, 16, 19);
10361 regcache_raw_read_unsigned (reg_cache, reg_src1, &u_regval[0]);
10362 /* SWP insn ?, swaps word. */
10363 if (8 == arm_insn_r->opcode)
10364 {
10365 record_buf_mem[0] = 4;
10366 }
10367 else
10368 {
10369 /* SWPB insn, swaps only byte. */
10370 record_buf_mem[0] = 1;
10371 }
10372 record_buf_mem[1] = u_regval[0];
10373 arm_insn_r->mem_rec_count = 1;
10374 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10375 arm_insn_r->reg_rec_count = 1;
10376 }
10377 else if (3 == arm_insn_r->decode && 0x12 == opcode1
10378 && sbo_sbz (arm_insn_r->arm_insn, 9, 12, 1))
10379 {
10380 /* Handle BLX, branch and link/exchange. */
10381 if (9 == arm_insn_r->opcode)
10382 {
10383 /* Branch is chosen by setting T bit of CSPR, bitp[0] of Rm,
10384 and R14 stores the return address. */
10385 record_buf[0] = ARM_PS_REGNUM;
10386 record_buf[1] = ARM_LR_REGNUM;
10387 arm_insn_r->reg_rec_count = 2;
10388 }
10389 }
10390 else if (7 == arm_insn_r->decode && 0x12 == opcode1)
10391 {
10392 /* Handle enhanced software breakpoint insn, BKPT. */
10393 /* CPSR is changed to be executed in ARM state, disabling normal
10394 interrupts, entering abort mode. */
10395 /* According to high vector configuration PC is set. */
10396 /* user hit breakpoint and type reverse, in
10397 that case, we need to go back with previous CPSR and
10398 Program Counter. */
10399 record_buf[0] = ARM_PS_REGNUM;
10400 record_buf[1] = ARM_LR_REGNUM;
10401 arm_insn_r->reg_rec_count = 2;
10402
10403 /* Save SPSR also; how? */
10404 return -1;
10405 }
10406 else if (11 == arm_insn_r->decode
10407 && !bit (arm_insn_r->arm_insn, INSN_S_L_BIT_NUM))
10408 {
10409 /* Handle enhanced store insns and DSP insns (e.g. LDRD). */
10410
10411 /* Handle str(x) insn */
10412 arm_record_strx(arm_insn_r, &record_buf[0], &record_buf_mem[0],
10413 ARM_RECORD_STRH);
10414 }
10415 else if (1 == arm_insn_r->decode && 0x12 == opcode1
10416 && sbo_sbz (arm_insn_r->arm_insn, 9, 12, 1))
10417 {
10418 /* Handle BX, branch and link/exchange. */
10419 /* Branch is chosen by setting T bit of CSPR, bitp[0] of Rm. */
10420 record_buf[0] = ARM_PS_REGNUM;
10421 arm_insn_r->reg_rec_count = 1;
10422 }
10423 else if (1 == arm_insn_r->decode && 0x16 == opcode1
10424 && sbo_sbz (arm_insn_r->arm_insn, 9, 4, 1)
10425 && sbo_sbz (arm_insn_r->arm_insn, 17, 4, 1))
10426 {
10427 /* Count leading zeros: CLZ. */
10428 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10429 arm_insn_r->reg_rec_count = 1;
10430 }
10431 else if (!bit (arm_insn_r->arm_insn, INSN_S_L_BIT_NUM)
10432 && (8 == arm_insn_r->opcode || 10 == arm_insn_r->opcode)
10433 && sbo_sbz (arm_insn_r->arm_insn, 17, 4, 1)
10434 && sbo_sbz (arm_insn_r->arm_insn, 1, 12, 0)
10435 )
10436 {
10437 /* Handle MRS insn. */
10438 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10439 arm_insn_r->reg_rec_count = 1;
10440 }
10441 else if (arm_insn_r->opcode <= 15)
10442 {
10443 /* Normal data processing insns. */
10444 /* Out of 11 shifter operands mode, all the insn modifies destination
10445 register, which is specified by 13-16 decode. */
10446 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10447 record_buf[1] = ARM_PS_REGNUM;
10448 arm_insn_r->reg_rec_count = 2;
10449 }
10450 else
10451 {
10452 return -1;
10453 }
10454
10455 REG_ALLOC (arm_insn_r->arm_regs, arm_insn_r->reg_rec_count, record_buf);
10456 MEM_ALLOC (arm_insn_r->arm_mems, arm_insn_r->mem_rec_count, record_buf_mem);
10457 return 0;
10458}
10459
10460/* Handling opcode 001 insns. */
10461
10462static int
10463arm_record_data_proc_imm (insn_decode_record *arm_insn_r)
10464{
10465 uint32_t record_buf[8], record_buf_mem[8];
10466
10467 arm_insn_r->opcode = bits (arm_insn_r->arm_insn, 21, 24);
10468 arm_insn_r->decode = bits (arm_insn_r->arm_insn, 4, 7);
10469
10470 if ((9 == arm_insn_r->opcode || 11 == arm_insn_r->opcode)
10471 && 2 == bits (arm_insn_r->arm_insn, 20, 21)
10472 && sbo_sbz (arm_insn_r->arm_insn, 13, 4, 1)
10473 )
10474 {
10475 /* Handle MSR insn. */
10476 if (9 == arm_insn_r->opcode)
10477 {
10478 /* CSPR is going to be changed. */
10479 record_buf[0] = ARM_PS_REGNUM;
10480 arm_insn_r->reg_rec_count = 1;
10481 }
10482 else
10483 {
10484 /* SPSR is going to be changed. */
10485 }
10486 }
10487 else if (arm_insn_r->opcode <= 15)
10488 {
10489 /* Normal data processing insns. */
10490 /* Out of 11 shifter operands mode, all the insn modifies destination
10491 register, which is specified by 13-16 decode. */
10492 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10493 record_buf[1] = ARM_PS_REGNUM;
10494 arm_insn_r->reg_rec_count = 2;
10495 }
10496 else
10497 {
10498 return -1;
10499 }
10500
10501 REG_ALLOC (arm_insn_r->arm_regs, arm_insn_r->reg_rec_count, record_buf);
10502 MEM_ALLOC (arm_insn_r->arm_mems, arm_insn_r->mem_rec_count, record_buf_mem);
10503 return 0;
10504}
10505
10506static int
10507arm_record_media (insn_decode_record *arm_insn_r)
10508{
10509 uint32_t record_buf[8];
10510
10511 switch (bits (arm_insn_r->arm_insn, 22, 24))
10512 {
10513 case 0:
10514 /* Parallel addition and subtraction, signed */
10515 case 1:
10516 /* Parallel addition and subtraction, unsigned */
10517 case 2:
10518 case 3:
10519 /* Packing, unpacking, saturation and reversal */
10520 {
10521 int rd = bits (arm_insn_r->arm_insn, 12, 15);
10522
10523 record_buf[arm_insn_r->reg_rec_count++] = rd;
10524 }
10525 break;
10526
10527 case 4:
10528 case 5:
10529 /* Signed multiplies */
10530 {
10531 int rd = bits (arm_insn_r->arm_insn, 16, 19);
10532 unsigned int op1 = bits (arm_insn_r->arm_insn, 20, 22);
10533
10534 record_buf[arm_insn_r->reg_rec_count++] = rd;
10535 if (op1 == 0x0)
10536 record_buf[arm_insn_r->reg_rec_count++] = ARM_PS_REGNUM;
10537 else if (op1 == 0x4)
10538 record_buf[arm_insn_r->reg_rec_count++]
10539 = bits (arm_insn_r->arm_insn, 12, 15);
10540 }
10541 break;
10542
10543 case 6:
10544 {
10545 if (bit (arm_insn_r->arm_insn, 21)
10546 && bits (arm_insn_r->arm_insn, 5, 6) == 0x2)
10547 {
10548 /* SBFX */
10549 record_buf[arm_insn_r->reg_rec_count++]
10550 = bits (arm_insn_r->arm_insn, 12, 15);
10551 }
10552 else if (bits (arm_insn_r->arm_insn, 20, 21) == 0x0
10553 && bits (arm_insn_r->arm_insn, 5, 7) == 0x0)
10554 {
10555 /* USAD8 and USADA8 */
10556 record_buf[arm_insn_r->reg_rec_count++]
10557 = bits (arm_insn_r->arm_insn, 16, 19);
10558 }
10559 }
10560 break;
10561
10562 case 7:
10563 {
10564 if (bits (arm_insn_r->arm_insn, 20, 21) == 0x3
10565 && bits (arm_insn_r->arm_insn, 5, 7) == 0x7)
10566 {
10567 /* Permanently UNDEFINED */
10568 return -1;
10569 }
10570 else
10571 {
10572 /* BFC, BFI and UBFX */
10573 record_buf[arm_insn_r->reg_rec_count++]
10574 = bits (arm_insn_r->arm_insn, 12, 15);
10575 }
10576 }
10577 break;
10578
10579 default:
10580 return -1;
10581 }
10582
10583 REG_ALLOC (arm_insn_r->arm_regs, arm_insn_r->reg_rec_count, record_buf);
10584
10585 return 0;
10586}
10587
10588/* Handle ARM mode instructions with opcode 010. */
10589
10590static int
10591arm_record_ld_st_imm_offset (insn_decode_record *arm_insn_r)
10592{
10593 struct regcache *reg_cache = arm_insn_r->regcache;
10594
10595 uint32_t reg_base , reg_dest;
10596 uint32_t offset_12, tgt_mem_addr;
10597 uint32_t record_buf[8], record_buf_mem[8];
10598 unsigned char wback;
10599 ULONGEST u_regval;
10600
10601 /* Calculate wback. */
10602 wback = (bit (arm_insn_r->arm_insn, 24) == 0)
10603 || (bit (arm_insn_r->arm_insn, 21) == 1);
10604
10605 arm_insn_r->reg_rec_count = 0;
10606 reg_base = bits (arm_insn_r->arm_insn, 16, 19);
10607
10608 if (bit (arm_insn_r->arm_insn, INSN_S_L_BIT_NUM))
10609 {
10610 /* LDR (immediate), LDR (literal), LDRB (immediate), LDRB (literal), LDRBT
10611 and LDRT. */
10612
10613 reg_dest = bits (arm_insn_r->arm_insn, 12, 15);
10614 record_buf[arm_insn_r->reg_rec_count++] = reg_dest;
10615
10616 /* The LDR instruction is capable of doing branching. If MOV LR, PC
10617 preceeds a LDR instruction having R15 as reg_base, it
10618 emulates a branch and link instruction, and hence we need to save
10619 CPSR and PC as well. */
10620 if (ARM_PC_REGNUM == reg_dest)
10621 record_buf[arm_insn_r->reg_rec_count++] = ARM_PS_REGNUM;
10622
10623 /* If wback is true, also save the base register, which is going to be
10624 written to. */
10625 if (wback)
10626 record_buf[arm_insn_r->reg_rec_count++] = reg_base;
10627 }
10628 else
10629 {
10630 /* STR (immediate), STRB (immediate), STRBT and STRT. */
10631
10632 offset_12 = bits (arm_insn_r->arm_insn, 0, 11);
10633 regcache_raw_read_unsigned (reg_cache, reg_base, &u_regval);
10634
10635 /* Handle bit U. */
10636 if (bit (arm_insn_r->arm_insn, 23))
10637 {
10638 /* U == 1: Add the offset. */
10639 tgt_mem_addr = (uint32_t) u_regval + offset_12;
10640 }
10641 else
10642 {
10643 /* U == 0: subtract the offset. */
10644 tgt_mem_addr = (uint32_t) u_regval - offset_12;
10645 }
10646
10647 /* Bit 22 tells us whether the store instruction writes 1 byte or 4
10648 bytes. */
10649 if (bit (arm_insn_r->arm_insn, 22))
10650 {
10651 /* STRB and STRBT: 1 byte. */
10652 record_buf_mem[0] = 1;
10653 }
10654 else
10655 {
10656 /* STR and STRT: 4 bytes. */
10657 record_buf_mem[0] = 4;
10658 }
10659
10660 /* Handle bit P. */
10661 if (bit (arm_insn_r->arm_insn, 24))
10662 record_buf_mem[1] = tgt_mem_addr;
10663 else
10664 record_buf_mem[1] = (uint32_t) u_regval;
10665
10666 arm_insn_r->mem_rec_count = 1;
10667
10668 /* If wback is true, also save the base register, which is going to be
10669 written to. */
10670 if (wback)
10671 record_buf[arm_insn_r->reg_rec_count++] = reg_base;
10672 }
10673
10674 REG_ALLOC (arm_insn_r->arm_regs, arm_insn_r->reg_rec_count, record_buf);
10675 MEM_ALLOC (arm_insn_r->arm_mems, arm_insn_r->mem_rec_count, record_buf_mem);
10676 return 0;
10677}
10678
10679/* Handling opcode 011 insns. */
10680
10681static int
10682arm_record_ld_st_reg_offset (insn_decode_record *arm_insn_r)
10683{
10684 struct regcache *reg_cache = arm_insn_r->regcache;
10685
10686 uint32_t shift_imm = 0;
10687 uint32_t reg_src1 = 0, reg_src2 = 0, reg_dest = 0;
10688 uint32_t offset_12 = 0, tgt_mem_addr = 0;
10689 uint32_t record_buf[8], record_buf_mem[8];
10690
10691 LONGEST s_word;
10692 ULONGEST u_regval[2];
10693
10694 if (bit (arm_insn_r->arm_insn, 4))
10695 return arm_record_media (arm_insn_r);
10696
10697 arm_insn_r->opcode = bits (arm_insn_r->arm_insn, 21, 24);
10698 arm_insn_r->decode = bits (arm_insn_r->arm_insn, 4, 7);
10699
10700 /* Handle enhanced store insns and LDRD DSP insn,
10701 order begins according to addressing modes for store insns
10702 STRH insn. */
10703
10704 /* LDR or STR? */
10705 if (bit (arm_insn_r->arm_insn, INSN_S_L_BIT_NUM))
10706 {
10707 reg_dest = bits (arm_insn_r->arm_insn, 12, 15);
10708 /* LDR insn has a capability to do branching, if
10709 MOV LR, PC is precedded by LDR insn having Rn as R15
10710 in that case, it emulates branch and link insn, and hence we
10711 need to save CSPR and PC as well. */
10712 if (15 != reg_dest)
10713 {
10714 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
10715 arm_insn_r->reg_rec_count = 1;
10716 }
10717 else
10718 {
10719 record_buf[0] = reg_dest;
10720 record_buf[1] = ARM_PS_REGNUM;
10721 arm_insn_r->reg_rec_count = 2;
10722 }
10723 }
10724 else
10725 {
10726 if (! bits (arm_insn_r->arm_insn, 4, 11))
10727 {
10728 /* Store insn, register offset and register pre-indexed,
10729 register post-indexed. */
10730 /* Get Rm. */
10731 reg_src1 = bits (arm_insn_r->arm_insn, 0, 3);
10732 /* Get Rn. */
10733 reg_src2 = bits (arm_insn_r->arm_insn, 16, 19);
10734 regcache_raw_read_unsigned (reg_cache, reg_src1
10735 , &u_regval[0]);
10736 regcache_raw_read_unsigned (reg_cache, reg_src2
10737 , &u_regval[1]);
10738 if (15 == reg_src2)
10739 {
10740 /* If R15 was used as Rn, hence current PC+8. */
10741 /* Pre-indexed mode doesnt reach here ; illegal insn. */
10742 u_regval[0] = u_regval[0] + 8;
10743 }
10744 /* Calculate target store address, Rn +/- Rm, register offset. */
10745 /* U == 1. */
10746 if (bit (arm_insn_r->arm_insn, 23))
10747 {
10748 tgt_mem_addr = u_regval[0] + u_regval[1];
10749 }
10750 else
10751 {
10752 tgt_mem_addr = u_regval[1] - u_regval[0];
10753 }
10754
10755 switch (arm_insn_r->opcode)
10756 {
10757 /* STR. */
10758 case 8:
10759 case 12:
10760 /* STR. */
10761 case 9:
10762 case 13:
10763 /* STRT. */
10764 case 1:
10765 case 5:
10766 /* STR. */
10767 case 0:
10768 case 4:
10769 record_buf_mem[0] = 4;
10770 break;
10771
10772 /* STRB. */
10773 case 10:
10774 case 14:
10775 /* STRB. */
10776 case 11:
10777 case 15:
10778 /* STRBT. */
10779 case 3:
10780 case 7:
10781 /* STRB. */
10782 case 2:
10783 case 6:
10784 record_buf_mem[0] = 1;
10785 break;
10786
10787 default:
10788 gdb_assert_not_reached ("no decoding pattern found");
10789 break;
10790 }
10791 record_buf_mem[1] = tgt_mem_addr;
10792 arm_insn_r->mem_rec_count = 1;
10793
10794 if (9 == arm_insn_r->opcode || 11 == arm_insn_r->opcode
10795 || 13 == arm_insn_r->opcode || 15 == arm_insn_r->opcode
10796 || 0 == arm_insn_r->opcode || 2 == arm_insn_r->opcode
10797 || 4 == arm_insn_r->opcode || 6 == arm_insn_r->opcode
10798 || 1 == arm_insn_r->opcode || 3 == arm_insn_r->opcode
10799 || 5 == arm_insn_r->opcode || 7 == arm_insn_r->opcode
10800 )
10801 {
10802 /* Rn is going to be changed in pre-indexed mode and
10803 post-indexed mode as well. */
10804 record_buf[0] = reg_src2;
10805 arm_insn_r->reg_rec_count = 1;
10806 }
10807 }
10808 else
10809 {
10810 /* Store insn, scaled register offset; scaled pre-indexed. */
10811 offset_12 = bits (arm_insn_r->arm_insn, 5, 6);
10812 /* Get Rm. */
10813 reg_src1 = bits (arm_insn_r->arm_insn, 0, 3);
10814 /* Get Rn. */
10815 reg_src2 = bits (arm_insn_r->arm_insn, 16, 19);
10816 /* Get shift_imm. */
10817 shift_imm = bits (arm_insn_r->arm_insn, 7, 11);
10818 regcache_raw_read_unsigned (reg_cache, reg_src1, &u_regval[0]);
10819 regcache_raw_read_signed (reg_cache, reg_src1, &s_word);
10820 regcache_raw_read_unsigned (reg_cache, reg_src2, &u_regval[1]);
10821 /* Offset_12 used as shift. */
10822 switch (offset_12)
10823 {
10824 case 0:
10825 /* Offset_12 used as index. */
10826 offset_12 = u_regval[0] << shift_imm;
10827 break;
10828
10829 case 1:
10830 offset_12 = (!shift_imm)?0:u_regval[0] >> shift_imm;
10831 break;
10832
10833 case 2:
10834 if (!shift_imm)
10835 {
10836 if (bit (u_regval[0], 31))
10837 {
10838 offset_12 = 0xFFFFFFFF;
10839 }
10840 else
10841 {
10842 offset_12 = 0;
10843 }
10844 }
10845 else
10846 {
10847 /* This is arithmetic shift. */
10848 offset_12 = s_word >> shift_imm;
10849 }
10850 break;
10851
10852 case 3:
10853 if (!shift_imm)
10854 {
10855 regcache_raw_read_unsigned (reg_cache, ARM_PS_REGNUM,
10856 &u_regval[1]);
10857 /* Get C flag value and shift it by 31. */
10858 offset_12 = (((bit (u_regval[1], 29)) << 31) \
10859 | (u_regval[0]) >> 1);
10860 }
10861 else
10862 {
10863 offset_12 = (u_regval[0] >> shift_imm) \
10864 | (u_regval[0] <<
10865 (sizeof(uint32_t) - shift_imm));
10866 }
10867 break;
10868
10869 default:
10870 gdb_assert_not_reached ("no decoding pattern found");
10871 break;
10872 }
10873
10874 regcache_raw_read_unsigned (reg_cache, reg_src2, &u_regval[1]);
10875 /* bit U set. */
10876 if (bit (arm_insn_r->arm_insn, 23))
10877 {
10878 tgt_mem_addr = u_regval[1] + offset_12;
10879 }
10880 else
10881 {
10882 tgt_mem_addr = u_regval[1] - offset_12;
10883 }
10884
10885 switch (arm_insn_r->opcode)
10886 {
10887 /* STR. */
10888 case 8:
10889 case 12:
10890 /* STR. */
10891 case 9:
10892 case 13:
10893 /* STRT. */
10894 case 1:
10895 case 5:
10896 /* STR. */
10897 case 0:
10898 case 4:
10899 record_buf_mem[0] = 4;
10900 break;
10901
10902 /* STRB. */
10903 case 10:
10904 case 14:
10905 /* STRB. */
10906 case 11:
10907 case 15:
10908 /* STRBT. */
10909 case 3:
10910 case 7:
10911 /* STRB. */
10912 case 2:
10913 case 6:
10914 record_buf_mem[0] = 1;
10915 break;
10916
10917 default:
10918 gdb_assert_not_reached ("no decoding pattern found");
10919 break;
10920 }
10921 record_buf_mem[1] = tgt_mem_addr;
10922 arm_insn_r->mem_rec_count = 1;
10923
10924 if (9 == arm_insn_r->opcode || 11 == arm_insn_r->opcode
10925 || 13 == arm_insn_r->opcode || 15 == arm_insn_r->opcode
10926 || 0 == arm_insn_r->opcode || 2 == arm_insn_r->opcode
10927 || 4 == arm_insn_r->opcode || 6 == arm_insn_r->opcode
10928 || 1 == arm_insn_r->opcode || 3 == arm_insn_r->opcode
10929 || 5 == arm_insn_r->opcode || 7 == arm_insn_r->opcode
10930 )
10931 {
10932 /* Rn is going to be changed in register scaled pre-indexed
10933 mode,and scaled post indexed mode. */
10934 record_buf[0] = reg_src2;
10935 arm_insn_r->reg_rec_count = 1;
10936 }
10937 }
10938 }
10939
10940 REG_ALLOC (arm_insn_r->arm_regs, arm_insn_r->reg_rec_count, record_buf);
10941 MEM_ALLOC (arm_insn_r->arm_mems, arm_insn_r->mem_rec_count, record_buf_mem);
10942 return 0;
10943}
10944
10945/* Handle ARM mode instructions with opcode 100. */
10946
10947static int
10948arm_record_ld_st_multiple (insn_decode_record *arm_insn_r)
10949{
10950 struct regcache *reg_cache = arm_insn_r->regcache;
10951 uint32_t register_count = 0, register_bits;
10952 uint32_t reg_base, addr_mode;
10953 uint32_t record_buf[24], record_buf_mem[48];
10954 uint32_t wback;
10955 ULONGEST u_regval;
10956
10957 /* Fetch the list of registers. */
10958 register_bits = bits (arm_insn_r->arm_insn, 0, 15);
10959 arm_insn_r->reg_rec_count = 0;
10960
10961 /* Fetch the base register that contains the address we are loading data
10962 to. */
10963 reg_base = bits (arm_insn_r->arm_insn, 16, 19);
10964
10965 /* Calculate wback. */
10966 wback = (bit (arm_insn_r->arm_insn, 21) == 1);
10967
10968 if (bit (arm_insn_r->arm_insn, INSN_S_L_BIT_NUM))
10969 {
10970 /* LDM/LDMIA/LDMFD, LDMDA/LDMFA, LDMDB and LDMIB. */
10971
10972 /* Find out which registers are going to be loaded from memory. */
10973 while (register_bits)
10974 {
10975 if (register_bits & 0x00000001)
10976 record_buf[arm_insn_r->reg_rec_count++] = register_count;
10977 register_bits = register_bits >> 1;
10978 register_count++;
10979 }
10980
10981
10982 /* If wback is true, also save the base register, which is going to be
10983 written to. */
10984 if (wback)
10985 record_buf[arm_insn_r->reg_rec_count++] = reg_base;
10986
10987 /* Save the CPSR register. */
10988 record_buf[arm_insn_r->reg_rec_count++] = ARM_PS_REGNUM;
10989 }
10990 else
10991 {
10992 /* STM (STMIA, STMEA), STMDA (STMED), STMDB (STMFD) and STMIB (STMFA). */
10993
10994 addr_mode = bits (arm_insn_r->arm_insn, 23, 24);
10995
10996 regcache_raw_read_unsigned (reg_cache, reg_base, &u_regval);
10997
10998 /* Find out how many registers are going to be stored to memory. */
10999 while (register_bits)
11000 {
11001 if (register_bits & 0x00000001)
11002 register_count++;
11003 register_bits = register_bits >> 1;
11004 }
11005
11006 switch (addr_mode)
11007 {
11008 /* STMDA (STMED): Decrement after. */
11009 case 0:
11010 record_buf_mem[1] = (uint32_t) u_regval
11011 - register_count * INT_REGISTER_SIZE + 4;
11012 break;
11013 /* STM (STMIA, STMEA): Increment after. */
11014 case 1:
11015 record_buf_mem[1] = (uint32_t) u_regval;
11016 break;
11017 /* STMDB (STMFD): Decrement before. */
11018 case 2:
11019 record_buf_mem[1] = (uint32_t) u_regval
11020 - register_count * INT_REGISTER_SIZE;
11021 break;
11022 /* STMIB (STMFA): Increment before. */
11023 case 3:
11024 record_buf_mem[1] = (uint32_t) u_regval + INT_REGISTER_SIZE;
11025 break;
11026 default:
11027 gdb_assert_not_reached ("no decoding pattern found");
11028 break;
11029 }
11030
11031 record_buf_mem[0] = register_count * INT_REGISTER_SIZE;
11032 arm_insn_r->mem_rec_count = 1;
11033
11034 /* If wback is true, also save the base register, which is going to be
11035 written to. */
11036 if (wback)
11037 record_buf[arm_insn_r->reg_rec_count++] = reg_base;
11038 }
11039
11040 REG_ALLOC (arm_insn_r->arm_regs, arm_insn_r->reg_rec_count, record_buf);
11041 MEM_ALLOC (arm_insn_r->arm_mems, arm_insn_r->mem_rec_count, record_buf_mem);
11042 return 0;
11043}
11044
11045/* Handling opcode 101 insns. */
11046
11047static int
11048arm_record_b_bl (insn_decode_record *arm_insn_r)
11049{
11050 uint32_t record_buf[8];
11051
11052 /* Handle B, BL, BLX(1) insns. */
11053 /* B simply branches so we do nothing here. */
11054 /* Note: BLX(1) doesnt fall here but instead it falls into
11055 extension space. */
11056 if (bit (arm_insn_r->arm_insn, 24))
11057 {
11058 record_buf[0] = ARM_LR_REGNUM;
11059 arm_insn_r->reg_rec_count = 1;
11060 }
11061
11062 REG_ALLOC (arm_insn_r->arm_regs, arm_insn_r->reg_rec_count, record_buf);
11063
11064 return 0;
11065}
11066
11067static int
11068arm_record_unsupported_insn (insn_decode_record *arm_insn_r)
11069{
11070 printf_unfiltered (_("Process record does not support instruction "
11071 "0x%0x at address %s.\n"),arm_insn_r->arm_insn,
11072 paddress (arm_insn_r->gdbarch, arm_insn_r->this_addr));
11073
11074 return -1;
11075}
11076
11077/* Record handler for vector data transfer instructions. */
11078
11079static int
11080arm_record_vdata_transfer_insn (insn_decode_record *arm_insn_r)
11081{
11082 uint32_t bits_a, bit_c, bit_l, reg_t, reg_v;
11083 uint32_t record_buf[4];
11084
11085 reg_t = bits (arm_insn_r->arm_insn, 12, 15);
11086 reg_v = bits (arm_insn_r->arm_insn, 21, 23);
11087 bits_a = bits (arm_insn_r->arm_insn, 21, 23);
11088 bit_l = bit (arm_insn_r->arm_insn, 20);
11089 bit_c = bit (arm_insn_r->arm_insn, 8);
11090
11091 /* Handle VMOV instruction. */
11092 if (bit_l && bit_c)
11093 {
11094 record_buf[0] = reg_t;
11095 arm_insn_r->reg_rec_count = 1;
11096 }
11097 else if (bit_l && !bit_c)
11098 {
11099 /* Handle VMOV instruction. */
11100 if (bits_a == 0x00)
11101 {
11102 record_buf[0] = reg_t;
11103 arm_insn_r->reg_rec_count = 1;
11104 }
11105 /* Handle VMRS instruction. */
11106 else if (bits_a == 0x07)
11107 {
11108 if (reg_t == 15)
11109 reg_t = ARM_PS_REGNUM;
11110
11111 record_buf[0] = reg_t;
11112 arm_insn_r->reg_rec_count = 1;
11113 }
11114 }
11115 else if (!bit_l && !bit_c)
11116 {
11117 /* Handle VMOV instruction. */
11118 if (bits_a == 0x00)
11119 {
11120 record_buf[0] = ARM_D0_REGNUM + reg_v;
11121
11122 arm_insn_r->reg_rec_count = 1;
11123 }
11124 /* Handle VMSR instruction. */
11125 else if (bits_a == 0x07)
11126 {
11127 record_buf[0] = ARM_FPSCR_REGNUM;
11128 arm_insn_r->reg_rec_count = 1;
11129 }
11130 }
11131 else if (!bit_l && bit_c)
11132 {
11133 /* Handle VMOV instruction. */
11134 if (!(bits_a & 0x04))
11135 {
11136 record_buf[0] = (reg_v | (bit (arm_insn_r->arm_insn, 7) << 4))
11137 + ARM_D0_REGNUM;
11138 arm_insn_r->reg_rec_count = 1;
11139 }
11140 /* Handle VDUP instruction. */
11141 else
11142 {
11143 if (bit (arm_insn_r->arm_insn, 21))
11144 {
11145 reg_v = reg_v | (bit (arm_insn_r->arm_insn, 7) << 4);
11146 record_buf[0] = reg_v + ARM_D0_REGNUM;
11147 record_buf[1] = reg_v + ARM_D0_REGNUM + 1;
11148 arm_insn_r->reg_rec_count = 2;
11149 }
11150 else
11151 {
11152 reg_v = reg_v | (bit (arm_insn_r->arm_insn, 7) << 4);
11153 record_buf[0] = reg_v + ARM_D0_REGNUM;
11154 arm_insn_r->reg_rec_count = 1;
11155 }
11156 }
11157 }
11158
11159 REG_ALLOC (arm_insn_r->arm_regs, arm_insn_r->reg_rec_count, record_buf);
11160 return 0;
11161}
11162
11163/* Record handler for extension register load/store instructions. */
11164
11165static int
11166arm_record_exreg_ld_st_insn (insn_decode_record *arm_insn_r)
11167{
11168 uint32_t opcode, single_reg;
11169 uint8_t op_vldm_vstm;
11170 uint32_t record_buf[8], record_buf_mem[128];
11171 ULONGEST u_regval = 0;
11172
11173 struct regcache *reg_cache = arm_insn_r->regcache;
11174
11175 opcode = bits (arm_insn_r->arm_insn, 20, 24);
11176 single_reg = !bit (arm_insn_r->arm_insn, 8);
11177 op_vldm_vstm = opcode & 0x1b;
11178
11179 /* Handle VMOV instructions. */
11180 if ((opcode & 0x1e) == 0x04)
11181 {
11182 if (bit (arm_insn_r->arm_insn, 20)) /* to_arm_registers bit 20? */
11183 {
11184 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
11185 record_buf[1] = bits (arm_insn_r->arm_insn, 16, 19);
11186 arm_insn_r->reg_rec_count = 2;
11187 }
11188 else
11189 {
11190 uint8_t reg_m = bits (arm_insn_r->arm_insn, 0, 3);
11191 uint8_t bit_m = bit (arm_insn_r->arm_insn, 5);
11192
11193 if (single_reg)
11194 {
11195 /* The first S register number m is REG_M:M (M is bit 5),
11196 the corresponding D register number is REG_M:M / 2, which
11197 is REG_M. */
11198 record_buf[arm_insn_r->reg_rec_count++] = ARM_D0_REGNUM + reg_m;
11199 /* The second S register number is REG_M:M + 1, the
11200 corresponding D register number is (REG_M:M + 1) / 2.
11201 IOW, if bit M is 1, the first and second S registers
11202 are mapped to different D registers, otherwise, they are
11203 in the same D register. */
11204 if (bit_m)
11205 {
11206 record_buf[arm_insn_r->reg_rec_count++]
11207 = ARM_D0_REGNUM + reg_m + 1;
11208 }
11209 }
11210 else
11211 {
11212 record_buf[0] = ((bit_m << 4) + reg_m + ARM_D0_REGNUM);
11213 arm_insn_r->reg_rec_count = 1;
11214 }
11215 }
11216 }
11217 /* Handle VSTM and VPUSH instructions. */
11218 else if (op_vldm_vstm == 0x08 || op_vldm_vstm == 0x0a
11219 || op_vldm_vstm == 0x12)
11220 {
11221 uint32_t start_address, reg_rn, imm_off32, imm_off8, memory_count;
11222 uint32_t memory_index = 0;
11223
11224 reg_rn = bits (arm_insn_r->arm_insn, 16, 19);
11225 regcache_raw_read_unsigned (reg_cache, reg_rn, &u_regval);
11226 imm_off8 = bits (arm_insn_r->arm_insn, 0, 7);
11227 imm_off32 = imm_off8 << 2;
11228 memory_count = imm_off8;
11229
11230 if (bit (arm_insn_r->arm_insn, 23))
11231 start_address = u_regval;
11232 else
11233 start_address = u_regval - imm_off32;
11234
11235 if (bit (arm_insn_r->arm_insn, 21))
11236 {
11237 record_buf[0] = reg_rn;
11238 arm_insn_r->reg_rec_count = 1;
11239 }
11240
11241 while (memory_count > 0)
11242 {
11243 if (single_reg)
11244 {
11245 record_buf_mem[memory_index] = 4;
11246 record_buf_mem[memory_index + 1] = start_address;
11247 start_address = start_address + 4;
11248 memory_index = memory_index + 2;
11249 }
11250 else
11251 {
11252 record_buf_mem[memory_index] = 4;
11253 record_buf_mem[memory_index + 1] = start_address;
11254 record_buf_mem[memory_index + 2] = 4;
11255 record_buf_mem[memory_index + 3] = start_address + 4;
11256 start_address = start_address + 8;
11257 memory_index = memory_index + 4;
11258 }
11259 memory_count--;
11260 }
11261 arm_insn_r->mem_rec_count = (memory_index >> 1);
11262 }
11263 /* Handle VLDM instructions. */
11264 else if (op_vldm_vstm == 0x09 || op_vldm_vstm == 0x0b
11265 || op_vldm_vstm == 0x13)
11266 {
11267 uint32_t reg_count, reg_vd;
11268 uint32_t reg_index = 0;
11269 uint32_t bit_d = bit (arm_insn_r->arm_insn, 22);
11270
11271 reg_vd = bits (arm_insn_r->arm_insn, 12, 15);
11272 reg_count = bits (arm_insn_r->arm_insn, 0, 7);
11273
11274 /* REG_VD is the first D register number. If the instruction
11275 loads memory to S registers (SINGLE_REG is TRUE), the register
11276 number is (REG_VD << 1 | bit D), so the corresponding D
11277 register number is (REG_VD << 1 | bit D) / 2 = REG_VD. */
11278 if (!single_reg)
11279 reg_vd = reg_vd | (bit_d << 4);
11280
11281 if (bit (arm_insn_r->arm_insn, 21) /* write back */)
11282 record_buf[reg_index++] = bits (arm_insn_r->arm_insn, 16, 19);
11283
11284 /* If the instruction loads memory to D register, REG_COUNT should
11285 be divided by 2, according to the ARM Architecture Reference
11286 Manual. If the instruction loads memory to S register, divide by
11287 2 as well because two S registers are mapped to D register. */
11288 reg_count = reg_count / 2;
11289 if (single_reg && bit_d)
11290 {
11291 /* Increase the register count if S register list starts from
11292 an odd number (bit d is one). */
11293 reg_count++;
11294 }
11295
11296 while (reg_count > 0)
11297 {
11298 record_buf[reg_index++] = ARM_D0_REGNUM + reg_vd + reg_count - 1;
11299 reg_count--;
11300 }
11301 arm_insn_r->reg_rec_count = reg_index;
11302 }
11303 /* VSTR Vector store register. */
11304 else if ((opcode & 0x13) == 0x10)
11305 {
11306 uint32_t start_address, reg_rn, imm_off32, imm_off8;
11307 uint32_t memory_index = 0;
11308
11309 reg_rn = bits (arm_insn_r->arm_insn, 16, 19);
11310 regcache_raw_read_unsigned (reg_cache, reg_rn, &u_regval);
11311 imm_off8 = bits (arm_insn_r->arm_insn, 0, 7);
11312 imm_off32 = imm_off8 << 2;
11313
11314 if (bit (arm_insn_r->arm_insn, 23))
11315 start_address = u_regval + imm_off32;
11316 else
11317 start_address = u_regval - imm_off32;
11318
11319 if (single_reg)
11320 {
11321 record_buf_mem[memory_index] = 4;
11322 record_buf_mem[memory_index + 1] = start_address;
11323 arm_insn_r->mem_rec_count = 1;
11324 }
11325 else
11326 {
11327 record_buf_mem[memory_index] = 4;
11328 record_buf_mem[memory_index + 1] = start_address;
11329 record_buf_mem[memory_index + 2] = 4;
11330 record_buf_mem[memory_index + 3] = start_address + 4;
11331 arm_insn_r->mem_rec_count = 2;
11332 }
11333 }
11334 /* VLDR Vector load register. */
11335 else if ((opcode & 0x13) == 0x11)
11336 {
11337 uint32_t reg_vd = bits (arm_insn_r->arm_insn, 12, 15);
11338
11339 if (!single_reg)
11340 {
11341 reg_vd = reg_vd | (bit (arm_insn_r->arm_insn, 22) << 4);
11342 record_buf[0] = ARM_D0_REGNUM + reg_vd;
11343 }
11344 else
11345 {
11346 reg_vd = (reg_vd << 1) | bit (arm_insn_r->arm_insn, 22);
11347 /* Record register D rather than pseudo register S. */
11348 record_buf[0] = ARM_D0_REGNUM + reg_vd / 2;
11349 }
11350 arm_insn_r->reg_rec_count = 1;
11351 }
11352
11353 REG_ALLOC (arm_insn_r->arm_regs, arm_insn_r->reg_rec_count, record_buf);
11354 MEM_ALLOC (arm_insn_r->arm_mems, arm_insn_r->mem_rec_count, record_buf_mem);
11355 return 0;
11356}
11357
11358/* Record handler for arm/thumb mode VFP data processing instructions. */
11359
11360static int
11361arm_record_vfp_data_proc_insn (insn_decode_record *arm_insn_r)
11362{
11363 uint32_t opc1, opc2, opc3, dp_op_sz, bit_d, reg_vd;
11364 uint32_t record_buf[4];
11365 enum insn_types {INSN_T0, INSN_T1, INSN_T2, INSN_T3, INSN_INV};
11366 enum insn_types curr_insn_type = INSN_INV;
11367
11368 reg_vd = bits (arm_insn_r->arm_insn, 12, 15);
11369 opc1 = bits (arm_insn_r->arm_insn, 20, 23);
11370 opc2 = bits (arm_insn_r->arm_insn, 16, 19);
11371 opc3 = bits (arm_insn_r->arm_insn, 6, 7);
11372 dp_op_sz = bit (arm_insn_r->arm_insn, 8);
11373 bit_d = bit (arm_insn_r->arm_insn, 22);
11374 opc1 = opc1 & 0x04;
11375
11376 /* Handle VMLA, VMLS. */
11377 if (opc1 == 0x00)
11378 {
11379 if (bit (arm_insn_r->arm_insn, 10))
11380 {
11381 if (bit (arm_insn_r->arm_insn, 6))
11382 curr_insn_type = INSN_T0;
11383 else
11384 curr_insn_type = INSN_T1;
11385 }
11386 else
11387 {
11388 if (dp_op_sz)
11389 curr_insn_type = INSN_T1;
11390 else
11391 curr_insn_type = INSN_T2;
11392 }
11393 }
11394 /* Handle VNMLA, VNMLS, VNMUL. */
11395 else if (opc1 == 0x01)
11396 {
11397 if (dp_op_sz)
11398 curr_insn_type = INSN_T1;
11399 else
11400 curr_insn_type = INSN_T2;
11401 }
11402 /* Handle VMUL. */
11403 else if (opc1 == 0x02 && !(opc3 & 0x01))
11404 {
11405 if (bit (arm_insn_r->arm_insn, 10))
11406 {
11407 if (bit (arm_insn_r->arm_insn, 6))
11408 curr_insn_type = INSN_T0;
11409 else
11410 curr_insn_type = INSN_T1;
11411 }
11412 else
11413 {
11414 if (dp_op_sz)
11415 curr_insn_type = INSN_T1;
11416 else
11417 curr_insn_type = INSN_T2;
11418 }
11419 }
11420 /* Handle VADD, VSUB. */
11421 else if (opc1 == 0x03)
11422 {
11423 if (!bit (arm_insn_r->arm_insn, 9))
11424 {
11425 if (bit (arm_insn_r->arm_insn, 6))
11426 curr_insn_type = INSN_T0;
11427 else
11428 curr_insn_type = INSN_T1;
11429 }
11430 else
11431 {
11432 if (dp_op_sz)
11433 curr_insn_type = INSN_T1;
11434 else
11435 curr_insn_type = INSN_T2;
11436 }
11437 }
11438 /* Handle VDIV. */
11439 else if (opc1 == 0x0b)
11440 {
11441 if (dp_op_sz)
11442 curr_insn_type = INSN_T1;
11443 else
11444 curr_insn_type = INSN_T2;
11445 }
11446 /* Handle all other vfp data processing instructions. */
11447 else if (opc1 == 0x0b)
11448 {
11449 /* Handle VMOV. */
11450 if (!(opc3 & 0x01) || (opc2 == 0x00 && opc3 == 0x01))
11451 {
11452 if (bit (arm_insn_r->arm_insn, 4))
11453 {
11454 if (bit (arm_insn_r->arm_insn, 6))
11455 curr_insn_type = INSN_T0;
11456 else
11457 curr_insn_type = INSN_T1;
11458 }
11459 else
11460 {
11461 if (dp_op_sz)
11462 curr_insn_type = INSN_T1;
11463 else
11464 curr_insn_type = INSN_T2;
11465 }
11466 }
11467 /* Handle VNEG and VABS. */
11468 else if ((opc2 == 0x01 && opc3 == 0x01)
11469 || (opc2 == 0x00 && opc3 == 0x03))
11470 {
11471 if (!bit (arm_insn_r->arm_insn, 11))
11472 {
11473 if (bit (arm_insn_r->arm_insn, 6))
11474 curr_insn_type = INSN_T0;
11475 else
11476 curr_insn_type = INSN_T1;
11477 }
11478 else
11479 {
11480 if (dp_op_sz)
11481 curr_insn_type = INSN_T1;
11482 else
11483 curr_insn_type = INSN_T2;
11484 }
11485 }
11486 /* Handle VSQRT. */
11487 else if (opc2 == 0x01 && opc3 == 0x03)
11488 {
11489 if (dp_op_sz)
11490 curr_insn_type = INSN_T1;
11491 else
11492 curr_insn_type = INSN_T2;
11493 }
11494 /* Handle VCVT. */
11495 else if (opc2 == 0x07 && opc3 == 0x03)
11496 {
11497 if (!dp_op_sz)
11498 curr_insn_type = INSN_T1;
11499 else
11500 curr_insn_type = INSN_T2;
11501 }
11502 else if (opc3 & 0x01)
11503 {
11504 /* Handle VCVT. */
11505 if ((opc2 == 0x08) || (opc2 & 0x0e) == 0x0c)
11506 {
11507 if (!bit (arm_insn_r->arm_insn, 18))
11508 curr_insn_type = INSN_T2;
11509 else
11510 {
11511 if (dp_op_sz)
11512 curr_insn_type = INSN_T1;
11513 else
11514 curr_insn_type = INSN_T2;
11515 }
11516 }
11517 /* Handle VCVT. */
11518 else if ((opc2 & 0x0e) == 0x0a || (opc2 & 0x0e) == 0x0e)
11519 {
11520 if (dp_op_sz)
11521 curr_insn_type = INSN_T1;
11522 else
11523 curr_insn_type = INSN_T2;
11524 }
11525 /* Handle VCVTB, VCVTT. */
11526 else if ((opc2 & 0x0e) == 0x02)
11527 curr_insn_type = INSN_T2;
11528 /* Handle VCMP, VCMPE. */
11529 else if ((opc2 & 0x0e) == 0x04)
11530 curr_insn_type = INSN_T3;
11531 }
11532 }
11533
11534 switch (curr_insn_type)
11535 {
11536 case INSN_T0:
11537 reg_vd = reg_vd | (bit_d << 4);
11538 record_buf[0] = reg_vd + ARM_D0_REGNUM;
11539 record_buf[1] = reg_vd + ARM_D0_REGNUM + 1;
11540 arm_insn_r->reg_rec_count = 2;
11541 break;
11542
11543 case INSN_T1:
11544 reg_vd = reg_vd | (bit_d << 4);
11545 record_buf[0] = reg_vd + ARM_D0_REGNUM;
11546 arm_insn_r->reg_rec_count = 1;
11547 break;
11548
11549 case INSN_T2:
11550 reg_vd = (reg_vd << 1) | bit_d;
11551 record_buf[0] = reg_vd + ARM_D0_REGNUM;
11552 arm_insn_r->reg_rec_count = 1;
11553 break;
11554
11555 case INSN_T3:
11556 record_buf[0] = ARM_FPSCR_REGNUM;
11557 arm_insn_r->reg_rec_count = 1;
11558 break;
11559
11560 default:
11561 gdb_assert_not_reached ("no decoding pattern found");
11562 break;
11563 }
11564
11565 REG_ALLOC (arm_insn_r->arm_regs, arm_insn_r->reg_rec_count, record_buf);
11566 return 0;
11567}
11568
11569/* Handling opcode 110 insns. */
11570
11571static int
11572arm_record_asimd_vfp_coproc (insn_decode_record *arm_insn_r)
11573{
11574 uint32_t op1, op1_ebit, coproc;
11575
11576 coproc = bits (arm_insn_r->arm_insn, 8, 11);
11577 op1 = bits (arm_insn_r->arm_insn, 20, 25);
11578 op1_ebit = bit (arm_insn_r->arm_insn, 20);
11579
11580 if ((coproc & 0x0e) == 0x0a)
11581 {
11582 /* Handle extension register ld/st instructions. */
11583 if (!(op1 & 0x20))
11584 return arm_record_exreg_ld_st_insn (arm_insn_r);
11585
11586 /* 64-bit transfers between arm core and extension registers. */
11587 if ((op1 & 0x3e) == 0x04)
11588 return arm_record_exreg_ld_st_insn (arm_insn_r);
11589 }
11590 else
11591 {
11592 /* Handle coprocessor ld/st instructions. */
11593 if (!(op1 & 0x3a))
11594 {
11595 /* Store. */
11596 if (!op1_ebit)
11597 return arm_record_unsupported_insn (arm_insn_r);
11598 else
11599 /* Load. */
11600 return arm_record_unsupported_insn (arm_insn_r);
11601 }
11602
11603 /* Move to coprocessor from two arm core registers. */
11604 if (op1 == 0x4)
11605 return arm_record_unsupported_insn (arm_insn_r);
11606
11607 /* Move to two arm core registers from coprocessor. */
11608 if (op1 == 0x5)
11609 {
11610 uint32_t reg_t[2];
11611
11612 reg_t[0] = bits (arm_insn_r->arm_insn, 12, 15);
11613 reg_t[1] = bits (arm_insn_r->arm_insn, 16, 19);
11614 arm_insn_r->reg_rec_count = 2;
11615
11616 REG_ALLOC (arm_insn_r->arm_regs, arm_insn_r->reg_rec_count, reg_t);
11617 return 0;
11618 }
11619 }
11620 return arm_record_unsupported_insn (arm_insn_r);
11621}
11622
11623/* Handling opcode 111 insns. */
11624
11625static int
11626arm_record_coproc_data_proc (insn_decode_record *arm_insn_r)
11627{
11628 uint32_t op, op1_sbit, op1_ebit, coproc;
11629 struct gdbarch_tdep *tdep = gdbarch_tdep (arm_insn_r->gdbarch);
11630 struct regcache *reg_cache = arm_insn_r->regcache;
11631
11632 arm_insn_r->opcode = bits (arm_insn_r->arm_insn, 24, 27);
11633 coproc = bits (arm_insn_r->arm_insn, 8, 11);
11634 op1_sbit = bit (arm_insn_r->arm_insn, 24);
11635 op1_ebit = bit (arm_insn_r->arm_insn, 20);
11636 op = bit (arm_insn_r->arm_insn, 4);
11637
11638 /* Handle arm SWI/SVC system call instructions. */
11639 if (op1_sbit)
11640 {
11641 if (tdep->arm_syscall_record != NULL)
11642 {
11643 ULONGEST svc_operand, svc_number;
11644
11645 svc_operand = (0x00ffffff & arm_insn_r->arm_insn);
11646
11647 if (svc_operand) /* OABI. */
11648 svc_number = svc_operand - 0x900000;
11649 else /* EABI. */
11650 regcache_raw_read_unsigned (reg_cache, 7, &svc_number);
11651
11652 return tdep->arm_syscall_record (reg_cache, svc_number);
11653 }
11654 else
11655 {
11656 printf_unfiltered (_("no syscall record support\n"));
11657 return -1;
11658 }
11659 }
11660
11661 if ((coproc & 0x0e) == 0x0a)
11662 {
11663 /* VFP data-processing instructions. */
11664 if (!op1_sbit && !op)
11665 return arm_record_vfp_data_proc_insn (arm_insn_r);
11666
11667 /* Advanced SIMD, VFP instructions. */
11668 if (!op1_sbit && op)
11669 return arm_record_vdata_transfer_insn (arm_insn_r);
11670 }
11671 else
11672 {
11673 /* Coprocessor data operations. */
11674 if (!op1_sbit && !op)
11675 return arm_record_unsupported_insn (arm_insn_r);
11676
11677 /* Move to Coprocessor from ARM core register. */
11678 if (!op1_sbit && !op1_ebit && op)
11679 return arm_record_unsupported_insn (arm_insn_r);
11680
11681 /* Move to arm core register from coprocessor. */
11682 if (!op1_sbit && op1_ebit && op)
11683 {
11684 uint32_t record_buf[1];
11685
11686 record_buf[0] = bits (arm_insn_r->arm_insn, 12, 15);
11687 if (record_buf[0] == 15)
11688 record_buf[0] = ARM_PS_REGNUM;
11689
11690 arm_insn_r->reg_rec_count = 1;
11691 REG_ALLOC (arm_insn_r->arm_regs, arm_insn_r->reg_rec_count,
11692 record_buf);
11693 return 0;
11694 }
11695 }
11696
11697 return arm_record_unsupported_insn (arm_insn_r);
11698}
11699
11700/* Handling opcode 000 insns. */
11701
11702static int
11703thumb_record_shift_add_sub (insn_decode_record *thumb_insn_r)
11704{
11705 uint32_t record_buf[8];
11706 uint32_t reg_src1 = 0;
11707
11708 reg_src1 = bits (thumb_insn_r->arm_insn, 0, 2);
11709
11710 record_buf[0] = ARM_PS_REGNUM;
11711 record_buf[1] = reg_src1;
11712 thumb_insn_r->reg_rec_count = 2;
11713
11714 REG_ALLOC (thumb_insn_r->arm_regs, thumb_insn_r->reg_rec_count, record_buf);
11715
11716 return 0;
11717}
11718
11719
11720/* Handling opcode 001 insns. */
11721
11722static int
11723thumb_record_add_sub_cmp_mov (insn_decode_record *thumb_insn_r)
11724{
11725 uint32_t record_buf[8];
11726 uint32_t reg_src1 = 0;
11727
11728 reg_src1 = bits (thumb_insn_r->arm_insn, 8, 10);
11729
11730 record_buf[0] = ARM_PS_REGNUM;
11731 record_buf[1] = reg_src1;
11732 thumb_insn_r->reg_rec_count = 2;
11733
11734 REG_ALLOC (thumb_insn_r->arm_regs, thumb_insn_r->reg_rec_count, record_buf);
11735
11736 return 0;
11737}
11738
11739/* Handling opcode 010 insns. */
11740
11741static int
11742thumb_record_ld_st_reg_offset (insn_decode_record *thumb_insn_r)
11743{
11744 struct regcache *reg_cache = thumb_insn_r->regcache;
11745 uint32_t record_buf[8], record_buf_mem[8];
11746
11747 uint32_t reg_src1 = 0, reg_src2 = 0;
11748 uint32_t opcode1 = 0, opcode2 = 0, opcode3 = 0;
11749
11750 ULONGEST u_regval[2] = {0};
11751
11752 opcode1 = bits (thumb_insn_r->arm_insn, 10, 12);
11753
11754 if (bit (thumb_insn_r->arm_insn, 12))
11755 {
11756 /* Handle load/store register offset. */
11757 uint32_t opB = bits (thumb_insn_r->arm_insn, 9, 11);
11758
11759 if (opB >= 4 && opB <= 7)
11760 {
11761 /* LDR(2), LDRB(2) , LDRH(2), LDRSB, LDRSH. */
11762 reg_src1 = bits (thumb_insn_r->arm_insn,0, 2);
11763 record_buf[0] = reg_src1;
11764 thumb_insn_r->reg_rec_count = 1;
11765 }
11766 else if (opB >= 0 && opB <= 2)
11767 {
11768 /* STR(2), STRB(2), STRH(2) . */
11769 reg_src1 = bits (thumb_insn_r->arm_insn, 3, 5);
11770 reg_src2 = bits (thumb_insn_r->arm_insn, 6, 8);
11771 regcache_raw_read_unsigned (reg_cache, reg_src1, &u_regval[0]);
11772 regcache_raw_read_unsigned (reg_cache, reg_src2, &u_regval[1]);
11773 if (0 == opB)
11774 record_buf_mem[0] = 4; /* STR (2). */
11775 else if (2 == opB)
11776 record_buf_mem[0] = 1; /* STRB (2). */
11777 else if (1 == opB)
11778 record_buf_mem[0] = 2; /* STRH (2). */
11779 record_buf_mem[1] = u_regval[0] + u_regval[1];
11780 thumb_insn_r->mem_rec_count = 1;
11781 }
11782 }
11783 else if (bit (thumb_insn_r->arm_insn, 11))
11784 {
11785 /* Handle load from literal pool. */
11786 /* LDR(3). */
11787 reg_src1 = bits (thumb_insn_r->arm_insn, 8, 10);
11788 record_buf[0] = reg_src1;
11789 thumb_insn_r->reg_rec_count = 1;
11790 }
11791 else if (opcode1)
11792 {
11793 /* Special data instructions and branch and exchange */
11794 opcode2 = bits (thumb_insn_r->arm_insn, 8, 9);
11795 opcode3 = bits (thumb_insn_r->arm_insn, 0, 2);
11796 if ((3 == opcode2) && (!opcode3))
11797 {
11798 /* Branch with exchange. */
11799 record_buf[0] = ARM_PS_REGNUM;
11800 thumb_insn_r->reg_rec_count = 1;
11801 }
11802 else
11803 {
11804 /* Format 8; special data processing insns. */
11805 record_buf[0] = ARM_PS_REGNUM;
11806 record_buf[1] = (bit (thumb_insn_r->arm_insn, 7) << 3
11807 | bits (thumb_insn_r->arm_insn, 0, 2));
11808 thumb_insn_r->reg_rec_count = 2;
11809 }
11810 }
11811 else
11812 {
11813 /* Format 5; data processing insns. */
11814 reg_src1 = bits (thumb_insn_r->arm_insn, 0, 2);
11815 if (bit (thumb_insn_r->arm_insn, 7))
11816 {
11817 reg_src1 = reg_src1 + 8;
11818 }
11819 record_buf[0] = ARM_PS_REGNUM;
11820 record_buf[1] = reg_src1;
11821 thumb_insn_r->reg_rec_count = 2;
11822 }
11823
11824 REG_ALLOC (thumb_insn_r->arm_regs, thumb_insn_r->reg_rec_count, record_buf);
11825 MEM_ALLOC (thumb_insn_r->arm_mems, thumb_insn_r->mem_rec_count,
11826 record_buf_mem);
11827
11828 return 0;
11829}
11830
11831/* Handling opcode 001 insns. */
11832
11833static int
11834thumb_record_ld_st_imm_offset (insn_decode_record *thumb_insn_r)
11835{
11836 struct regcache *reg_cache = thumb_insn_r->regcache;
11837 uint32_t record_buf[8], record_buf_mem[8];
11838
11839 uint32_t reg_src1 = 0;
11840 uint32_t opcode = 0, immed_5 = 0;
11841
11842 ULONGEST u_regval = 0;
11843
11844 opcode = bits (thumb_insn_r->arm_insn, 11, 12);
11845
11846 if (opcode)
11847 {
11848 /* LDR(1). */
11849 reg_src1 = bits (thumb_insn_r->arm_insn, 0, 2);
11850 record_buf[0] = reg_src1;
11851 thumb_insn_r->reg_rec_count = 1;
11852 }
11853 else
11854 {
11855 /* STR(1). */
11856 reg_src1 = bits (thumb_insn_r->arm_insn, 3, 5);
11857 immed_5 = bits (thumb_insn_r->arm_insn, 6, 10);
11858 regcache_raw_read_unsigned (reg_cache, reg_src1, &u_regval);
11859 record_buf_mem[0] = 4;
11860 record_buf_mem[1] = u_regval + (immed_5 * 4);
11861 thumb_insn_r->mem_rec_count = 1;
11862 }
11863
11864 REG_ALLOC (thumb_insn_r->arm_regs, thumb_insn_r->reg_rec_count, record_buf);
11865 MEM_ALLOC (thumb_insn_r->arm_mems, thumb_insn_r->mem_rec_count,
11866 record_buf_mem);
11867
11868 return 0;
11869}
11870
11871/* Handling opcode 100 insns. */
11872
11873static int
11874thumb_record_ld_st_stack (insn_decode_record *thumb_insn_r)
11875{
11876 struct regcache *reg_cache = thumb_insn_r->regcache;
11877 uint32_t record_buf[8], record_buf_mem[8];
11878
11879 uint32_t reg_src1 = 0;
11880 uint32_t opcode = 0, immed_8 = 0, immed_5 = 0;
11881
11882 ULONGEST u_regval = 0;
11883
11884 opcode = bits (thumb_insn_r->arm_insn, 11, 12);
11885
11886 if (3 == opcode)
11887 {
11888 /* LDR(4). */
11889 reg_src1 = bits (thumb_insn_r->arm_insn, 8, 10);
11890 record_buf[0] = reg_src1;
11891 thumb_insn_r->reg_rec_count = 1;
11892 }
11893 else if (1 == opcode)
11894 {
11895 /* LDRH(1). */
11896 reg_src1 = bits (thumb_insn_r->arm_insn, 0, 2);
11897 record_buf[0] = reg_src1;
11898 thumb_insn_r->reg_rec_count = 1;
11899 }
11900 else if (2 == opcode)
11901 {
11902 /* STR(3). */
11903 immed_8 = bits (thumb_insn_r->arm_insn, 0, 7);
11904 regcache_raw_read_unsigned (reg_cache, ARM_SP_REGNUM, &u_regval);
11905 record_buf_mem[0] = 4;
11906 record_buf_mem[1] = u_regval + (immed_8 * 4);
11907 thumb_insn_r->mem_rec_count = 1;
11908 }
11909 else if (0 == opcode)
11910 {
11911 /* STRH(1). */
11912 immed_5 = bits (thumb_insn_r->arm_insn, 6, 10);
11913 reg_src1 = bits (thumb_insn_r->arm_insn, 3, 5);
11914 regcache_raw_read_unsigned (reg_cache, reg_src1, &u_regval);
11915 record_buf_mem[0] = 2;
11916 record_buf_mem[1] = u_regval + (immed_5 * 2);
11917 thumb_insn_r->mem_rec_count = 1;
11918 }
11919
11920 REG_ALLOC (thumb_insn_r->arm_regs, thumb_insn_r->reg_rec_count, record_buf);
11921 MEM_ALLOC (thumb_insn_r->arm_mems, thumb_insn_r->mem_rec_count,
11922 record_buf_mem);
11923
11924 return 0;
11925}
11926
11927/* Handling opcode 101 insns. */
11928
11929static int
11930thumb_record_misc (insn_decode_record *thumb_insn_r)
11931{
11932 struct regcache *reg_cache = thumb_insn_r->regcache;
11933
11934 uint32_t opcode = 0;
11935 uint32_t register_bits = 0, register_count = 0;
11936 uint32_t index = 0, start_address = 0;
11937 uint32_t record_buf[24], record_buf_mem[48];
11938 uint32_t reg_src1;
11939
11940 ULONGEST u_regval = 0;
11941
11942 opcode = bits (thumb_insn_r->arm_insn, 11, 12);
11943
11944 if (opcode == 0 || opcode == 1)
11945 {
11946 /* ADR and ADD (SP plus immediate) */
11947
11948 reg_src1 = bits (thumb_insn_r->arm_insn, 8, 10);
11949 record_buf[0] = reg_src1;
11950 thumb_insn_r->reg_rec_count = 1;
11951 }
11952 else
11953 {
11954 /* Miscellaneous 16-bit instructions */
11955 uint32_t opcode2 = bits (thumb_insn_r->arm_insn, 8, 11);
11956
11957 switch (opcode2)
11958 {
11959 case 6:
11960 /* SETEND and CPS */
11961 break;
11962 case 0:
11963 /* ADD/SUB (SP plus immediate) */
11964 reg_src1 = bits (thumb_insn_r->arm_insn, 8, 10);
11965 record_buf[0] = ARM_SP_REGNUM;
11966 thumb_insn_r->reg_rec_count = 1;
11967 break;
11968 case 1: /* fall through */
11969 case 3: /* fall through */
11970 case 9: /* fall through */
11971 case 11:
11972 /* CBNZ, CBZ */
11973 break;
11974 case 2:
11975 /* SXTH, SXTB, UXTH, UXTB */
11976 record_buf[0] = bits (thumb_insn_r->arm_insn, 0, 2);
11977 thumb_insn_r->reg_rec_count = 1;
11978 break;
11979 case 4: /* fall through */
11980 case 5:
11981 /* PUSH. */
11982 register_bits = bits (thumb_insn_r->arm_insn, 0, 7);
11983 regcache_raw_read_unsigned (reg_cache, ARM_SP_REGNUM, &u_regval);
11984 while (register_bits)
11985 {
11986 if (register_bits & 0x00000001)
11987 register_count++;
11988 register_bits = register_bits >> 1;
11989 }
11990 start_address = u_regval - \
11991 (4 * (bit (thumb_insn_r->arm_insn, 8) + register_count));
11992 thumb_insn_r->mem_rec_count = register_count;
11993 while (register_count)
11994 {
11995 record_buf_mem[(register_count * 2) - 1] = start_address;
11996 record_buf_mem[(register_count * 2) - 2] = 4;
11997 start_address = start_address + 4;
11998 register_count--;
11999 }
12000 record_buf[0] = ARM_SP_REGNUM;
12001 thumb_insn_r->reg_rec_count = 1;
12002 break;
12003 case 10:
12004 /* REV, REV16, REVSH */
12005 record_buf[0] = bits (thumb_insn_r->arm_insn, 0, 2);
12006 thumb_insn_r->reg_rec_count = 1;
12007 break;
12008 case 12: /* fall through */
12009 case 13:
12010 /* POP. */
12011 register_bits = bits (thumb_insn_r->arm_insn, 0, 7);
12012 while (register_bits)
12013 {
12014 if (register_bits & 0x00000001)
12015 record_buf[index++] = register_count;
12016 register_bits = register_bits >> 1;
12017 register_count++;
12018 }
12019 record_buf[index++] = ARM_PS_REGNUM;
12020 record_buf[index++] = ARM_SP_REGNUM;
12021 thumb_insn_r->reg_rec_count = index;
12022 break;
12023 case 0xe:
12024 /* BKPT insn. */
12025 /* Handle enhanced software breakpoint insn, BKPT. */
12026 /* CPSR is changed to be executed in ARM state, disabling normal
12027 interrupts, entering abort mode. */
12028 /* According to high vector configuration PC is set. */
12029 /* User hits breakpoint and type reverse, in that case, we need to go back with
12030 previous CPSR and Program Counter. */
12031 record_buf[0] = ARM_PS_REGNUM;
12032 record_buf[1] = ARM_LR_REGNUM;
12033 thumb_insn_r->reg_rec_count = 2;
12034 /* We need to save SPSR value, which is not yet done. */
12035 printf_unfiltered (_("Process record does not support instruction "
12036 "0x%0x at address %s.\n"),
12037 thumb_insn_r->arm_insn,
12038 paddress (thumb_insn_r->gdbarch,
12039 thumb_insn_r->this_addr));
12040 return -1;
12041
12042 case 0xf:
12043 /* If-Then, and hints */
12044 break;
12045 default:
12046 return -1;
12047 };
12048 }
12049
12050 REG_ALLOC (thumb_insn_r->arm_regs, thumb_insn_r->reg_rec_count, record_buf);
12051 MEM_ALLOC (thumb_insn_r->arm_mems, thumb_insn_r->mem_rec_count,
12052 record_buf_mem);
12053
12054 return 0;
12055}
12056
12057/* Handling opcode 110 insns. */
12058
12059static int
12060thumb_record_ldm_stm_swi (insn_decode_record *thumb_insn_r)
12061{
12062 struct gdbarch_tdep *tdep = gdbarch_tdep (thumb_insn_r->gdbarch);
12063 struct regcache *reg_cache = thumb_insn_r->regcache;
12064
12065 uint32_t ret = 0; /* function return value: -1:record failure ; 0:success */
12066 uint32_t reg_src1 = 0;
12067 uint32_t opcode1 = 0, opcode2 = 0, register_bits = 0, register_count = 0;
12068 uint32_t index = 0, start_address = 0;
12069 uint32_t record_buf[24], record_buf_mem[48];
12070
12071 ULONGEST u_regval = 0;
12072
12073 opcode1 = bits (thumb_insn_r->arm_insn, 8, 12);
12074 opcode2 = bits (thumb_insn_r->arm_insn, 11, 12);
12075
12076 if (1 == opcode2)
12077 {
12078
12079 /* LDMIA. */
12080 register_bits = bits (thumb_insn_r->arm_insn, 0, 7);
12081 /* Get Rn. */
12082 reg_src1 = bits (thumb_insn_r->arm_insn, 8, 10);
12083 while (register_bits)
12084 {
12085 if (register_bits & 0x00000001)
12086 record_buf[index++] = register_count;
12087 register_bits = register_bits >> 1;
12088 register_count++;
12089 }
12090 record_buf[index++] = reg_src1;
12091 thumb_insn_r->reg_rec_count = index;
12092 }
12093 else if (0 == opcode2)
12094 {
12095 /* It handles both STMIA. */
12096 register_bits = bits (thumb_insn_r->arm_insn, 0, 7);
12097 /* Get Rn. */
12098 reg_src1 = bits (thumb_insn_r->arm_insn, 8, 10);
12099 regcache_raw_read_unsigned (reg_cache, reg_src1, &u_regval);
12100 while (register_bits)
12101 {
12102 if (register_bits & 0x00000001)
12103 register_count++;
12104 register_bits = register_bits >> 1;
12105 }
12106 start_address = u_regval;
12107 thumb_insn_r->mem_rec_count = register_count;
12108 while (register_count)
12109 {
12110 record_buf_mem[(register_count * 2) - 1] = start_address;
12111 record_buf_mem[(register_count * 2) - 2] = 4;
12112 start_address = start_address + 4;
12113 register_count--;
12114 }
12115 }
12116 else if (0x1F == opcode1)
12117 {
12118 /* Handle arm syscall insn. */
12119 if (tdep->arm_syscall_record != NULL)
12120 {
12121 regcache_raw_read_unsigned (reg_cache, 7, &u_regval);
12122 ret = tdep->arm_syscall_record (reg_cache, u_regval);
12123 }
12124 else
12125 {
12126 printf_unfiltered (_("no syscall record support\n"));
12127 return -1;
12128 }
12129 }
12130
12131 /* B (1), conditional branch is automatically taken care in process_record,
12132 as PC is saved there. */
12133
12134 REG_ALLOC (thumb_insn_r->arm_regs, thumb_insn_r->reg_rec_count, record_buf);
12135 MEM_ALLOC (thumb_insn_r->arm_mems, thumb_insn_r->mem_rec_count,
12136 record_buf_mem);
12137
12138 return ret;
12139}
12140
12141/* Handling opcode 111 insns. */
12142
12143static int
12144thumb_record_branch (insn_decode_record *thumb_insn_r)
12145{
12146 uint32_t record_buf[8];
12147 uint32_t bits_h = 0;
12148
12149 bits_h = bits (thumb_insn_r->arm_insn, 11, 12);
12150
12151 if (2 == bits_h || 3 == bits_h)
12152 {
12153 /* BL */
12154 record_buf[0] = ARM_LR_REGNUM;
12155 thumb_insn_r->reg_rec_count = 1;
12156 }
12157 else if (1 == bits_h)
12158 {
12159 /* BLX(1). */
12160 record_buf[0] = ARM_PS_REGNUM;
12161 record_buf[1] = ARM_LR_REGNUM;
12162 thumb_insn_r->reg_rec_count = 2;
12163 }
12164
12165 /* B(2) is automatically taken care in process_record, as PC is
12166 saved there. */
12167
12168 REG_ALLOC (thumb_insn_r->arm_regs, thumb_insn_r->reg_rec_count, record_buf);
12169
12170 return 0;
12171}
12172
12173/* Handler for thumb2 load/store multiple instructions. */
12174
12175static int
12176thumb2_record_ld_st_multiple (insn_decode_record *thumb2_insn_r)
12177{
12178 struct regcache *reg_cache = thumb2_insn_r->regcache;
12179
12180 uint32_t reg_rn, op;
12181 uint32_t register_bits = 0, register_count = 0;
12182 uint32_t index = 0, start_address = 0;
12183 uint32_t record_buf[24], record_buf_mem[48];
12184
12185 ULONGEST u_regval = 0;
12186
12187 reg_rn = bits (thumb2_insn_r->arm_insn, 16, 19);
12188 op = bits (thumb2_insn_r->arm_insn, 23, 24);
12189
12190 if (0 == op || 3 == op)
12191 {
12192 if (bit (thumb2_insn_r->arm_insn, INSN_S_L_BIT_NUM))
12193 {
12194 /* Handle RFE instruction. */
12195 record_buf[0] = ARM_PS_REGNUM;
12196 thumb2_insn_r->reg_rec_count = 1;
12197 }
12198 else
12199 {
12200 /* Handle SRS instruction after reading banked SP. */
12201 return arm_record_unsupported_insn (thumb2_insn_r);
12202 }
12203 }
12204 else if (1 == op || 2 == op)
12205 {
12206 if (bit (thumb2_insn_r->arm_insn, INSN_S_L_BIT_NUM))
12207 {
12208 /* Handle LDM/LDMIA/LDMFD and LDMDB/LDMEA instructions. */
12209 register_bits = bits (thumb2_insn_r->arm_insn, 0, 15);
12210 while (register_bits)
12211 {
12212 if (register_bits & 0x00000001)
12213 record_buf[index++] = register_count;
12214
12215 register_count++;
12216 register_bits = register_bits >> 1;
12217 }
12218 record_buf[index++] = reg_rn;
12219 record_buf[index++] = ARM_PS_REGNUM;
12220 thumb2_insn_r->reg_rec_count = index;
12221 }
12222 else
12223 {
12224 /* Handle STM/STMIA/STMEA and STMDB/STMFD. */
12225 register_bits = bits (thumb2_insn_r->arm_insn, 0, 15);
12226 regcache_raw_read_unsigned (reg_cache, reg_rn, &u_regval);
12227 while (register_bits)
12228 {
12229 if (register_bits & 0x00000001)
12230 register_count++;
12231
12232 register_bits = register_bits >> 1;
12233 }
12234
12235 if (1 == op)
12236 {
12237 /* Start address calculation for LDMDB/LDMEA. */
12238 start_address = u_regval;
12239 }
12240 else if (2 == op)
12241 {
12242 /* Start address calculation for LDMDB/LDMEA. */
12243 start_address = u_regval - register_count * 4;
12244 }
12245
12246 thumb2_insn_r->mem_rec_count = register_count;
12247 while (register_count)
12248 {
12249 record_buf_mem[register_count * 2 - 1] = start_address;
12250 record_buf_mem[register_count * 2 - 2] = 4;
12251 start_address = start_address + 4;
12252 register_count--;
12253 }
12254 record_buf[0] = reg_rn;
12255 record_buf[1] = ARM_PS_REGNUM;
12256 thumb2_insn_r->reg_rec_count = 2;
12257 }
12258 }
12259
12260 MEM_ALLOC (thumb2_insn_r->arm_mems, thumb2_insn_r->mem_rec_count,
12261 record_buf_mem);
12262 REG_ALLOC (thumb2_insn_r->arm_regs, thumb2_insn_r->reg_rec_count,
12263 record_buf);
12264 return ARM_RECORD_SUCCESS;
12265}
12266
12267/* Handler for thumb2 load/store (dual/exclusive) and table branch
12268 instructions. */
12269
12270static int
12271thumb2_record_ld_st_dual_ex_tbb (insn_decode_record *thumb2_insn_r)
12272{
12273 struct regcache *reg_cache = thumb2_insn_r->regcache;
12274
12275 uint32_t reg_rd, reg_rn, offset_imm;
12276 uint32_t reg_dest1, reg_dest2;
12277 uint32_t address, offset_addr;
12278 uint32_t record_buf[8], record_buf_mem[8];
12279 uint32_t op1, op2, op3;
12280
12281 ULONGEST u_regval[2];
12282
12283 op1 = bits (thumb2_insn_r->arm_insn, 23, 24);
12284 op2 = bits (thumb2_insn_r->arm_insn, 20, 21);
12285 op3 = bits (thumb2_insn_r->arm_insn, 4, 7);
12286
12287 if (bit (thumb2_insn_r->arm_insn, INSN_S_L_BIT_NUM))
12288 {
12289 if(!(1 == op1 && 1 == op2 && (0 == op3 || 1 == op3)))
12290 {
12291 reg_dest1 = bits (thumb2_insn_r->arm_insn, 12, 15);
12292 record_buf[0] = reg_dest1;
12293 record_buf[1] = ARM_PS_REGNUM;
12294 thumb2_insn_r->reg_rec_count = 2;
12295 }
12296
12297 if (3 == op2 || (op1 & 2) || (1 == op1 && 1 == op2 && 7 == op3))
12298 {
12299 reg_dest2 = bits (thumb2_insn_r->arm_insn, 8, 11);
12300 record_buf[2] = reg_dest2;
12301 thumb2_insn_r->reg_rec_count = 3;
12302 }
12303 }
12304 else
12305 {
12306 reg_rn = bits (thumb2_insn_r->arm_insn, 16, 19);
12307 regcache_raw_read_unsigned (reg_cache, reg_rn, &u_regval[0]);
12308
12309 if (0 == op1 && 0 == op2)
12310 {
12311 /* Handle STREX. */
12312 offset_imm = bits (thumb2_insn_r->arm_insn, 0, 7);
12313 address = u_regval[0] + (offset_imm * 4);
12314 record_buf_mem[0] = 4;
12315 record_buf_mem[1] = address;
12316 thumb2_insn_r->mem_rec_count = 1;
12317 reg_rd = bits (thumb2_insn_r->arm_insn, 0, 3);
12318 record_buf[0] = reg_rd;
12319 thumb2_insn_r->reg_rec_count = 1;
12320 }
12321 else if (1 == op1 && 0 == op2)
12322 {
12323 reg_rd = bits (thumb2_insn_r->arm_insn, 0, 3);
12324 record_buf[0] = reg_rd;
12325 thumb2_insn_r->reg_rec_count = 1;
12326 address = u_regval[0];
12327 record_buf_mem[1] = address;
12328
12329 if (4 == op3)
12330 {
12331 /* Handle STREXB. */
12332 record_buf_mem[0] = 1;
12333 thumb2_insn_r->mem_rec_count = 1;
12334 }
12335 else if (5 == op3)
12336 {
12337 /* Handle STREXH. */
12338 record_buf_mem[0] = 2 ;
12339 thumb2_insn_r->mem_rec_count = 1;
12340 }
12341 else if (7 == op3)
12342 {
12343 /* Handle STREXD. */
12344 address = u_regval[0];
12345 record_buf_mem[0] = 4;
12346 record_buf_mem[2] = 4;
12347 record_buf_mem[3] = address + 4;
12348 thumb2_insn_r->mem_rec_count = 2;
12349 }
12350 }
12351 else
12352 {
12353 offset_imm = bits (thumb2_insn_r->arm_insn, 0, 7);
12354
12355 if (bit (thumb2_insn_r->arm_insn, 24))
12356 {
12357 if (bit (thumb2_insn_r->arm_insn, 23))
12358 offset_addr = u_regval[0] + (offset_imm * 4);
12359 else
12360 offset_addr = u_regval[0] - (offset_imm * 4);
12361
12362 address = offset_addr;
12363 }
12364 else
12365 address = u_regval[0];
12366
12367 record_buf_mem[0] = 4;
12368 record_buf_mem[1] = address;
12369 record_buf_mem[2] = 4;
12370 record_buf_mem[3] = address + 4;
12371 thumb2_insn_r->mem_rec_count = 2;
12372 record_buf[0] = reg_rn;
12373 thumb2_insn_r->reg_rec_count = 1;
12374 }
12375 }
12376
12377 REG_ALLOC (thumb2_insn_r->arm_regs, thumb2_insn_r->reg_rec_count,
12378 record_buf);
12379 MEM_ALLOC (thumb2_insn_r->arm_mems, thumb2_insn_r->mem_rec_count,
12380 record_buf_mem);
12381 return ARM_RECORD_SUCCESS;
12382}
12383
12384/* Handler for thumb2 data processing (shift register and modified immediate)
12385 instructions. */
12386
12387static int
12388thumb2_record_data_proc_sreg_mimm (insn_decode_record *thumb2_insn_r)
12389{
12390 uint32_t reg_rd, op;
12391 uint32_t record_buf[8];
12392
12393 op = bits (thumb2_insn_r->arm_insn, 21, 24);
12394 reg_rd = bits (thumb2_insn_r->arm_insn, 8, 11);
12395
12396 if ((0 == op || 4 == op || 8 == op || 13 == op) && 15 == reg_rd)
12397 {
12398 record_buf[0] = ARM_PS_REGNUM;
12399 thumb2_insn_r->reg_rec_count = 1;
12400 }
12401 else
12402 {
12403 record_buf[0] = reg_rd;
12404 record_buf[1] = ARM_PS_REGNUM;
12405 thumb2_insn_r->reg_rec_count = 2;
12406 }
12407
12408 REG_ALLOC (thumb2_insn_r->arm_regs, thumb2_insn_r->reg_rec_count,
12409 record_buf);
12410 return ARM_RECORD_SUCCESS;
12411}
12412
12413/* Generic handler for thumb2 instructions which effect destination and PS
12414 registers. */
12415
12416static int
12417thumb2_record_ps_dest_generic (insn_decode_record *thumb2_insn_r)
12418{
12419 uint32_t reg_rd;
12420 uint32_t record_buf[8];
12421
12422 reg_rd = bits (thumb2_insn_r->arm_insn, 8, 11);
12423
12424 record_buf[0] = reg_rd;
12425 record_buf[1] = ARM_PS_REGNUM;
12426 thumb2_insn_r->reg_rec_count = 2;
12427
12428 REG_ALLOC (thumb2_insn_r->arm_regs, thumb2_insn_r->reg_rec_count,
12429 record_buf);
12430 return ARM_RECORD_SUCCESS;
12431}
12432
12433/* Handler for thumb2 branch and miscellaneous control instructions. */
12434
12435static int
12436thumb2_record_branch_misc_cntrl (insn_decode_record *thumb2_insn_r)
12437{
12438 uint32_t op, op1, op2;
12439 uint32_t record_buf[8];
12440
12441 op = bits (thumb2_insn_r->arm_insn, 20, 26);
12442 op1 = bits (thumb2_insn_r->arm_insn, 12, 14);
12443 op2 = bits (thumb2_insn_r->arm_insn, 8, 11);
12444
12445 /* Handle MSR insn. */
12446 if (!(op1 & 0x2) && 0x38 == op)
12447 {
12448 if (!(op2 & 0x3))
12449 {
12450 /* CPSR is going to be changed. */
12451 record_buf[0] = ARM_PS_REGNUM;
12452 thumb2_insn_r->reg_rec_count = 1;
12453 }
12454 else
12455 {
12456 arm_record_unsupported_insn(thumb2_insn_r);
12457 return -1;
12458 }
12459 }
12460 else if (4 == (op1 & 0x5) || 5 == (op1 & 0x5))
12461 {
12462 /* BLX. */
12463 record_buf[0] = ARM_PS_REGNUM;
12464 record_buf[1] = ARM_LR_REGNUM;
12465 thumb2_insn_r->reg_rec_count = 2;
12466 }
12467
12468 REG_ALLOC (thumb2_insn_r->arm_regs, thumb2_insn_r->reg_rec_count,
12469 record_buf);
12470 return ARM_RECORD_SUCCESS;
12471}
12472
12473/* Handler for thumb2 store single data item instructions. */
12474
12475static int
12476thumb2_record_str_single_data (insn_decode_record *thumb2_insn_r)
12477{
12478 struct regcache *reg_cache = thumb2_insn_r->regcache;
12479
12480 uint32_t reg_rn, reg_rm, offset_imm, shift_imm;
12481 uint32_t address, offset_addr;
12482 uint32_t record_buf[8], record_buf_mem[8];
12483 uint32_t op1, op2;
12484
12485 ULONGEST u_regval[2];
12486
12487 op1 = bits (thumb2_insn_r->arm_insn, 21, 23);
12488 op2 = bits (thumb2_insn_r->arm_insn, 6, 11);
12489 reg_rn = bits (thumb2_insn_r->arm_insn, 16, 19);
12490 regcache_raw_read_unsigned (reg_cache, reg_rn, &u_regval[0]);
12491
12492 if (bit (thumb2_insn_r->arm_insn, 23))
12493 {
12494 /* T2 encoding. */
12495 offset_imm = bits (thumb2_insn_r->arm_insn, 0, 11);
12496 offset_addr = u_regval[0] + offset_imm;
12497 address = offset_addr;
12498 }
12499 else
12500 {
12501 /* T3 encoding. */
12502 if ((0 == op1 || 1 == op1 || 2 == op1) && !(op2 & 0x20))
12503 {
12504 /* Handle STRB (register). */
12505 reg_rm = bits (thumb2_insn_r->arm_insn, 0, 3);
12506 regcache_raw_read_unsigned (reg_cache, reg_rm, &u_regval[1]);
12507 shift_imm = bits (thumb2_insn_r->arm_insn, 4, 5);
12508 offset_addr = u_regval[1] << shift_imm;
12509 address = u_regval[0] + offset_addr;
12510 }
12511 else
12512 {
12513 offset_imm = bits (thumb2_insn_r->arm_insn, 0, 7);
12514 if (bit (thumb2_insn_r->arm_insn, 10))
12515 {
12516 if (bit (thumb2_insn_r->arm_insn, 9))
12517 offset_addr = u_regval[0] + offset_imm;
12518 else
12519 offset_addr = u_regval[0] - offset_imm;
12520
12521 address = offset_addr;
12522 }
12523 else
12524 address = u_regval[0];
12525 }
12526 }
12527
12528 switch (op1)
12529 {
12530 /* Store byte instructions. */
12531 case 4:
12532 case 0:
12533 record_buf_mem[0] = 1;
12534 break;
12535 /* Store half word instructions. */
12536 case 1:
12537 case 5:
12538 record_buf_mem[0] = 2;
12539 break;
12540 /* Store word instructions. */
12541 case 2:
12542 case 6:
12543 record_buf_mem[0] = 4;
12544 break;
12545
12546 default:
12547 gdb_assert_not_reached ("no decoding pattern found");
12548 break;
12549 }
12550
12551 record_buf_mem[1] = address;
12552 thumb2_insn_r->mem_rec_count = 1;
12553 record_buf[0] = reg_rn;
12554 thumb2_insn_r->reg_rec_count = 1;
12555
12556 REG_ALLOC (thumb2_insn_r->arm_regs, thumb2_insn_r->reg_rec_count,
12557 record_buf);
12558 MEM_ALLOC (thumb2_insn_r->arm_mems, thumb2_insn_r->mem_rec_count,
12559 record_buf_mem);
12560 return ARM_RECORD_SUCCESS;
12561}
12562
12563/* Handler for thumb2 load memory hints instructions. */
12564
12565static int
12566thumb2_record_ld_mem_hints (insn_decode_record *thumb2_insn_r)
12567{
12568 uint32_t record_buf[8];
12569 uint32_t reg_rt, reg_rn;
12570
12571 reg_rt = bits (thumb2_insn_r->arm_insn, 12, 15);
12572 reg_rn = bits (thumb2_insn_r->arm_insn, 16, 19);
12573
12574 if (ARM_PC_REGNUM != reg_rt)
12575 {
12576 record_buf[0] = reg_rt;
12577 record_buf[1] = reg_rn;
12578 record_buf[2] = ARM_PS_REGNUM;
12579 thumb2_insn_r->reg_rec_count = 3;
12580
12581 REG_ALLOC (thumb2_insn_r->arm_regs, thumb2_insn_r->reg_rec_count,
12582 record_buf);
12583 return ARM_RECORD_SUCCESS;
12584 }
12585
12586 return ARM_RECORD_FAILURE;
12587}
12588
12589/* Handler for thumb2 load word instructions. */
12590
12591static int
12592thumb2_record_ld_word (insn_decode_record *thumb2_insn_r)
12593{
12594 uint32_t record_buf[8];
12595
12596 record_buf[0] = bits (thumb2_insn_r->arm_insn, 12, 15);
12597 record_buf[1] = ARM_PS_REGNUM;
12598 thumb2_insn_r->reg_rec_count = 2;
12599
12600 REG_ALLOC (thumb2_insn_r->arm_regs, thumb2_insn_r->reg_rec_count,
12601 record_buf);
12602 return ARM_RECORD_SUCCESS;
12603}
12604
12605/* Handler for thumb2 long multiply, long multiply accumulate, and
12606 divide instructions. */
12607
12608static int
12609thumb2_record_lmul_lmla_div (insn_decode_record *thumb2_insn_r)
12610{
12611 uint32_t opcode1 = 0, opcode2 = 0;
12612 uint32_t record_buf[8];
12613
12614 opcode1 = bits (thumb2_insn_r->arm_insn, 20, 22);
12615 opcode2 = bits (thumb2_insn_r->arm_insn, 4, 7);
12616
12617 if (0 == opcode1 || 2 == opcode1 || (opcode1 >= 4 && opcode1 <= 6))
12618 {
12619 /* Handle SMULL, UMULL, SMULAL. */
12620 /* Handle SMLAL(S), SMULL(S), UMLAL(S), UMULL(S). */
12621 record_buf[0] = bits (thumb2_insn_r->arm_insn, 16, 19);
12622 record_buf[1] = bits (thumb2_insn_r->arm_insn, 12, 15);
12623 record_buf[2] = ARM_PS_REGNUM;
12624 thumb2_insn_r->reg_rec_count = 3;
12625 }
12626 else if (1 == opcode1 || 3 == opcode2)
12627 {
12628 /* Handle SDIV and UDIV. */
12629 record_buf[0] = bits (thumb2_insn_r->arm_insn, 16, 19);
12630 record_buf[1] = bits (thumb2_insn_r->arm_insn, 12, 15);
12631 record_buf[2] = ARM_PS_REGNUM;
12632 thumb2_insn_r->reg_rec_count = 3;
12633 }
12634 else
12635 return ARM_RECORD_FAILURE;
12636
12637 REG_ALLOC (thumb2_insn_r->arm_regs, thumb2_insn_r->reg_rec_count,
12638 record_buf);
12639 return ARM_RECORD_SUCCESS;
12640}
12641
12642/* Record handler for thumb32 coprocessor instructions. */
12643
12644static int
12645thumb2_record_coproc_insn (insn_decode_record *thumb2_insn_r)
12646{
12647 if (bit (thumb2_insn_r->arm_insn, 25))
12648 return arm_record_coproc_data_proc (thumb2_insn_r);
12649 else
12650 return arm_record_asimd_vfp_coproc (thumb2_insn_r);
12651}
12652
12653/* Record handler for advance SIMD structure load/store instructions. */
12654
12655static int
12656thumb2_record_asimd_struct_ld_st (insn_decode_record *thumb2_insn_r)
12657{
12658 struct regcache *reg_cache = thumb2_insn_r->regcache;
12659 uint32_t l_bit, a_bit, b_bits;
12660 uint32_t record_buf[128], record_buf_mem[128];
12661 uint32_t reg_rn, reg_vd, address, f_elem;
12662 uint32_t index_r = 0, index_e = 0, bf_regs = 0, index_m = 0, loop_t = 0;
12663 uint8_t f_ebytes;
12664
12665 l_bit = bit (thumb2_insn_r->arm_insn, 21);
12666 a_bit = bit (thumb2_insn_r->arm_insn, 23);
12667 b_bits = bits (thumb2_insn_r->arm_insn, 8, 11);
12668 reg_rn = bits (thumb2_insn_r->arm_insn, 16, 19);
12669 reg_vd = bits (thumb2_insn_r->arm_insn, 12, 15);
12670 reg_vd = (bit (thumb2_insn_r->arm_insn, 22) << 4) | reg_vd;
12671 f_ebytes = (1 << bits (thumb2_insn_r->arm_insn, 6, 7));
12672 f_elem = 8 / f_ebytes;
12673
12674 if (!l_bit)
12675 {
12676 ULONGEST u_regval = 0;
12677 regcache_raw_read_unsigned (reg_cache, reg_rn, &u_regval);
12678 address = u_regval;
12679
12680 if (!a_bit)
12681 {
12682 /* Handle VST1. */
12683 if (b_bits == 0x02 || b_bits == 0x0a || (b_bits & 0x0e) == 0x06)
12684 {
12685 if (b_bits == 0x07)
12686 bf_regs = 1;
12687 else if (b_bits == 0x0a)
12688 bf_regs = 2;
12689 else if (b_bits == 0x06)
12690 bf_regs = 3;
12691 else if (b_bits == 0x02)
12692 bf_regs = 4;
12693 else
12694 bf_regs = 0;
12695
12696 for (index_r = 0; index_r < bf_regs; index_r++)
12697 {
12698 for (index_e = 0; index_e < f_elem; index_e++)
12699 {
12700 record_buf_mem[index_m++] = f_ebytes;
12701 record_buf_mem[index_m++] = address;
12702 address = address + f_ebytes;
12703 thumb2_insn_r->mem_rec_count += 1;
12704 }
12705 }
12706 }
12707 /* Handle VST2. */
12708 else if (b_bits == 0x03 || (b_bits & 0x0e) == 0x08)
12709 {
12710 if (b_bits == 0x09 || b_bits == 0x08)
12711 bf_regs = 1;
12712 else if (b_bits == 0x03)
12713 bf_regs = 2;
12714 else
12715 bf_regs = 0;
12716
12717 for (index_r = 0; index_r < bf_regs; index_r++)
12718 for (index_e = 0; index_e < f_elem; index_e++)
12719 {
12720 for (loop_t = 0; loop_t < 2; loop_t++)
12721 {
12722 record_buf_mem[index_m++] = f_ebytes;
12723 record_buf_mem[index_m++] = address + (loop_t * f_ebytes);
12724 thumb2_insn_r->mem_rec_count += 1;
12725 }
12726 address = address + (2 * f_ebytes);
12727 }
12728 }
12729 /* Handle VST3. */
12730 else if ((b_bits & 0x0e) == 0x04)
12731 {
12732 for (index_e = 0; index_e < f_elem; index_e++)
12733 {
12734 for (loop_t = 0; loop_t < 3; loop_t++)
12735 {
12736 record_buf_mem[index_m++] = f_ebytes;
12737 record_buf_mem[index_m++] = address + (loop_t * f_ebytes);
12738 thumb2_insn_r->mem_rec_count += 1;
12739 }
12740 address = address + (3 * f_ebytes);
12741 }
12742 }
12743 /* Handle VST4. */
12744 else if (!(b_bits & 0x0e))
12745 {
12746 for (index_e = 0; index_e < f_elem; index_e++)
12747 {
12748 for (loop_t = 0; loop_t < 4; loop_t++)
12749 {
12750 record_buf_mem[index_m++] = f_ebytes;
12751 record_buf_mem[index_m++] = address + (loop_t * f_ebytes);
12752 thumb2_insn_r->mem_rec_count += 1;
12753 }
12754 address = address + (4 * f_ebytes);
12755 }
12756 }
12757 }
12758 else
12759 {
12760 uint8_t bft_size = bits (thumb2_insn_r->arm_insn, 10, 11);
12761
12762 if (bft_size == 0x00)
12763 f_ebytes = 1;
12764 else if (bft_size == 0x01)
12765 f_ebytes = 2;
12766 else if (bft_size == 0x02)
12767 f_ebytes = 4;
12768 else
12769 f_ebytes = 0;
12770
12771 /* Handle VST1. */
12772 if (!(b_bits & 0x0b) || b_bits == 0x08)
12773 thumb2_insn_r->mem_rec_count = 1;
12774 /* Handle VST2. */
12775 else if ((b_bits & 0x0b) == 0x01 || b_bits == 0x09)
12776 thumb2_insn_r->mem_rec_count = 2;
12777 /* Handle VST3. */
12778 else if ((b_bits & 0x0b) == 0x02 || b_bits == 0x0a)
12779 thumb2_insn_r->mem_rec_count = 3;
12780 /* Handle VST4. */
12781 else if ((b_bits & 0x0b) == 0x03 || b_bits == 0x0b)
12782 thumb2_insn_r->mem_rec_count = 4;
12783
12784 for (index_m = 0; index_m < thumb2_insn_r->mem_rec_count; index_m++)
12785 {
12786 record_buf_mem[index_m] = f_ebytes;
12787 record_buf_mem[index_m] = address + (index_m * f_ebytes);
12788 }
12789 }
12790 }
12791 else
12792 {
12793 if (!a_bit)
12794 {
12795 /* Handle VLD1. */
12796 if (b_bits == 0x02 || b_bits == 0x0a || (b_bits & 0x0e) == 0x06)
12797 thumb2_insn_r->reg_rec_count = 1;
12798 /* Handle VLD2. */
12799 else if (b_bits == 0x03 || (b_bits & 0x0e) == 0x08)
12800 thumb2_insn_r->reg_rec_count = 2;
12801 /* Handle VLD3. */
12802 else if ((b_bits & 0x0e) == 0x04)
12803 thumb2_insn_r->reg_rec_count = 3;
12804 /* Handle VLD4. */
12805 else if (!(b_bits & 0x0e))
12806 thumb2_insn_r->reg_rec_count = 4;
12807 }
12808 else
12809 {
12810 /* Handle VLD1. */
12811 if (!(b_bits & 0x0b) || b_bits == 0x08 || b_bits == 0x0c)
12812 thumb2_insn_r->reg_rec_count = 1;
12813 /* Handle VLD2. */
12814 else if ((b_bits & 0x0b) == 0x01 || b_bits == 0x09 || b_bits == 0x0d)
12815 thumb2_insn_r->reg_rec_count = 2;
12816 /* Handle VLD3. */
12817 else if ((b_bits & 0x0b) == 0x02 || b_bits == 0x0a || b_bits == 0x0e)
12818 thumb2_insn_r->reg_rec_count = 3;
12819 /* Handle VLD4. */
12820 else if ((b_bits & 0x0b) == 0x03 || b_bits == 0x0b || b_bits == 0x0f)
12821 thumb2_insn_r->reg_rec_count = 4;
12822
12823 for (index_r = 0; index_r < thumb2_insn_r->reg_rec_count; index_r++)
12824 record_buf[index_r] = reg_vd + ARM_D0_REGNUM + index_r;
12825 }
12826 }
12827
12828 if (bits (thumb2_insn_r->arm_insn, 0, 3) != 15)
12829 {
12830 record_buf[index_r] = reg_rn;
12831 thumb2_insn_r->reg_rec_count += 1;
12832 }
12833
12834 REG_ALLOC (thumb2_insn_r->arm_regs, thumb2_insn_r->reg_rec_count,
12835 record_buf);
12836 MEM_ALLOC (thumb2_insn_r->arm_mems, thumb2_insn_r->mem_rec_count,
12837 record_buf_mem);
12838 return 0;
12839}
12840
12841/* Decodes thumb2 instruction type and invokes its record handler. */
12842
12843static unsigned int
12844thumb2_record_decode_insn_handler (insn_decode_record *thumb2_insn_r)
12845{
12846 uint32_t op, op1, op2;
12847
12848 op = bit (thumb2_insn_r->arm_insn, 15);
12849 op1 = bits (thumb2_insn_r->arm_insn, 27, 28);
12850 op2 = bits (thumb2_insn_r->arm_insn, 20, 26);
12851
12852 if (op1 == 0x01)
12853 {
12854 if (!(op2 & 0x64 ))
12855 {
12856 /* Load/store multiple instruction. */
12857 return thumb2_record_ld_st_multiple (thumb2_insn_r);
12858 }
12859 else if ((op2 & 0x64) == 0x4)
12860 {
12861 /* Load/store (dual/exclusive) and table branch instruction. */
12862 return thumb2_record_ld_st_dual_ex_tbb (thumb2_insn_r);
12863 }
12864 else if ((op2 & 0x60) == 0x20)
12865 {
12866 /* Data-processing (shifted register). */
12867 return thumb2_record_data_proc_sreg_mimm (thumb2_insn_r);
12868 }
12869 else if (op2 & 0x40)
12870 {
12871 /* Co-processor instructions. */
12872 return thumb2_record_coproc_insn (thumb2_insn_r);
12873 }
12874 }
12875 else if (op1 == 0x02)
12876 {
12877 if (op)
12878 {
12879 /* Branches and miscellaneous control instructions. */
12880 return thumb2_record_branch_misc_cntrl (thumb2_insn_r);
12881 }
12882 else if (op2 & 0x20)
12883 {
12884 /* Data-processing (plain binary immediate) instruction. */
12885 return thumb2_record_ps_dest_generic (thumb2_insn_r);
12886 }
12887 else
12888 {
12889 /* Data-processing (modified immediate). */
12890 return thumb2_record_data_proc_sreg_mimm (thumb2_insn_r);
12891 }
12892 }
12893 else if (op1 == 0x03)
12894 {
12895 if (!(op2 & 0x71 ))
12896 {
12897 /* Store single data item. */
12898 return thumb2_record_str_single_data (thumb2_insn_r);
12899 }
12900 else if (!((op2 & 0x71) ^ 0x10))
12901 {
12902 /* Advanced SIMD or structure load/store instructions. */
12903 return thumb2_record_asimd_struct_ld_st (thumb2_insn_r);
12904 }
12905 else if (!((op2 & 0x67) ^ 0x01))
12906 {
12907 /* Load byte, memory hints instruction. */
12908 return thumb2_record_ld_mem_hints (thumb2_insn_r);
12909 }
12910 else if (!((op2 & 0x67) ^ 0x03))
12911 {
12912 /* Load halfword, memory hints instruction. */
12913 return thumb2_record_ld_mem_hints (thumb2_insn_r);
12914 }
12915 else if (!((op2 & 0x67) ^ 0x05))
12916 {
12917 /* Load word instruction. */
12918 return thumb2_record_ld_word (thumb2_insn_r);
12919 }
12920 else if (!((op2 & 0x70) ^ 0x20))
12921 {
12922 /* Data-processing (register) instruction. */
12923 return thumb2_record_ps_dest_generic (thumb2_insn_r);
12924 }
12925 else if (!((op2 & 0x78) ^ 0x30))
12926 {
12927 /* Multiply, multiply accumulate, abs diff instruction. */
12928 return thumb2_record_ps_dest_generic (thumb2_insn_r);
12929 }
12930 else if (!((op2 & 0x78) ^ 0x38))
12931 {
12932 /* Long multiply, long multiply accumulate, and divide. */
12933 return thumb2_record_lmul_lmla_div (thumb2_insn_r);
12934 }
12935 else if (op2 & 0x40)
12936 {
12937 /* Co-processor instructions. */
12938 return thumb2_record_coproc_insn (thumb2_insn_r);
12939 }
12940 }
12941
12942 return -1;
12943}
12944
12945namespace {
12946/* Abstract memory reader. */
12947
12948class abstract_memory_reader
12949{
12950public:
12951 /* Read LEN bytes of target memory at address MEMADDR, placing the
12952 results in GDB's memory at BUF. Return true on success. */
12953
12954 virtual bool read (CORE_ADDR memaddr, gdb_byte *buf, const size_t len) = 0;
12955};
12956
12957/* Instruction reader from real target. */
12958
12959class instruction_reader : public abstract_memory_reader
12960{
12961 public:
12962 bool read (CORE_ADDR memaddr, gdb_byte *buf, const size_t len)
12963 {
12964 if (target_read_memory (memaddr, buf, len))
12965 return false;
12966 else
12967 return true;
12968 }
12969};
12970
12971} // namespace
12972
12973/* Extracts arm/thumb/thumb2 insn depending on the size, and returns 0 on success
12974and positive val on fauilure. */
12975
12976static int
12977extract_arm_insn (abstract_memory_reader& reader,
12978 insn_decode_record *insn_record, uint32_t insn_size)
12979{
12980 gdb_byte buf[insn_size];
12981
12982 memset (&buf[0], 0, insn_size);
12983
12984 if (!reader.read (insn_record->this_addr, buf, insn_size))
12985 return 1;
12986 insn_record->arm_insn = (uint32_t) extract_unsigned_integer (&buf[0],
12987 insn_size,
12988 gdbarch_byte_order_for_code (insn_record->gdbarch));
12989 return 0;
12990}
12991
12992typedef int (*sti_arm_hdl_fp_t) (insn_decode_record*);
12993
12994/* Decode arm/thumb insn depending on condition cods and opcodes; and
12995 dispatch it. */
12996
12997static int
12998decode_insn (abstract_memory_reader &reader, insn_decode_record *arm_record,
12999 record_type_t record_type, uint32_t insn_size)
13000{
13001
13002 /* (Starting from numerical 0); bits 25, 26, 27 decodes type of arm
13003 instruction. */
13004 static const sti_arm_hdl_fp_t arm_handle_insn[8] =
13005 {
13006 arm_record_data_proc_misc_ld_str, /* 000. */
13007 arm_record_data_proc_imm, /* 001. */
13008 arm_record_ld_st_imm_offset, /* 010. */
13009 arm_record_ld_st_reg_offset, /* 011. */
13010 arm_record_ld_st_multiple, /* 100. */
13011 arm_record_b_bl, /* 101. */
13012 arm_record_asimd_vfp_coproc, /* 110. */
13013 arm_record_coproc_data_proc /* 111. */
13014 };
13015
13016 /* (Starting from numerical 0); bits 13,14,15 decodes type of thumb
13017 instruction. */
13018 static const sti_arm_hdl_fp_t thumb_handle_insn[8] =
13019 { \
13020 thumb_record_shift_add_sub, /* 000. */
13021 thumb_record_add_sub_cmp_mov, /* 001. */
13022 thumb_record_ld_st_reg_offset, /* 010. */
13023 thumb_record_ld_st_imm_offset, /* 011. */
13024 thumb_record_ld_st_stack, /* 100. */
13025 thumb_record_misc, /* 101. */
13026 thumb_record_ldm_stm_swi, /* 110. */
13027 thumb_record_branch /* 111. */
13028 };
13029
13030 uint32_t ret = 0; /* return value: negative:failure 0:success. */
13031 uint32_t insn_id = 0;
13032
13033 if (extract_arm_insn (reader, arm_record, insn_size))
13034 {
13035 if (record_debug)
13036 {
13037 printf_unfiltered (_("Process record: error reading memory at "
13038 "addr %s len = %d.\n"),
13039 paddress (arm_record->gdbarch,
13040 arm_record->this_addr), insn_size);
13041 }
13042 return -1;
13043 }
13044 else if (ARM_RECORD == record_type)
13045 {
13046 arm_record->cond = bits (arm_record->arm_insn, 28, 31);
13047 insn_id = bits (arm_record->arm_insn, 25, 27);
13048
13049 if (arm_record->cond == 0xf)
13050 ret = arm_record_extension_space (arm_record);
13051 else
13052 {
13053 /* If this insn has fallen into extension space
13054 then we need not decode it anymore. */
13055 ret = arm_handle_insn[insn_id] (arm_record);
13056 }
13057 if (ret != ARM_RECORD_SUCCESS)
13058 {
13059 arm_record_unsupported_insn (arm_record);
13060 ret = -1;
13061 }
13062 }
13063 else if (THUMB_RECORD == record_type)
13064 {
13065 /* As thumb does not have condition codes, we set negative. */
13066 arm_record->cond = -1;
13067 insn_id = bits (arm_record->arm_insn, 13, 15);
13068 ret = thumb_handle_insn[insn_id] (arm_record);
13069 if (ret != ARM_RECORD_SUCCESS)
13070 {
13071 arm_record_unsupported_insn (arm_record);
13072 ret = -1;
13073 }
13074 }
13075 else if (THUMB2_RECORD == record_type)
13076 {
13077 /* As thumb does not have condition codes, we set negative. */
13078 arm_record->cond = -1;
13079
13080 /* Swap first half of 32bit thumb instruction with second half. */
13081 arm_record->arm_insn
13082 = (arm_record->arm_insn >> 16) | (arm_record->arm_insn << 16);
13083
13084 ret = thumb2_record_decode_insn_handler (arm_record);
13085
13086 if (ret != ARM_RECORD_SUCCESS)
13087 {
13088 arm_record_unsupported_insn (arm_record);
13089 ret = -1;
13090 }
13091 }
13092 else
13093 {
13094 /* Throw assertion. */
13095 gdb_assert_not_reached ("not a valid instruction, could not decode");
13096 }
13097
13098 return ret;
13099}
13100
13101#if GDB_SELF_TEST
13102namespace selftests {
13103
13104/* Provide both 16-bit and 32-bit thumb instructions. */
13105
13106class instruction_reader_thumb : public abstract_memory_reader
13107{
13108public:
13109 template<size_t SIZE>
13110 instruction_reader_thumb (enum bfd_endian endian,
13111 const uint16_t (&insns)[SIZE])
13112 : m_endian (endian), m_insns (insns), m_insns_size (SIZE)
13113 {}
13114
13115 bool read (CORE_ADDR memaddr, gdb_byte *buf, const size_t len)
13116 {
13117 SELF_CHECK (len == 4 || len == 2);
13118 SELF_CHECK (memaddr % 2 == 0);
13119 SELF_CHECK ((memaddr / 2) < m_insns_size);
13120
13121 store_unsigned_integer (buf, 2, m_endian, m_insns[memaddr / 2]);
13122 if (len == 4)
13123 {
13124 store_unsigned_integer (&buf[2], 2, m_endian,
13125 m_insns[memaddr / 2 + 1]);
13126 }
13127 return true;
13128 }
13129
13130private:
13131 enum bfd_endian m_endian;
13132 const uint16_t *m_insns;
13133 size_t m_insns_size;
13134};
13135
13136static void
13137arm_record_test (void)
13138{
13139 struct gdbarch_info info;
13140 gdbarch_info_init (&info);
13141 info.bfd_arch_info = bfd_scan_arch ("arm");
13142
13143 struct gdbarch *gdbarch = gdbarch_find_by_info (info);
13144
13145 SELF_CHECK (gdbarch != NULL);
13146
13147 /* 16-bit Thumb instructions. */
13148 {
13149 insn_decode_record arm_record;
13150
13151 memset (&arm_record, 0, sizeof (insn_decode_record));
13152 arm_record.gdbarch = gdbarch;
13153
13154 static const uint16_t insns[] = {
13155 /* db b2 uxtb r3, r3 */
13156 0xb2db,
13157 /* cd 58 ldr r5, [r1, r3] */
13158 0x58cd,
13159 };
13160
13161 enum bfd_endian endian = gdbarch_byte_order_for_code (arm_record.gdbarch);
13162 instruction_reader_thumb reader (endian, insns);
13163 int ret = decode_insn (reader, &arm_record, THUMB_RECORD,
13164 THUMB_INSN_SIZE_BYTES);
13165
13166 SELF_CHECK (ret == 0);
13167 SELF_CHECK (arm_record.mem_rec_count == 0);
13168 SELF_CHECK (arm_record.reg_rec_count == 1);
13169 SELF_CHECK (arm_record.arm_regs[0] == 3);
13170
13171 arm_record.this_addr += 2;
13172 ret = decode_insn (reader, &arm_record, THUMB_RECORD,
13173 THUMB_INSN_SIZE_BYTES);
13174
13175 SELF_CHECK (ret == 0);
13176 SELF_CHECK (arm_record.mem_rec_count == 0);
13177 SELF_CHECK (arm_record.reg_rec_count == 1);
13178 SELF_CHECK (arm_record.arm_regs[0] == 5);
13179 }
13180
13181 /* 32-bit Thumb-2 instructions. */
13182 {
13183 insn_decode_record arm_record;
13184
13185 memset (&arm_record, 0, sizeof (insn_decode_record));
13186 arm_record.gdbarch = gdbarch;
13187
13188 static const uint16_t insns[] = {
13189 /* 1d ee 70 7f mrc 15, 0, r7, cr13, cr0, {3} */
13190 0xee1d, 0x7f70,
13191 };
13192
13193 enum bfd_endian endian = gdbarch_byte_order_for_code (arm_record.gdbarch);
13194 instruction_reader_thumb reader (endian, insns);
13195 int ret = decode_insn (reader, &arm_record, THUMB2_RECORD,
13196 THUMB2_INSN_SIZE_BYTES);
13197
13198 SELF_CHECK (ret == 0);
13199 SELF_CHECK (arm_record.mem_rec_count == 0);
13200 SELF_CHECK (arm_record.reg_rec_count == 1);
13201 SELF_CHECK (arm_record.arm_regs[0] == 7);
13202 }
13203}
13204} // namespace selftests
13205#endif /* GDB_SELF_TEST */
13206
13207/* Cleans up local record registers and memory allocations. */
13208
13209static void
13210deallocate_reg_mem (insn_decode_record *record)
13211{
13212 xfree (record->arm_regs);
13213 xfree (record->arm_mems);
13214}
13215
13216
13217/* Parse the current instruction and record the values of the registers and
13218 memory that will be changed in current instruction to record_arch_list".
13219 Return -1 if something is wrong. */
13220
13221int
13222arm_process_record (struct gdbarch *gdbarch, struct regcache *regcache,
13223 CORE_ADDR insn_addr)
13224{
13225
13226 uint32_t no_of_rec = 0;
13227 uint32_t ret = 0; /* return value: -1:record failure ; 0:success */
13228 ULONGEST t_bit = 0, insn_id = 0;
13229
13230 ULONGEST u_regval = 0;
13231
13232 insn_decode_record arm_record;
13233
13234 memset (&arm_record, 0, sizeof (insn_decode_record));
13235 arm_record.regcache = regcache;
13236 arm_record.this_addr = insn_addr;
13237 arm_record.gdbarch = gdbarch;
13238
13239
13240 if (record_debug > 1)
13241 {
13242 fprintf_unfiltered (gdb_stdlog, "Process record: arm_process_record "
13243 "addr = %s\n",
13244 paddress (gdbarch, arm_record.this_addr));
13245 }
13246
13247 instruction_reader reader;
13248 if (extract_arm_insn (reader, &arm_record, 2))
13249 {
13250 if (record_debug)
13251 {
13252 printf_unfiltered (_("Process record: error reading memory at "
13253 "addr %s len = %d.\n"),
13254 paddress (arm_record.gdbarch,
13255 arm_record.this_addr), 2);
13256 }
13257 return -1;
13258 }
13259
13260 /* Check the insn, whether it is thumb or arm one. */
13261
13262 t_bit = arm_psr_thumb_bit (arm_record.gdbarch);
13263 regcache_raw_read_unsigned (arm_record.regcache, ARM_PS_REGNUM, &u_regval);
13264
13265
13266 if (!(u_regval & t_bit))
13267 {
13268 /* We are decoding arm insn. */
13269 ret = decode_insn (reader, &arm_record, ARM_RECORD, ARM_INSN_SIZE_BYTES);
13270 }
13271 else
13272 {
13273 insn_id = bits (arm_record.arm_insn, 11, 15);
13274 /* is it thumb2 insn? */
13275 if ((0x1D == insn_id) || (0x1E == insn_id) || (0x1F == insn_id))
13276 {
13277 ret = decode_insn (reader, &arm_record, THUMB2_RECORD,
13278 THUMB2_INSN_SIZE_BYTES);
13279 }
13280 else
13281 {
13282 /* We are decoding thumb insn. */
13283 ret = decode_insn (reader, &arm_record, THUMB_RECORD,
13284 THUMB_INSN_SIZE_BYTES);
13285 }
13286 }
13287
13288 if (0 == ret)
13289 {
13290 /* Record registers. */
13291 record_full_arch_list_add_reg (arm_record.regcache, ARM_PC_REGNUM);
13292 if (arm_record.arm_regs)
13293 {
13294 for (no_of_rec = 0; no_of_rec < arm_record.reg_rec_count; no_of_rec++)
13295 {
13296 if (record_full_arch_list_add_reg
13297 (arm_record.regcache , arm_record.arm_regs[no_of_rec]))
13298 ret = -1;
13299 }
13300 }
13301 /* Record memories. */
13302 if (arm_record.arm_mems)
13303 {
13304 for (no_of_rec = 0; no_of_rec < arm_record.mem_rec_count; no_of_rec++)
13305 {
13306 if (record_full_arch_list_add_mem
13307 ((CORE_ADDR)arm_record.arm_mems[no_of_rec].addr,
13308 arm_record.arm_mems[no_of_rec].len))
13309 ret = -1;
13310 }
13311 }
13312
13313 if (record_full_arch_list_add_end ())
13314 ret = -1;
13315 }
13316
13317
13318 deallocate_reg_mem (&arm_record);
13319
13320 return ret;
13321}
This page took 0.083541 seconds and 4 git commands to generate.