bfd/
[deliverable/binutils-gdb.git] / gas / testsuite / gas / mips / micromips-trap.d
1 #objdump: -dr --show-raw-insn
2 #name: microMIPS for MIPS32r2 (w/traps)
3 #as: -mips32r2 -32 -trap -mfp64 -EB
4 #stderr: micromips.l
5 #source: micromips.s
6
7 .*: +file format .*mips.*
8
9 Disassembly of section \.text:
10
11 [0-9a-f]+ <test>:
12 [ 0-9a-f]+: 6000 2000 pref 0x0,0\(zero\)
13 [ 0-9a-f]+: 6000 27ff pref 0x0,2047\(zero\)
14 [ 0-9a-f]+: 6000 2800 pref 0x0,-2048\(zero\)
15 [ 0-9a-f]+: 3020 1000 li at,4096
16 [ 0-9a-f]+: 6001 2800 pref 0x0,-2048\(at\)
17 [ 0-9a-f]+: 3020 f000 li at,-4096
18 [ 0-9a-f]+: 6001 27ff pref 0x0,2047\(at\)
19 [ 0-9a-f]+: 6000 2000 pref 0x0,0\(zero\)
20 [ 0-9a-f]+: 6000 2000 pref 0x0,0\(zero\)
21 [ 0-9a-f]+: 6020 2000 pref 0x1,0\(zero\)
22 [ 0-9a-f]+: 6040 2000 pref 0x2,0\(zero\)
23 [ 0-9a-f]+: 6060 2000 pref 0x3,0\(zero\)
24 [ 0-9a-f]+: 6080 2000 pref 0x4,0\(zero\)
25 [ 0-9a-f]+: 60a0 2000 pref 0x5,0\(zero\)
26 [ 0-9a-f]+: 60c0 2000 pref 0x6,0\(zero\)
27 [ 0-9a-f]+: 60e0 2000 pref 0x7,0\(zero\)
28 [ 0-9a-f]+: 60e0 21ff pref 0x7,511\(zero\)
29 [ 0-9a-f]+: 60e0 2e00 pref 0x7,-512\(zero\)
30 [ 0-9a-f]+: 63e0 27ff pref 0x1f,2047\(zero\)
31 [ 0-9a-f]+: 63e0 2800 pref 0x1f,-2048\(zero\)
32 [ 0-9a-f]+: 3020 1000 li at,4096
33 [ 0-9a-f]+: 63e1 2800 pref 0x1f,-2048\(at\)
34 [ 0-9a-f]+: 3020 f000 li at,-4096
35 [ 0-9a-f]+: 63e1 27ff pref 0x1f,2047\(at\)
36 [ 0-9a-f]+: 5020 8000 li at,0x8000
37 [ 0-9a-f]+: 6061 2fff pref 0x3,-1\(at\)
38 [ 0-9a-f]+: 3020 8000 li at,-32768
39 [ 0-9a-f]+: 6061 2000 pref 0x3,0\(at\)
40 [ 0-9a-f]+: 63e2 27ff pref 0x1f,2047\(v0\)
41 [ 0-9a-f]+: 63e2 2800 pref 0x1f,-2048\(v0\)
42 [ 0-9a-f]+: 3020 1000 li at,4096
43 [ 0-9a-f]+: 0041 0950 addu at,at,v0
44 [ 0-9a-f]+: 63e1 2800 pref 0x1f,-2048\(at\)
45 [ 0-9a-f]+: 3020 f000 li at,-4096
46 [ 0-9a-f]+: 0041 0950 addu at,at,v0
47 [ 0-9a-f]+: 63e1 27ff pref 0x1f,2047\(at\)
48 [ 0-9a-f]+: 5020 8000 li at,0x8000
49 [ 0-9a-f]+: 0041 0950 addu at,at,v0
50 [ 0-9a-f]+: 6061 2fff pref 0x3,-1\(at\)
51 [ 0-9a-f]+: 3020 8000 li at,-32768
52 [ 0-9a-f]+: 0041 0950 addu at,at,v0
53 [ 0-9a-f]+: 6061 2000 pref 0x3,0\(at\)
54 [ 0-9a-f]+: 0c00 nop
55 [ 0-9a-f]+: 0c00 nop
56 [ 0-9a-f]+: 0000 0000 nop
57 [ 0-9a-f]+: 0000 0800 ssnop
58 [ 0-9a-f]+: 0000 1800 ehb
59 [ 0-9a-f]+: 0000 2800 pause
60 [ 0-9a-f]+: ed7f li v0,-1
61 [ 0-9a-f]+: edff li v1,-1
62 [ 0-9a-f]+: ee7f li a0,-1
63 [ 0-9a-f]+: eeff li a1,-1
64 [ 0-9a-f]+: ef7f li a2,-1
65 [ 0-9a-f]+: efff li a3,-1
66 [ 0-9a-f]+: ec7f li s0,-1
67 [ 0-9a-f]+: ecff li s1,-1
68 [ 0-9a-f]+: ec80 li s1,0
69 [ 0-9a-f]+: ecfd li s1,125
70 [ 0-9a-f]+: ecfe li s1,126
71 [ 0-9a-f]+: 3220 007f li s1,127
72 [ 0-9a-f]+: 3040 0000 li v0,0
73 [ 0-9a-f]+: 3040 0001 li v0,1
74 [ 0-9a-f]+: 3040 7fff li v0,32767
75 [ 0-9a-f]+: 3040 8000 li v0,-32768
76 [ 0-9a-f]+: 5040 ffff li v0,0xffff
77 [ 0-9a-f]+: 41a2 0001 lui v0,0x1
78 [ 0-9a-f]+: 3040 8000 li v0,-32768
79 [ 0-9a-f]+: 3040 8001 li v0,-32767
80 [ 0-9a-f]+: 3040 ffff li v0,-1
81 [ 0-9a-f]+: 41a2 1234 lui v0,0x1234
82 [ 0-9a-f]+: 5042 5678 ori v0,v0,0x5678
83 [ 0-9a-f]+: 0c16 move zero,s6
84 [ 0-9a-f]+: 0c56 move v0,s6
85 [ 0-9a-f]+: 0c76 move v1,s6
86 [ 0-9a-f]+: 0c96 move a0,s6
87 [ 0-9a-f]+: 0cb6 move a1,s6
88 [ 0-9a-f]+: 0cd6 move a2,s6
89 [ 0-9a-f]+: 0cf6 move a3,s6
90 [ 0-9a-f]+: 0d16 move t0,s6
91 [ 0-9a-f]+: 0d36 move t1,s6
92 [ 0-9a-f]+: 0d56 move t2,s6
93 [ 0-9a-f]+: 0fd6 move s8,s6
94 [ 0-9a-f]+: 0ff6 move ra,s6
95 [ 0-9a-f]+: 0c00 nop
96 [ 0-9a-f]+: 0c02 move zero,v0
97 [ 0-9a-f]+: 0c03 move zero,v1
98 [ 0-9a-f]+: 0c04 move zero,a0
99 [ 0-9a-f]+: 0c05 move zero,a1
100 [ 0-9a-f]+: 0c06 move zero,a2
101 [ 0-9a-f]+: 0c07 move zero,a3
102 [ 0-9a-f]+: 0c08 move zero,t0
103 [ 0-9a-f]+: 0c09 move zero,t1
104 [ 0-9a-f]+: 0c0a move zero,t2
105 [ 0-9a-f]+: 0c1e move zero,s8
106 [ 0-9a-f]+: 0c1f move zero,ra
107 [ 0-9a-f]+: 0ec2 move s6,v0
108 [ 0-9a-f]+: 0c56 move v0,s6
109 [ 0-9a-f]+: 0ec2 move s6,v0
110 [ 0-9a-f]+: 0016 1150 move v0,s6
111 [ 0-9a-f]+: 0002 b150 move s6,v0
112 [ 0-9a-f]+: cfff b [0-9a-f]+ <test\+0x[0-9a-f]+>
113 [ ]*[0-9a-f]+: R_MICROMIPS_PC10_S1 test
114 [ 0-9a-f]+: 0c00 nop
115 [ 0-9a-f]+: cfff b [0-9a-f]+ <test\+0x[0-9a-f]+>
116 [ ]*[0-9a-f]+: R_MICROMIPS_PC10_S1 test
117 [ 0-9a-f]+: 0c00 nop
118 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <test\+0x[0-9a-f]+>
119 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
120 [ 0-9a-f]+: 0c00 nop
121 [ 0-9a-f]+: cfff b [0-9a-f]+ <test\+0x[0-9a-f]+>
122 [ ]*[0-9a-f]+: R_MICROMIPS_PC10_S1 .*
123 [ 0-9a-f]+: 0c00 nop
124 [ 0-9a-f]+: cfff b [0-9a-f]+ <test\+0x[0-9a-f]+>
125 [ ]*[0-9a-f]+: R_MICROMIPS_PC10_S1 .*
126 [ 0-9a-f]+: 0c00 nop
127 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <test\+0x[0-9a-f]+>
128 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
129 [ 0-9a-f]+: 0c00 nop
130
131 [0-9a-f]+ <.*>:
132 [ 0-9a-f]+: cfff b [0-9a-f]+ <.*>
133 [ ]*[0-9a-f]+: R_MICROMIPS_PC10_S1 .*
134 [ 0-9a-f]+: 0c00 nop
135 [ 0-9a-f]+: cfff b [0-9a-f]+ <.*\+0x[0-9a-f]+>
136 [ ]*[0-9a-f]+: R_MICROMIPS_PC10_S1 .*
137 [ 0-9a-f]+: 0c00 nop
138 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
139 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
140 [ 0-9a-f]+: 0c00 nop
141 [ 0-9a-f]+: 4043 fffe bgez v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
142 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
143 [ 0-9a-f]+: 0c43 move v0,v1
144 [ 0-9a-f]+: 0060 1190 neg v0,v1
145
146 [0-9a-f]+ <.*>:
147 [ 0-9a-f]+: 4044 fffe bgez a0,[0-9a-f]+ <.*>
148 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
149 [ 0-9a-f]+: 0c44 move v0,a0
150 [ 0-9a-f]+: 0080 1190 neg v0,a0
151
152 [0-9a-f]+ <.*>:
153 [ 0-9a-f]+: 4042 fffe bgez v0,[0-9a-f]+ <.*>
154 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
155 [ 0-9a-f]+: 0c00 nop
156 [ 0-9a-f]+: 0040 1190 neg v0,v0
157
158 [0-9a-f]+ <.*>:
159 [ 0-9a-f]+: 4042 fffe bgez v0,[0-9a-f]+ <.*>
160 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
161 [ 0-9a-f]+: 0c00 nop
162 [ 0-9a-f]+: 0040 1190 neg v0,v0
163
164 [0-9a-f]+ <.*>:
165 [ 0-9a-f]+: 0083 1110 add v0,v1,a0
166 [ 0-9a-f]+: 03fe e910 add sp,s8,ra
167 [ 0-9a-f]+: 0082 1110 add v0,v0,a0
168 [ 0-9a-f]+: 0082 1110 add v0,v0,a0
169 [ 0-9a-f]+: 1042 0000 addi v0,v0,0
170 [ 0-9a-f]+: 1042 0001 addi v0,v0,1
171 [ 0-9a-f]+: 1042 7fff addi v0,v0,32767
172 [ 0-9a-f]+: 1042 8000 addi v0,v0,-32768
173 [ 0-9a-f]+: 5020 ffff li at,0xffff
174 [ 0-9a-f]+: 0022 1110 add v0,v0,at
175 [ 0-9a-f]+: 1064 8000 addi v1,a0,-32768
176 [ 0-9a-f]+: 1064 0000 addi v1,a0,0
177 [ 0-9a-f]+: 1064 7fff addi v1,a0,32767
178 [ 0-9a-f]+: 1064 ffff addi v1,a0,-1
179 [ 0-9a-f]+: 1063 ffff addi v1,v1,-1
180 [ 0-9a-f]+: 1063 ffff addi v1,v1,-1
181 [ 0-9a-f]+: 4c10 addiu zero,zero,-8
182 [ 0-9a-f]+: 4c50 addiu v0,v0,-8
183 [ 0-9a-f]+: 4c70 addiu v1,v1,-8
184 [ 0-9a-f]+: 4c90 addiu a0,a0,-8
185 [ 0-9a-f]+: 4cb0 addiu a1,a1,-8
186 [ 0-9a-f]+: 4cd0 addiu a2,a2,-8
187 [ 0-9a-f]+: 4cf0 addiu a3,a3,-8
188 [ 0-9a-f]+: 4d10 addiu t0,t0,-8
189 [ 0-9a-f]+: 4d30 addiu t1,t1,-8
190 [ 0-9a-f]+: 4d50 addiu t2,t2,-8
191 [ 0-9a-f]+: 4fd0 addiu s8,s8,-8
192 [ 0-9a-f]+: 4ff0 addiu ra,ra,-8
193 [ 0-9a-f]+: 4ff2 addiu ra,ra,-7
194 [ 0-9a-f]+: 4fe0 addiu ra,ra,0
195 [ 0-9a-f]+: 4fe2 addiu ra,ra,1
196 [ 0-9a-f]+: 4fec addiu ra,ra,6
197 [ 0-9a-f]+: 4fee addiu ra,ra,7
198 [ 0-9a-f]+: 33ff 0008 addiu ra,ra,8
199 [ 0-9a-f]+: 4ffd addiu sp,sp,-1032
200 [ 0-9a-f]+: 4fff addiu sp,sp,-1028
201 [ 0-9a-f]+: 4e01 addiu sp,sp,-1024
202 [ 0-9a-f]+: 4dff addiu sp,sp,1020
203 [ 0-9a-f]+: 4c01 addiu sp,sp,1024
204 [ 0-9a-f]+: 4c03 addiu sp,sp,1028
205 [ 0-9a-f]+: 4c03 addiu sp,sp,1028
206 [ 0-9a-f]+: 33bd 0408 addiu sp,sp,1032
207 [ 0-9a-f]+: 6d2e addiu v0,v0,-1
208 [ 0-9a-f]+: 6d3e addiu v0,v1,-1
209 [ 0-9a-f]+: 6d4e addiu v0,a0,-1
210 [ 0-9a-f]+: 6d5e addiu v0,a1,-1
211 [ 0-9a-f]+: 6d6e addiu v0,a2,-1
212 [ 0-9a-f]+: 6d7e addiu v0,a3,-1
213 [ 0-9a-f]+: 6d0e addiu v0,s0,-1
214 [ 0-9a-f]+: 6d1e addiu v0,s1,-1
215 [ 0-9a-f]+: 6d10 addiu v0,s1,1
216 [ 0-9a-f]+: 6d12 addiu v0,s1,4
217 [ 0-9a-f]+: 6d14 addiu v0,s1,8
218 [ 0-9a-f]+: 6d16 addiu v0,s1,12
219 [ 0-9a-f]+: 6d18 addiu v0,s1,16
220 [ 0-9a-f]+: 6d1a addiu v0,s1,20
221 [ 0-9a-f]+: 6d1c addiu v0,s1,24
222 [ 0-9a-f]+: 6d9c addiu v1,s1,24
223 [ 0-9a-f]+: 6e1c addiu a0,s1,24
224 [ 0-9a-f]+: 6e9c addiu a1,s1,24
225 [ 0-9a-f]+: 6f1c addiu a2,s1,24
226 [ 0-9a-f]+: 6f9c addiu a3,s1,24
227 [ 0-9a-f]+: 6c1c addiu s0,s1,24
228 [ 0-9a-f]+: 6c9c addiu s1,s1,24
229 [ 0-9a-f]+: 0c5d move v0,sp
230 [ 0-9a-f]+: 6d03 addiu v0,sp,4
231 [ 0-9a-f]+: 6d7d addiu v0,sp,248
232 [ 0-9a-f]+: 6d7f addiu v0,sp,252
233 [ 0-9a-f]+: 305d 0100 addiu v0,sp,256
234 [ 0-9a-f]+: 6d7f addiu v0,sp,252
235 [ 0-9a-f]+: 6dff addiu v1,sp,252
236 [ 0-9a-f]+: 6e7f addiu a0,sp,252
237 [ 0-9a-f]+: 6eff addiu a1,sp,252
238 [ 0-9a-f]+: 6f7f addiu a2,sp,252
239 [ 0-9a-f]+: 6fff addiu a3,sp,252
240 [ 0-9a-f]+: 6c7f addiu s0,sp,252
241 [ 0-9a-f]+: 6cff addiu s1,sp,252
242 [ 0-9a-f]+: 3064 8000 addiu v1,a0,-32768
243 [ 0-9a-f]+: 0c64 move v1,a0
244 [ 0-9a-f]+: 3064 7fff addiu v1,a0,32767
245 [ 0-9a-f]+: 3064 ffff addiu v1,a0,-1
246 [ 0-9a-f]+: 3063 ffff addiu v1,v1,-1
247 [ 0-9a-f]+: 3063 ffff addiu v1,v1,-1
248 [ 0-9a-f]+: 0c56 move v0,s6
249 [ 0-9a-f]+: 0ec2 move s6,v0
250 [ 0-9a-f]+: 0c56 move v0,s6
251 [ 0-9a-f]+: 0ec2 move s6,v0
252 [ 0-9a-f]+: 0526 addu v0,v1,v0
253 [ 0-9a-f]+: 0536 addu v0,v1,v1
254 [ 0-9a-f]+: 0546 addu v0,v1,a0
255 [ 0-9a-f]+: 0556 addu v0,v1,a1
256 [ 0-9a-f]+: 0566 addu v0,v1,a2
257 [ 0-9a-f]+: 0576 addu v0,v1,a3
258 [ 0-9a-f]+: 0506 addu v0,v1,s0
259 [ 0-9a-f]+: 0516 addu v0,v1,s1
260 [ 0-9a-f]+: 0514 addu v0,v0,s1
261 [ 0-9a-f]+: 0516 addu v0,v1,s1
262 [ 0-9a-f]+: 0518 addu v0,a0,s1
263 [ 0-9a-f]+: 051a addu v0,a1,s1
264 [ 0-9a-f]+: 051c addu v0,a2,s1
265 [ 0-9a-f]+: 051e addu v0,a3,s1
266 [ 0-9a-f]+: 0510 addu v0,s0,s1
267 [ 0-9a-f]+: 0512 addu v0,s1,s1
268 [ 0-9a-f]+: 0514 addu v0,v0,s1
269 [ 0-9a-f]+: 0594 addu v1,v0,s1
270 [ 0-9a-f]+: 0614 addu a0,v0,s1
271 [ 0-9a-f]+: 0694 addu a1,v0,s1
272 [ 0-9a-f]+: 0714 addu a2,v0,s1
273 [ 0-9a-f]+: 0794 addu a3,v0,s1
274 [ 0-9a-f]+: 0414 addu s0,v0,s1
275 [ 0-9a-f]+: 0494 addu s1,v0,s1
276 [ 0-9a-f]+: 07ae addu a3,a3,v0
277 [ 0-9a-f]+: 07ae addu a3,a3,v0
278 [ 0-9a-f]+: 07f4 addu a3,v0,a3
279 [ 0-9a-f]+: 03fe e950 addu sp,s8,ra
280 [ 0-9a-f]+: 3042 0000 addiu v0,v0,0
281 [ 0-9a-f]+: 3042 0001 addiu v0,v0,1
282 [ 0-9a-f]+: 3042 7fff addiu v0,v0,32767
283 [ 0-9a-f]+: 3042 8000 addiu v0,v0,-32768
284 [ 0-9a-f]+: 5020 ffff li at,0xffff
285 [ 0-9a-f]+: 0022 1150 addu v0,v0,at
286 [ 0-9a-f]+: 4492 and v0,v0,v0
287 [ 0-9a-f]+: 4493 and v0,v0,v1
288 [ 0-9a-f]+: 4494 and v0,v0,a0
289 [ 0-9a-f]+: 4495 and v0,v0,a1
290 [ 0-9a-f]+: 4496 and v0,v0,a2
291 [ 0-9a-f]+: 4497 and v0,v0,a3
292 [ 0-9a-f]+: 4490 and v0,v0,s0
293 [ 0-9a-f]+: 4491 and v0,v0,s1
294 [ 0-9a-f]+: 449a and v1,v1,v0
295 [ 0-9a-f]+: 44a2 and a0,a0,v0
296 [ 0-9a-f]+: 44aa and a1,a1,v0
297 [ 0-9a-f]+: 44b2 and a2,a2,v0
298 [ 0-9a-f]+: 44ba and a3,a3,v0
299 [ 0-9a-f]+: 4482 and s0,s0,v0
300 [ 0-9a-f]+: 448a and s1,s1,v0
301 [ 0-9a-f]+: 4493 and v0,v0,v1
302 [ 0-9a-f]+: 4493 and v0,v0,v1
303 [ 0-9a-f]+: 4493 and v0,v0,v1
304 [ 0-9a-f]+: 4493 and v0,v0,v1
305 [ 0-9a-f]+: 0062 1250 and v0,v0,v1
306 [ 0-9a-f]+: 2d21 andi v0,v0,0x1
307 [ 0-9a-f]+: 2d22 andi v0,v0,0x2
308 [ 0-9a-f]+: 2d23 andi v0,v0,0x3
309 [ 0-9a-f]+: 2d24 andi v0,v0,0x4
310 [ 0-9a-f]+: 2d25 andi v0,v0,0x7
311 [ 0-9a-f]+: 2d26 andi v0,v0,0x8
312 [ 0-9a-f]+: 2d27 andi v0,v0,0xf
313 [ 0-9a-f]+: 2d28 andi v0,v0,0x10
314 [ 0-9a-f]+: 2d29 andi v0,v0,0x1f
315 [ 0-9a-f]+: 2d2a andi v0,v0,0x20
316 [ 0-9a-f]+: 2d2b andi v0,v0,0x3f
317 [ 0-9a-f]+: 2d2c andi v0,v0,0x40
318 [ 0-9a-f]+: 2d20 andi v0,v0,0x80
319 [ 0-9a-f]+: 2d2d andi v0,v0,0xff
320 [ 0-9a-f]+: 2d2e andi v0,v0,0x8000
321 [ 0-9a-f]+: 2d2f andi v0,v0,0xffff
322 [ 0-9a-f]+: 2d3f andi v0,v1,0xffff
323 [ 0-9a-f]+: 2d4f andi v0,a0,0xffff
324 [ 0-9a-f]+: 2d5f andi v0,a1,0xffff
325 [ 0-9a-f]+: 2d6f andi v0,a2,0xffff
326 [ 0-9a-f]+: 2d7f andi v0,a3,0xffff
327 [ 0-9a-f]+: 2d0f andi v0,s0,0xffff
328 [ 0-9a-f]+: 2d1f andi v0,s1,0xffff
329 [ 0-9a-f]+: 2d9f andi v1,s1,0xffff
330 [ 0-9a-f]+: 2e1f andi a0,s1,0xffff
331 [ 0-9a-f]+: 2e9f andi a1,s1,0xffff
332 [ 0-9a-f]+: 2f1f andi a2,s1,0xffff
333 [ 0-9a-f]+: 2f9f andi a3,s1,0xffff
334 [ 0-9a-f]+: 2c1f andi s0,s1,0xffff
335 [ 0-9a-f]+: 2c9f andi s1,s1,0xffff
336 [ 0-9a-f]+: 2fff andi a3,a3,0xffff
337 [ 0-9a-f]+: 2fff andi a3,a3,0xffff
338 [ 0-9a-f]+: 2fff andi a3,a3,0xffff
339 [ 0-9a-f]+: d0e7 ffff andi a3,a3,0xffff
340 [ 0-9a-f]+: 0083 1250 and v0,v1,a0
341 [ 0-9a-f]+: 0082 1250 and v0,v0,a0
342 [ 0-9a-f]+: 0082 1250 and v0,v0,a0
343 [ 0-9a-f]+: d043 0000 andi v0,v1,0x0
344 [ 0-9a-f]+: d043 ffff andi v0,v1,0xffff
345 [ 0-9a-f]+: 41a1 0001 lui at,0x1
346 [ 0-9a-f]+: 0023 1250 and v0,v1,at
347 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
348 [ 0-9a-f]+: 5021 0001 ori at,at,0x1
349 [ 0-9a-f]+: 0023 1250 and v0,v1,at
350 [ 0-9a-f]+: 4280 fffe bc2f [0-9a-f]+ <.*\+0x[0-9a-f]+>
351 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
352 [ 0-9a-f]+: 0c00 nop
353 [ 0-9a-f]+: 4280 fffe bc2f [0-9a-f]+ <.*\+0x[0-9a-f]+>
354 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
355 [ 0-9a-f]+: 0c00 nop
356 [ 0-9a-f]+: 4284 fffe bc2f \$cc1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
357 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
358 [ 0-9a-f]+: 0c00 nop
359 [ 0-9a-f]+: 4288 fffe bc2f \$cc2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
360 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
361 [ 0-9a-f]+: 0c00 nop
362 [ 0-9a-f]+: 428c fffe bc2f \$cc3,[0-9a-f]+ <.*\+0x[0-9a-f]+>
363 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
364 [ 0-9a-f]+: 0c00 nop
365 [ 0-9a-f]+: 4290 fffe bc2f \$cc4,[0-9a-f]+ <.*\+0x[0-9a-f]+>
366 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
367 [ 0-9a-f]+: 0c00 nop
368 [ 0-9a-f]+: 4294 fffe bc2f \$cc5,[0-9a-f]+ <.*\+0x[0-9a-f]+>
369 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
370 [ 0-9a-f]+: 0c00 nop
371 [ 0-9a-f]+: 4298 fffe bc2f \$cc6,[0-9a-f]+ <.*\+0x[0-9a-f]+>
372 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
373 [ 0-9a-f]+: 0c00 nop
374 [ 0-9a-f]+: 429c fffe bc2f \$cc7,[0-9a-f]+ <.*\+0x[0-9a-f]+>
375 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
376 [ 0-9a-f]+: 0c00 nop
377 [ 0-9a-f]+: 42a0 fffe bc2t [0-9a-f]+ <.*\+0x[0-9a-f]+>
378 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
379 [ 0-9a-f]+: 0c00 nop
380 [ 0-9a-f]+: 42a0 fffe bc2t [0-9a-f]+ <.*\+0x[0-9a-f]+>
381 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
382 [ 0-9a-f]+: 0c00 nop
383 [ 0-9a-f]+: 42a4 fffe bc2t \$cc1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
384 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
385 [ 0-9a-f]+: 0c00 nop
386 [ 0-9a-f]+: 42a8 fffe bc2t \$cc2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
387 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
388 [ 0-9a-f]+: 0c00 nop
389 [ 0-9a-f]+: 42ac fffe bc2t \$cc3,[0-9a-f]+ <.*\+0x[0-9a-f]+>
390 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
391 [ 0-9a-f]+: 0c00 nop
392 [ 0-9a-f]+: 42b0 fffe bc2t \$cc4,[0-9a-f]+ <.*\+0x[0-9a-f]+>
393 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
394 [ 0-9a-f]+: 0c00 nop
395 [ 0-9a-f]+: 42b4 fffe bc2t \$cc5,[0-9a-f]+ <.*\+0x[0-9a-f]+>
396 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
397 [ 0-9a-f]+: 0c00 nop
398 [ 0-9a-f]+: 42b8 fffe bc2t \$cc6,[0-9a-f]+ <.*\+0x[0-9a-f]+>
399 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
400 [ 0-9a-f]+: 0c00 nop
401 [ 0-9a-f]+: 42bc fffe bc2t \$cc7,[0-9a-f]+ <.*\+0x[0-9a-f]+>
402 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
403 [ 0-9a-f]+: 0c00 nop
404 [ 0-9a-f]+: 42a4 fffe bc2t \$cc1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
405 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
406 [ 0-9a-f]+: 0c00 nop
407 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
408 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
409 [ 0-9a-f]+: 05d8 addu v1,a0,a1
410
411 [0-9a-f]+ <.*>:
412 [ 0-9a-f]+: 4288 fffe bc2f \$cc2,[0-9a-f]+ <.*>
413 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
414 [ 0-9a-f]+: 0c00 nop
415 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
416 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
417 [ 0-9a-f]+: 0107 3150 addu a2,a3,t0
418
419 [0-9a-f]+ <.*>:
420 [ 0-9a-f]+: 428c fffe bc2f \$cc3,[0-9a-f]+ <.*>
421 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
422 [ 0-9a-f]+: 0c00 nop
423 [ 0-9a-f]+: 05d8 addu v1,a0,a1
424 [ 0-9a-f]+: 42b0 fffe bc2t \$cc4,[0-9a-f]+ <.*\+0x[0-9a-f]+>
425 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
426 [ 0-9a-f]+: 0c00 nop
427 [ 0-9a-f]+: 0107 3150 addu a2,a3,t0
428
429 [0-9a-f]+ <test2>:
430 [ 0-9a-f]+: 8d7f beqz v0,[0-9a-f]+ <test2>
431 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
432 [ 0-9a-f]+: 0c00 nop
433 [ 0-9a-f]+: 8dff beqz v1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
434 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
435 [ 0-9a-f]+: 0c00 nop
436 [ 0-9a-f]+: 8e7f beqz a0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
437 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
438 [ 0-9a-f]+: 0c00 nop
439 [ 0-9a-f]+: 8eff beqz a1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
440 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
441 [ 0-9a-f]+: 0c00 nop
442 [ 0-9a-f]+: 8f7f beqz a2,[0-9a-f]+ <test2\+0x[0-9a-f]+>
443 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
444 [ 0-9a-f]+: 0c00 nop
445 [ 0-9a-f]+: 8fff beqz a3,[0-9a-f]+ <test2\+0x[0-9a-f]+>
446 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
447 [ 0-9a-f]+: 0c00 nop
448 [ 0-9a-f]+: 8c7f beqz s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
449 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
450 [ 0-9a-f]+: 0c00 nop
451 [ 0-9a-f]+: 8cff beqz s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
452 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
453 [ 0-9a-f]+: 0c00 nop
454 [ 0-9a-f]+: 8d7f beqz v0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
455 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
456 [ 0-9a-f]+: 0c00 nop
457 [ 0-9a-f]+: 8dff beqz v1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
458 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
459 [ 0-9a-f]+: 0c00 nop
460 [ 0-9a-f]+: 8e7f beqz a0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
461 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
462 [ 0-9a-f]+: 0c00 nop
463 [ 0-9a-f]+: 8eff beqz a1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
464 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
465 [ 0-9a-f]+: 0c00 nop
466 [ 0-9a-f]+: 8f7f beqz a2,[0-9a-f]+ <test2\+0x[0-9a-f]+>
467 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
468 [ 0-9a-f]+: 0c00 nop
469 [ 0-9a-f]+: 8fff beqz a3,[0-9a-f]+ <test2\+0x[0-9a-f]+>
470 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
471 [ 0-9a-f]+: 0c00 nop
472 [ 0-9a-f]+: 8c7f beqz s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
473 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
474 [ 0-9a-f]+: 0c00 nop
475 [ 0-9a-f]+: 8cff beqz s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
476 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
477 [ 0-9a-f]+: 0c00 nop
478 [ 0-9a-f]+: 8d7f beqz v0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
479 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
480 [ 0-9a-f]+: 0c00 nop
481 [ 0-9a-f]+: 8dff beqz v1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
482 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
483 [ 0-9a-f]+: 0c00 nop
484 [ 0-9a-f]+: 8e7f beqz a0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
485 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
486 [ 0-9a-f]+: 0c00 nop
487 [ 0-9a-f]+: 8eff beqz a1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
488 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
489 [ 0-9a-f]+: 0c00 nop
490 [ 0-9a-f]+: 8f7f beqz a2,[0-9a-f]+ <test2\+0x[0-9a-f]+>
491 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
492 [ 0-9a-f]+: 0c00 nop
493 [ 0-9a-f]+: 8fff beqz a3,[0-9a-f]+ <test2\+0x[0-9a-f]+>
494 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
495 [ 0-9a-f]+: 0c00 nop
496 [ 0-9a-f]+: 8c7f beqz s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
497 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
498 [ 0-9a-f]+: 0c00 nop
499 [ 0-9a-f]+: 8cff beqz s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
500 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
501 [ 0-9a-f]+: 0c00 nop
502 [ 0-9a-f]+: 8c7f beqz s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
503 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
504 [ 0-9a-f]+: 0c00 nop
505 [ 0-9a-f]+: 9410 fffe beqz s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
506 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
507 [ 0-9a-f]+: 0c00 nop
508 [ 0-9a-f]+: 8cff beqz s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
509 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test2
510 [ 0-9a-f]+: 0c00 nop
511 [ 0-9a-f]+: 9411 fffe beqz s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
512 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
513 [ 0-9a-f]+: 0c00 nop
514 [ 0-9a-f]+: 40f1 fffe beqzc s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
515 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
516 [ 0-9a-f]+: 9410 fffe beqz s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
517 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
518 [ 0-9a-f]+: 0c00 nop
519 [ 0-9a-f]+: 3020 000a li at,10
520 [ 0-9a-f]+: 9430 fffe beq s0,at,[0-9a-f]+ <test2\+0x[0-9a-f]+>
521 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
522 [ 0-9a-f]+: 0c00 nop
523 [ 0-9a-f]+: 3020 7fff li at,32767
524 [ 0-9a-f]+: 9430 fffe beq s0,at,[0-9a-f]+ <test2\+0x[0-9a-f]+>
525 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
526 [ 0-9a-f]+: 0c00 nop
527 [ 0-9a-f]+: 41a1 0001 lui at,0x1
528 [ 0-9a-f]+: 9430 fffe beq s0,at,[0-9a-f]+ <test2\+0x[0-9a-f]+>
529 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
530 [ 0-9a-f]+: 0c00 nop
531 [ 0-9a-f]+: b630 fffe bne s0,s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
532 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
533 [ 0-9a-f]+: 0c00 nop
534 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <test2\+0x[0-9a-f]+>
535 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
536 [ 0-9a-f]+: 05d8 addu v1,a0,a1
537
538 [0-9a-f]+ <.*>:
539 [ 0-9a-f]+: b630 fffe bne s0,s1,[0-9a-f]+ <.*>
540 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
541 [ 0-9a-f]+: 0c00 nop
542 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
543 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
544 [ 0-9a-f]+: 05d8 addu v1,a0,a1
545
546 [0-9a-f]+ <.*>:
547 [ 0-9a-f]+: b410 fffe bnez s0,[0-9a-f]+ <.*>
548 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
549 [ 0-9a-f]+: 0c00 nop
550 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
551 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
552 [ 0-9a-f]+: 05d8 addu v1,a0,a1
553
554 [0-9a-f]+ <.*>:
555 [ 0-9a-f]+: b410 fffe bnez s0,[0-9a-f]+ <.*>
556 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
557 [ 0-9a-f]+: 0c00 nop
558 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
559 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
560 [ 0-9a-f]+: 05d8 addu v1,a0,a1
561
562 [0-9a-f]+ <.*>:
563 [ 0-9a-f]+: 3020 000a li at,10
564 [ 0-9a-f]+: b430 fffe bne s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
565 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
566 [ 0-9a-f]+: 0c00 nop
567 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
568 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
569 [ 0-9a-f]+: 05d8 addu v1,a0,a1
570
571 [0-9a-f]+ <.*>:
572 [ 0-9a-f]+: 3020 000a li at,10
573 [ 0-9a-f]+: b430 fffe bne s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
574 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
575 [ 0-9a-f]+: 0c00 nop
576 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
577 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
578 [ 0-9a-f]+: 05d8 addu v1,a0,a1
579
580 [0-9a-f]+ <.*>:
581 [ 0-9a-f]+: 3020 7fff li at,32767
582 [ 0-9a-f]+: b430 fffe bne s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
583 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
584 [ 0-9a-f]+: 0c00 nop
585 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
586 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
587 [ 0-9a-f]+: 05d8 addu v1,a0,a1
588
589 [0-9a-f]+ <.*>:
590 [ 0-9a-f]+: 3020 7fff li at,32767
591 [ 0-9a-f]+: b430 fffe bne s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
592 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
593 [ 0-9a-f]+: 0c00 nop
594 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
595 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
596 [ 0-9a-f]+: 05d8 addu v1,a0,a1
597
598 [0-9a-f]+ <.*>:
599 [ 0-9a-f]+: 5020 ffff li at,0xffff
600 [ 0-9a-f]+: b430 fffe bne s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
601 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
602 [ 0-9a-f]+: 0c00 nop
603 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
604 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
605 [ 0-9a-f]+: 05d8 addu v1,a0,a1
606
607 [0-9a-f]+ <.*>:
608 [ 0-9a-f]+: 5020 ffff li at,0xffff
609 [ 0-9a-f]+: b430 fffe bne s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
610 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
611 [ 0-9a-f]+: 0c00 nop
612 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
613 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
614 [ 0-9a-f]+: 05d8 addu v1,a0,a1
615
616 [0-9a-f]+ <.*>:
617 [ 0-9a-f]+: b630 fffe bne s0,s1,[0-9a-f]+ <.*>
618 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
619 [ 0-9a-f]+: 0c00 nop
620 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
621 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
622 [ 0-9a-f]+: 03a4 1950 addu v1,a0,sp
623
624 [0-9a-f]+ <.*>:
625 [ 0-9a-f]+: b630 fffe bne s0,s1,[0-9a-f]+ <.*>
626 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
627 [ 0-9a-f]+: 0c00 nop
628 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
629 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
630 [ 0-9a-f]+: 03a4 1950 addu v1,a0,sp
631
632 [0-9a-f]+ <.*>:
633 [ 0-9a-f]+: b410 fffe bnez s0,[0-9a-f]+ <.*>
634 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
635 [ 0-9a-f]+: 0c00 nop
636 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
637 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
638 [ 0-9a-f]+: 03a4 1950 addu v1,a0,sp
639
640 [0-9a-f]+ <.*>:
641 [ 0-9a-f]+: b410 fffe bnez s0,[0-9a-f]+ <.*>
642 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
643 [ 0-9a-f]+: 0c00 nop
644 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
645 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
646 [ 0-9a-f]+: 03a4 1950 addu v1,a0,sp
647
648 [0-9a-f]+ <.*>:
649 [ 0-9a-f]+: 3020 000a li at,10
650 [ 0-9a-f]+: b430 fffe bne s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
651 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
652 [ 0-9a-f]+: 0c00 nop
653 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
654 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
655 [ 0-9a-f]+: 03a4 1950 addu v1,a0,sp
656
657 [0-9a-f]+ <.*>:
658 [ 0-9a-f]+: 3020 000a li at,10
659 [ 0-9a-f]+: b430 fffe bne s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
660 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
661 [ 0-9a-f]+: 0c00 nop
662 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
663 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
664 [ 0-9a-f]+: 03a4 1950 addu v1,a0,sp
665
666 [0-9a-f]+ <.*>:
667 [ 0-9a-f]+: 3020 7fff li at,32767
668 [ 0-9a-f]+: b430 fffe bne s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
669 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
670 [ 0-9a-f]+: 0c00 nop
671 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
672 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
673 [ 0-9a-f]+: 03a4 1950 addu v1,a0,sp
674
675 [0-9a-f]+ <.*>:
676 [ 0-9a-f]+: 3020 7fff li at,32767
677 [ 0-9a-f]+: b430 fffe bne s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
678 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
679 [ 0-9a-f]+: 0c00 nop
680 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
681 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
682 [ 0-9a-f]+: 03a4 1950 addu v1,a0,sp
683
684 [0-9a-f]+ <.*>:
685 [ 0-9a-f]+: 5020 ffff li at,0xffff
686 [ 0-9a-f]+: b430 fffe bne s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
687 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
688 [ 0-9a-f]+: 0c00 nop
689 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
690 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
691 [ 0-9a-f]+: 03a4 1950 addu v1,a0,sp
692
693 [0-9a-f]+ <.*>:
694 [ 0-9a-f]+: 5020 ffff li at,0xffff
695 [ 0-9a-f]+: b430 fffe bne s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
696 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
697 [ 0-9a-f]+: 0c00 nop
698 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
699 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
700 [ 0-9a-f]+: 03a4 1950 addu v1,a0,sp
701
702 [0-9a-f]+ <.*>:
703 [ 0-9a-f]+: 9630 fffe beq s0,s1,[0-9a-f]+ <.*>
704 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
705 [ 0-9a-f]+: 0c00 nop
706 [ 0-9a-f]+: 9411 fffe beqz s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
707 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
708 [ 0-9a-f]+: 0c00 nop
709 [ 0-9a-f]+: ad7f bnez v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
710 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
711 [ 0-9a-f]+: 0c00 nop
712 [ 0-9a-f]+: adff bnez v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
713 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
714 [ 0-9a-f]+: 0c00 nop
715 [ 0-9a-f]+: ae7f bnez a0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
716 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
717 [ 0-9a-f]+: 0c00 nop
718 [ 0-9a-f]+: aeff bnez a1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
719 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
720 [ 0-9a-f]+: 0c00 nop
721 [ 0-9a-f]+: af7f bnez a2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
722 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
723 [ 0-9a-f]+: 0c00 nop
724 [ 0-9a-f]+: afff bnez a3,[0-9a-f]+ <.*\+0x[0-9a-f]+>
725 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
726 [ 0-9a-f]+: 0c00 nop
727 [ 0-9a-f]+: ac7f bnez s0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
728 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
729 [ 0-9a-f]+: 0c00 nop
730 [ 0-9a-f]+: acff bnez s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
731 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
732 [ 0-9a-f]+: 0c00 nop
733 [ 0-9a-f]+: ad7f bnez v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
734 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
735 [ 0-9a-f]+: 0c00 nop
736 [ 0-9a-f]+: adff bnez v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
737 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
738 [ 0-9a-f]+: 0c00 nop
739 [ 0-9a-f]+: ae7f bnez a0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
740 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
741 [ 0-9a-f]+: 0c00 nop
742 [ 0-9a-f]+: aeff bnez a1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
743 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
744 [ 0-9a-f]+: 0c00 nop
745 [ 0-9a-f]+: af7f bnez a2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
746 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
747 [ 0-9a-f]+: 0c00 nop
748 [ 0-9a-f]+: afff bnez a3,[0-9a-f]+ <.*\+0x[0-9a-f]+>
749 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
750 [ 0-9a-f]+: 0c00 nop
751 [ 0-9a-f]+: ac7f bnez s0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
752 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
753 [ 0-9a-f]+: 0c00 nop
754 [ 0-9a-f]+: acff bnez s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
755 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
756 [ 0-9a-f]+: 0c00 nop
757 [ 0-9a-f]+: ad7f bnez v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
758 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
759 [ 0-9a-f]+: 0c00 nop
760 [ 0-9a-f]+: adff bnez v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
761 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
762 [ 0-9a-f]+: 0c00 nop
763 [ 0-9a-f]+: ae7f bnez a0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
764 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
765 [ 0-9a-f]+: 0c00 nop
766 [ 0-9a-f]+: aeff bnez a1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
767 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
768 [ 0-9a-f]+: 0c00 nop
769 [ 0-9a-f]+: af7f bnez a2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
770 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
771 [ 0-9a-f]+: 0c00 nop
772 [ 0-9a-f]+: afff bnez a3,[0-9a-f]+ <.*\+0x[0-9a-f]+>
773 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
774 [ 0-9a-f]+: 0c00 nop
775 [ 0-9a-f]+: ac7f bnez s0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
776 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
777 [ 0-9a-f]+: 0c00 nop
778 [ 0-9a-f]+: acff bnez s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
779 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
780 [ 0-9a-f]+: 0c00 nop
781 [ 0-9a-f]+: ac7f bnez s0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
782 [ ]*[0-9a-f]+: R_MICROMIPS_PC7_S1 test3
783 [ 0-9a-f]+: 0c00 nop
784 [ 0-9a-f]+: b410 fffe bnez s0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
785 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test3
786 [ 0-9a-f]+: 0c00 nop
787 [ 0-9a-f]+: b411 fffe bnez s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
788 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
789 [ 0-9a-f]+: 0c00 nop
790 [ 0-9a-f]+: b411 fffe bnez s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
791 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
792 [ 0-9a-f]+: 0c00 nop
793
794 [0-9a-f]+ <test3>:
795 [ 0-9a-f]+: 40b1 fffe bnezc s1,[0-9a-f]+ <test3>
796 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test2
797 [ 0-9a-f]+: 4680 break
798 [ 0-9a-f]+: 4680 break
799 [ 0-9a-f]+: 4681 break 0x1
800 [ 0-9a-f]+: 4682 break 0x2
801 [ 0-9a-f]+: 4683 break 0x3
802 [ 0-9a-f]+: 4684 break 0x4
803 [ 0-9a-f]+: 4685 break 0x5
804 [ 0-9a-f]+: 4686 break 0x6
805 [ 0-9a-f]+: 4687 break 0x7
806 [ 0-9a-f]+: 4688 break 0x8
807 [ 0-9a-f]+: 4689 break 0x9
808 [ 0-9a-f]+: 468a break 0xa
809 [ 0-9a-f]+: 468b break 0xb
810 [ 0-9a-f]+: 468c break 0xc
811 [ 0-9a-f]+: 468d break 0xd
812 [ 0-9a-f]+: 468e break 0xe
813 [ 0-9a-f]+: 468f break 0xf
814 [ 0-9a-f]+: 003f 0007 break 0x3f
815 [ 0-9a-f]+: 0040 0007 break 0x40
816 [ 0-9a-f]+: 03ff 0007 break 0x3ff
817 [ 0-9a-f]+: 03ff ffc7 break 0x3ff,0x3ff
818 [ 0-9a-f]+: 0000 0007 break
819 [ 0-9a-f]+: 0000 0007 break
820 [ 0-9a-f]+: 0001 0007 break 0x1
821 [ 0-9a-f]+: 0002 0007 break 0x2
822 [ 0-9a-f]+: 000f 0007 break 0xf
823 [ 0-9a-f]+: 003f 0007 break 0x3f
824 [ 0-9a-f]+: 0040 0007 break 0x40
825 [ 0-9a-f]+: 03ff 0007 break 0x3ff
826 [ 0-9a-f]+: 03ff ffc7 break 0x3ff,0x3ff
827 [ 0-9a-f]+: 2000 6000 cache 0x0,0\(zero\)
828 [ 0-9a-f]+: 2000 6800 cache 0x0,-2048\(zero\)
829 [ 0-9a-f]+: 2000 67ff cache 0x0,2047\(zero\)
830 [ 0-9a-f]+: 3020 f000 li at,-4096
831 [ 0-9a-f]+: 2001 67ff cache 0x0,2047\(at\)
832 [ 0-9a-f]+: 3020 1000 li at,4096
833 [ 0-9a-f]+: 2001 6800 cache 0x0,-2048\(at\)
834 [ 0-9a-f]+: 2002 6000 cache 0x0,0\(v0\)
835 [ 0-9a-f]+: 2002 6800 cache 0x0,-2048\(v0\)
836 [ 0-9a-f]+: 2002 67ff cache 0x0,2047\(v0\)
837 [ 0-9a-f]+: 3020 f000 li at,-4096
838 [ 0-9a-f]+: 0041 0950 addu at,at,v0
839 [ 0-9a-f]+: 2001 67ff cache 0x0,2047\(at\)
840 [ 0-9a-f]+: 3020 1000 li at,4096
841 [ 0-9a-f]+: 0041 0950 addu at,at,v0
842 [ 0-9a-f]+: 2001 6800 cache 0x0,-2048\(at\)
843 [ 0-9a-f]+: 2000 6000 cache 0x0,0\(zero\)
844 [ 0-9a-f]+: 2000 6000 cache 0x0,0\(zero\)
845 [ 0-9a-f]+: 2020 6000 cache 0x1,0\(zero\)
846 [ 0-9a-f]+: 2040 6000 cache 0x2,0\(zero\)
847 [ 0-9a-f]+: 2060 6000 cache 0x3,0\(zero\)
848 [ 0-9a-f]+: 2080 6000 cache 0x4,0\(zero\)
849 [ 0-9a-f]+: 20a0 6000 cache 0x5,0\(zero\)
850 [ 0-9a-f]+: 20c0 6000 cache 0x6,0\(zero\)
851 [ 0-9a-f]+: 23e0 6000 cache 0x1f,0\(zero\)
852 [ 0-9a-f]+: 23e0 67ff cache 0x1f,2047\(zero\)
853 [ 0-9a-f]+: 23e0 6800 cache 0x1f,-2048\(zero\)
854 [ 0-9a-f]+: 2000 67ff cache 0x0,2047\(zero\)
855 [ 0-9a-f]+: 2000 6800 cache 0x0,-2048\(zero\)
856 [ 0-9a-f]+: 41a1 0001 lui at,0x1
857 [ 0-9a-f]+: 0061 0950 addu at,at,v1
858 [ 0-9a-f]+: 23e1 6000 cache 0x1f,0\(at\)
859 [ 0-9a-f]+: 3020 1000 li at,4096
860 [ 0-9a-f]+: 0061 0950 addu at,at,v1
861 [ 0-9a-f]+: 23e1 6800 cache 0x1f,-2048\(at\)
862 [ 0-9a-f]+: 3020 f000 li at,-4096
863 [ 0-9a-f]+: 0061 0950 addu at,at,v1
864 [ 0-9a-f]+: 23e1 67ff cache 0x1f,2047\(at\)
865 [ 0-9a-f]+: 41a1 0001 lui at,0x1
866 [ 0-9a-f]+: 0061 0950 addu at,at,v1
867 [ 0-9a-f]+: 23e1 6001 cache 0x1f,1\(at\)
868 [ 0-9a-f]+: 23e3 6fff cache 0x1f,-1\(v1\)
869 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
870 [ 0-9a-f]+: 0061 0950 addu at,at,v1
871 [ 0-9a-f]+: 23e1 6000 cache 0x1f,0\(at\)
872 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
873 [ 0-9a-f]+: 0061 0950 addu at,at,v1
874 [ 0-9a-f]+: 23e1 6001 cache 0x1f,1\(at\)
875 [ 0-9a-f]+: 41a1 0001 lui at,0x1
876 [ 0-9a-f]+: 0061 0950 addu at,at,v1
877 [ 0-9a-f]+: 23e1 6fff cache 0x1f,-1\(at\)
878 [ 0-9a-f]+: 41a1 0001 lui at,0x1
879 [ 0-9a-f]+: 23e1 6000 cache 0x1f,0\(at\)
880 [ 0-9a-f]+: 3020 1000 li at,4096
881 [ 0-9a-f]+: 23e1 6800 cache 0x1f,-2048\(at\)
882 [ 0-9a-f]+: 3020 f000 li at,-4096
883 [ 0-9a-f]+: 23e1 67ff cache 0x1f,2047\(at\)
884 [ 0-9a-f]+: 41a1 0001 lui at,0x1
885 [ 0-9a-f]+: 23e1 6001 cache 0x1f,1\(at\)
886 [ 0-9a-f]+: 23e0 6fff cache 0x1f,-1\(zero\)
887 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
888 [ 0-9a-f]+: 23e1 6000 cache 0x1f,0\(at\)
889 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
890 [ 0-9a-f]+: 23e1 6001 cache 0x1f,1\(at\)
891 [ 0-9a-f]+: 41a1 0001 lui at,0x1
892 [ 0-9a-f]+: 23e1 6fff cache 0x1f,-1\(at\)
893 [ 0-9a-f]+: 0043 4b3c clo v0,v1
894 [ 0-9a-f]+: 0062 4b3c clo v1,v0
895 [ 0-9a-f]+: 0043 5b3c clz v0,v1
896 [ 0-9a-f]+: 0062 5b3c clz v1,v0
897 [ 0-9a-f]+: 0000 e37c deret
898 [ 0-9a-f]+: 0000 477c di
899 [ 0-9a-f]+: 0000 477c di
900 [ 0-9a-f]+: 0002 477c di v0
901 [ 0-9a-f]+: 0003 477c di v1
902 [ 0-9a-f]+: 001e 477c di s8
903 [ 0-9a-f]+: 001f 477c di ra
904 [ 0-9a-f]+: 0062 ab3c div zero,v0,v1
905 [ 0-9a-f]+: 03fe ab3c div zero,s8,ra
906 [ 0-9a-f]+: 0060 ab3c div zero,zero,v1
907 [ 0-9a-f]+: 03e0 ab3c div zero,zero,ra
908 [ 0-9a-f]+: 0000 703c teq zero,zero,0x7
909 [ 0-9a-f]+: 0004 703c teq a0,zero,0x7
910 [ 0-9a-f]+: 0083 ab3c div zero,v1,a0
911 [ 0-9a-f]+: 3020 ffff li at,-1
912 [ 0-9a-f]+: b424 fffe bne a0,at,[0-9a-f]+ <test3\+0x[0-9a-f]+>
913 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
914 [ 0-9a-f]+: 41a1 8000 lui at,0x8000
915 [ 0-9a-f]+: 0023 603c teq v1,at,0x6
916
917 [0-9a-f]+ <.*>:
918 [ 0-9a-f]+: 4642 mflo v0
919 [ 0-9a-f]+: 0000 703c teq zero,zero,0x7
920 [ 0-9a-f]+: 0c64 move v1,a0
921 [ 0-9a-f]+: 0080 1990 neg v1,a0
922 [ 0-9a-f]+: 3020 0002 li at,2
923 [ 0-9a-f]+: 0024 ab3c div zero,a0,at
924 [ 0-9a-f]+: 4643 mflo v1
925 [ 0-9a-f]+: 0062 bb3c divu zero,v0,v1
926 [ 0-9a-f]+: 03fe bb3c divu zero,s8,ra
927 [ 0-9a-f]+: 0060 bb3c divu zero,zero,v1
928 [ 0-9a-f]+: 03e0 bb3c divu zero,zero,ra
929 [ 0-9a-f]+: 0000 703c teq zero,zero,0x7
930 [ 0-9a-f]+: 0003 bb3c divu zero,v1,zero
931 [ 0-9a-f]+: 4642 mflo v0
932 [ 0-9a-f]+: 0004 703c teq a0,zero,0x7
933 [ 0-9a-f]+: 0083 bb3c divu zero,v1,a0
934 [ 0-9a-f]+: 4642 mflo v0
935 [ 0-9a-f]+: 0000 703c teq zero,zero,0x7
936 [ 0-9a-f]+: 0c64 move v1,a0
937 [ 0-9a-f]+: 3020 ffff li at,-1
938 [ 0-9a-f]+: 0024 bb3c divu zero,a0,at
939 [ 0-9a-f]+: 4643 mflo v1
940 [ 0-9a-f]+: 3020 0002 li at,2
941 [ 0-9a-f]+: 0024 bb3c divu zero,a0,at
942 [ 0-9a-f]+: 4643 mflo v1
943 [ 0-9a-f]+: 0000 577c ei
944 [ 0-9a-f]+: 0000 577c ei
945 [ 0-9a-f]+: 0002 577c ei v0
946 [ 0-9a-f]+: 0003 577c ei v1
947 [ 0-9a-f]+: 001e 577c ei s8
948 [ 0-9a-f]+: 001f 577c ei ra
949 [ 0-9a-f]+: 0000 f37c eret
950 [ 0-9a-f]+: 0043 716c ext v0,v1,0x5,0xf
951 [ 0-9a-f]+: 0043 f82c ext v0,v1,0x0,0x20
952 [ 0-9a-f]+: 0043 07ec ext v0,v1,0x1f,0x1
953 [ 0-9a-f]+: 03fe 07ec ext ra,s8,0x1f,0x1
954 [ 0-9a-f]+: 0043 994c ins v0,v1,0x5,0xf
955 [ 0-9a-f]+: 0043 f80c ins v0,v1,0x0,0x20
956 [ 0-9a-f]+: 0043 ffcc ins v0,v1,0x1f,0x1
957 [ 0-9a-f]+: 03fe ffcc ins ra,s8,0x1f,0x1
958 [ 0-9a-f]+: 4580 jr zero
959 [ 0-9a-f]+: 0c00 nop
960 [ 0-9a-f]+: 4582 jr v0
961 [ 0-9a-f]+: 0c00 nop
962 [ 0-9a-f]+: 4583 jr v1
963 [ 0-9a-f]+: 0c00 nop
964 [ 0-9a-f]+: 4584 jr a0
965 [ 0-9a-f]+: 0c00 nop
966 [ 0-9a-f]+: 4585 jr a1
967 [ 0-9a-f]+: 0c00 nop
968 [ 0-9a-f]+: 4586 jr a2
969 [ 0-9a-f]+: 0c00 nop
970 [ 0-9a-f]+: 4587 jr a3
971 [ 0-9a-f]+: 0c00 nop
972 [ 0-9a-f]+: 4588 jr t0
973 [ 0-9a-f]+: 0c00 nop
974 [ 0-9a-f]+: 459e jr s8
975 [ 0-9a-f]+: 0c00 nop
976 [ 0-9a-f]+: 459f jr ra
977 [ 0-9a-f]+: 0c00 nop
978 [ 0-9a-f]+: 0000 0f3c jr zero
979 [ 0-9a-f]+: 0000 0000 nop
980 [ 0-9a-f]+: 0002 0f3c jr v0
981 [ 0-9a-f]+: 0000 0000 nop
982 [ 0-9a-f]+: 0003 0f3c jr v1
983 [ 0-9a-f]+: 0000 0000 nop
984 [ 0-9a-f]+: 0004 0f3c jr a0
985 [ 0-9a-f]+: 0000 0000 nop
986 [ 0-9a-f]+: 0005 0f3c jr a1
987 [ 0-9a-f]+: 0000 0000 nop
988 [ 0-9a-f]+: 0006 0f3c jr a2
989 [ 0-9a-f]+: 0000 0000 nop
990 [ 0-9a-f]+: 0007 0f3c jr a3
991 [ 0-9a-f]+: 0000 0000 nop
992 [ 0-9a-f]+: 0008 0f3c jr t0
993 [ 0-9a-f]+: 0000 0000 nop
994 [ 0-9a-f]+: 001e 0f3c jr s8
995 [ 0-9a-f]+: 0000 0000 nop
996 [ 0-9a-f]+: 001f 0f3c jr ra
997 [ 0-9a-f]+: 0000 0000 nop
998 [ 0-9a-f]+: 45a0 jrc zero
999 [ 0-9a-f]+: 45a2 jrc v0
1000 [ 0-9a-f]+: 45a3 jrc v1
1001 [ 0-9a-f]+: 45a4 jrc a0
1002 [ 0-9a-f]+: 45a5 jrc a1
1003 [ 0-9a-f]+: 45a6 jrc a2
1004 [ 0-9a-f]+: 45a7 jrc a3
1005 [ 0-9a-f]+: 45a8 jrc t0
1006 [ 0-9a-f]+: 45be jrc s8
1007 [ 0-9a-f]+: 45bf jrc ra
1008 [ 0-9a-f]+: 0000 1f3c jr\.hb zero
1009 [ 0-9a-f]+: 0000 0000 nop
1010 [ 0-9a-f]+: 0002 1f3c jr\.hb v0
1011 [ 0-9a-f]+: 0000 0000 nop
1012 [ 0-9a-f]+: 0003 1f3c jr\.hb v1
1013 [ 0-9a-f]+: 0000 0000 nop
1014 [ 0-9a-f]+: 0004 1f3c jr\.hb a0
1015 [ 0-9a-f]+: 0000 0000 nop
1016 [ 0-9a-f]+: 0005 1f3c jr\.hb a1
1017 [ 0-9a-f]+: 0000 0000 nop
1018 [ 0-9a-f]+: 0006 1f3c jr\.hb a2
1019 [ 0-9a-f]+: 0000 0000 nop
1020 [ 0-9a-f]+: 0007 1f3c jr\.hb a3
1021 [ 0-9a-f]+: 0000 0000 nop
1022 [ 0-9a-f]+: 0008 1f3c jr\.hb t0
1023 [ 0-9a-f]+: 0000 0000 nop
1024 [ 0-9a-f]+: 001e 1f3c jr\.hb s8
1025 [ 0-9a-f]+: 0000 0000 nop
1026 [ 0-9a-f]+: 001f 1f3c jr\.hb ra
1027 [ 0-9a-f]+: 0000 0000 nop
1028 [ 0-9a-f]+: 4580 jr zero
1029 [ 0-9a-f]+: 0c00 nop
1030 [ 0-9a-f]+: 4582 jr v0
1031 [ 0-9a-f]+: 0c00 nop
1032 [ 0-9a-f]+: 4583 jr v1
1033 [ 0-9a-f]+: 0c00 nop
1034 [ 0-9a-f]+: 4584 jr a0
1035 [ 0-9a-f]+: 0c00 nop
1036 [ 0-9a-f]+: 4585 jr a1
1037 [ 0-9a-f]+: 0c00 nop
1038 [ 0-9a-f]+: 4586 jr a2
1039 [ 0-9a-f]+: 0c00 nop
1040 [ 0-9a-f]+: 4587 jr a3
1041 [ 0-9a-f]+: 0c00 nop
1042 [ 0-9a-f]+: 4588 jr t0
1043 [ 0-9a-f]+: 0c00 nop
1044 [ 0-9a-f]+: 459e jr s8
1045 [ 0-9a-f]+: 0c00 nop
1046 [ 0-9a-f]+: 459f jr ra
1047 [ 0-9a-f]+: 0c00 nop
1048 [ 0-9a-f]+: 45c0 jalr zero
1049 [ 0-9a-f]+: 0000 0000 nop
1050 [ 0-9a-f]+: 45c2 jalr v0
1051 [ 0-9a-f]+: 0000 0000 nop
1052 [ 0-9a-f]+: 45c3 jalr v1
1053 [ 0-9a-f]+: 0000 0000 nop
1054 [ 0-9a-f]+: 45c4 jalr a0
1055 [ 0-9a-f]+: 0000 0000 nop
1056 [ 0-9a-f]+: 45c5 jalr a1
1057 [ 0-9a-f]+: 0000 0000 nop
1058 [ 0-9a-f]+: 45c6 jalr a2
1059 [ 0-9a-f]+: 0000 0000 nop
1060 [ 0-9a-f]+: 45c7 jalr a3
1061 [ 0-9a-f]+: 0000 0000 nop
1062 [ 0-9a-f]+: 45c8 jalr t0
1063 [ 0-9a-f]+: 0000 0000 nop
1064 [ 0-9a-f]+: 45de jalr s8
1065 [ 0-9a-f]+: 0000 0000 nop
1066 [ 0-9a-f]+: 03e0 0f3c jalr zero
1067 [ 0-9a-f]+: 0000 0000 nop
1068 [ 0-9a-f]+: 03e2 0f3c jalr v0
1069 [ 0-9a-f]+: 0000 0000 nop
1070 [ 0-9a-f]+: 03e3 0f3c jalr v1
1071 [ 0-9a-f]+: 0000 0000 nop
1072 [ 0-9a-f]+: 03e4 0f3c jalr a0
1073 [ 0-9a-f]+: 0000 0000 nop
1074 [ 0-9a-f]+: 03e5 0f3c jalr a1
1075 [ 0-9a-f]+: 0000 0000 nop
1076 [ 0-9a-f]+: 03e6 0f3c jalr a2
1077 [ 0-9a-f]+: 0000 0000 nop
1078 [ 0-9a-f]+: 03e7 0f3c jalr a3
1079 [ 0-9a-f]+: 0000 0000 nop
1080 [ 0-9a-f]+: 03e8 0f3c jalr t0
1081 [ 0-9a-f]+: 0000 0000 nop
1082 [ 0-9a-f]+: 03fe 0f3c jalr s8
1083 [ 0-9a-f]+: 0000 0000 nop
1084 [ 0-9a-f]+: 45c0 jalr zero
1085 [ 0-9a-f]+: 0000 0000 nop
1086 [ 0-9a-f]+: 45c2 jalr v0
1087 [ 0-9a-f]+: 0000 0000 nop
1088 [ 0-9a-f]+: 45c3 jalr v1
1089 [ 0-9a-f]+: 0000 0000 nop
1090 [ 0-9a-f]+: 45c4 jalr a0
1091 [ 0-9a-f]+: 0000 0000 nop
1092 [ 0-9a-f]+: 45c5 jalr a1
1093 [ 0-9a-f]+: 0000 0000 nop
1094 [ 0-9a-f]+: 45c6 jalr a2
1095 [ 0-9a-f]+: 0000 0000 nop
1096 [ 0-9a-f]+: 45c7 jalr a3
1097 [ 0-9a-f]+: 0000 0000 nop
1098 [ 0-9a-f]+: 45c8 jalr t0
1099 [ 0-9a-f]+: 0000 0000 nop
1100 [ 0-9a-f]+: 45de jalr s8
1101 [ 0-9a-f]+: 0000 0000 nop
1102 [ 0-9a-f]+: 03df 0f3c jalr s8,ra
1103 [ 0-9a-f]+: 0000 0000 nop
1104 [ 0-9a-f]+: 0040 0f3c jalr v0,zero
1105 [ 0-9a-f]+: 0000 0000 nop
1106 [ 0-9a-f]+: 0062 0f3c jalr v1,v0
1107 [ 0-9a-f]+: 0000 0000 nop
1108 [ 0-9a-f]+: 0043 0f3c jalr v0,v1
1109 [ 0-9a-f]+: 0000 0000 nop
1110 [ 0-9a-f]+: 0044 0f3c jalr v0,a0
1111 [ 0-9a-f]+: 0000 0000 nop
1112 [ 0-9a-f]+: 0045 0f3c jalr v0,a1
1113 [ 0-9a-f]+: 0000 0000 nop
1114 [ 0-9a-f]+: 0046 0f3c jalr v0,a2
1115 [ 0-9a-f]+: 0000 0000 nop
1116 [ 0-9a-f]+: 0047 0f3c jalr v0,a3
1117 [ 0-9a-f]+: 0000 0000 nop
1118 [ 0-9a-f]+: 0048 0f3c jalr v0,t0
1119 [ 0-9a-f]+: 0000 0000 nop
1120 [ 0-9a-f]+: 005e 0f3c jalr v0,s8
1121 [ 0-9a-f]+: 0000 0000 nop
1122 [ 0-9a-f]+: 005f 0f3c jalr v0,ra
1123 [ 0-9a-f]+: 0000 0000 nop
1124 [ 0-9a-f]+: 03e0 1f3c jalr\.hb zero
1125 [ 0-9a-f]+: 0000 0000 nop
1126 [ 0-9a-f]+: 03e2 1f3c jalr\.hb v0
1127 [ 0-9a-f]+: 0000 0000 nop
1128 [ 0-9a-f]+: 03e3 1f3c jalr\.hb v1
1129 [ 0-9a-f]+: 0000 0000 nop
1130 [ 0-9a-f]+: 03e4 1f3c jalr\.hb a0
1131 [ 0-9a-f]+: 0000 0000 nop
1132 [ 0-9a-f]+: 03e5 1f3c jalr\.hb a1
1133 [ 0-9a-f]+: 0000 0000 nop
1134 [ 0-9a-f]+: 03e6 1f3c jalr\.hb a2
1135 [ 0-9a-f]+: 0000 0000 nop
1136 [ 0-9a-f]+: 03e7 1f3c jalr\.hb a3
1137 [ 0-9a-f]+: 0000 0000 nop
1138 [ 0-9a-f]+: 03e8 1f3c jalr\.hb t0
1139 [ 0-9a-f]+: 0000 0000 nop
1140 [ 0-9a-f]+: 03fe 1f3c jalr\.hb s8
1141 [ 0-9a-f]+: 0000 0000 nop
1142 [ 0-9a-f]+: 03e0 1f3c jalr\.hb zero
1143 [ 0-9a-f]+: 0000 0000 nop
1144 [ 0-9a-f]+: 03e2 1f3c jalr\.hb v0
1145 [ 0-9a-f]+: 0000 0000 nop
1146 [ 0-9a-f]+: 03e3 1f3c jalr\.hb v1
1147 [ 0-9a-f]+: 0000 0000 nop
1148 [ 0-9a-f]+: 03e4 1f3c jalr\.hb a0
1149 [ 0-9a-f]+: 0000 0000 nop
1150 [ 0-9a-f]+: 03e5 1f3c jalr\.hb a1
1151 [ 0-9a-f]+: 0000 0000 nop
1152 [ 0-9a-f]+: 03e6 1f3c jalr\.hb a2
1153 [ 0-9a-f]+: 0000 0000 nop
1154 [ 0-9a-f]+: 03e7 1f3c jalr\.hb a3
1155 [ 0-9a-f]+: 0000 0000 nop
1156 [ 0-9a-f]+: 03e8 1f3c jalr\.hb t0
1157 [ 0-9a-f]+: 0000 0000 nop
1158 [ 0-9a-f]+: 03fe 1f3c jalr\.hb s8
1159 [ 0-9a-f]+: 0000 0000 nop
1160 [ 0-9a-f]+: 03df 1f3c jalr\.hb s8,ra
1161 [ 0-9a-f]+: 0000 0000 nop
1162 [ 0-9a-f]+: 0040 1f3c jalr\.hb v0,zero
1163 [ 0-9a-f]+: 0000 0000 nop
1164 [ 0-9a-f]+: 0062 1f3c jalr\.hb v1,v0
1165 [ 0-9a-f]+: 0000 0000 nop
1166 [ 0-9a-f]+: 0043 1f3c jalr\.hb v0,v1
1167 [ 0-9a-f]+: 0000 0000 nop
1168 [ 0-9a-f]+: 0044 1f3c jalr\.hb v0,a0
1169 [ 0-9a-f]+: 0000 0000 nop
1170 [ 0-9a-f]+: 0045 1f3c jalr\.hb v0,a1
1171 [ 0-9a-f]+: 0000 0000 nop
1172 [ 0-9a-f]+: 0046 1f3c jalr\.hb v0,a2
1173 [ 0-9a-f]+: 0000 0000 nop
1174 [ 0-9a-f]+: 0047 1f3c jalr\.hb v0,a3
1175 [ 0-9a-f]+: 0000 0000 nop
1176 [ 0-9a-f]+: 0048 1f3c jalr\.hb v0,t0
1177 [ 0-9a-f]+: 0000 0000 nop
1178 [ 0-9a-f]+: 005e 1f3c jalr\.hb v0,s8
1179 [ 0-9a-f]+: 0000 0000 nop
1180 [ 0-9a-f]+: 005f 1f3c jalr\.hb v0,ra
1181 [ 0-9a-f]+: 0000 0000 nop
1182 [ 0-9a-f]+: 0043 0f3c jalr v0,v1
1183 [ 0-9a-f]+: 0000 0000 nop
1184 [ 0-9a-f]+: 03df 0f3c jalr s8,ra
1185 [ 0-9a-f]+: 0000 0000 nop
1186 [ 0-9a-f]+: 45c3 jalr v1
1187 [ 0-9a-f]+: 0000 0000 nop
1188 [ 0-9a-f]+: 45df jalr ra
1189 [ 0-9a-f]+: 0000 0000 nop
1190 [ 0-9a-f]+: f400 0000 jal [0-9a-f]+ <test>
1191 [ ]*[0-9a-f]+: R_MICROMIPS_26_S1 test
1192 [ 0-9a-f]+: 0000 0000 nop
1193 [ 0-9a-f]+: f400 0000 jal [0-9a-f]+ <test>
1194 [ ]*[0-9a-f]+: R_MICROMIPS_26_S1 test2
1195 [ 0-9a-f]+: 0000 0000 nop
1196 [ 0-9a-f]+: f000 0000 jalx [0-9a-f]+ <test>
1197 [ ]*[0-9a-f]+: R_MICROMIPS_26_S1 test
1198 [ 0-9a-f]+: 0000 0000 nop
1199 [ 0-9a-f]+: f000 0000 jalx [0-9a-f]+ <test>
1200 [ ]*[0-9a-f]+: R_MICROMIPS_26_S1 test2
1201 [ 0-9a-f]+: 0000 0000 nop
1202 [ 0-9a-f]+: 41a2 0000 lui v0,0x0
1203 [ ]*[0-9a-f]+: R_MICROMIPS_HI16 test
1204 [ 0-9a-f]+: 3042 0000 addiu v0,v0,0
1205 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
1206 [ 0-9a-f]+: 41a2 0000 lui v0,0x0
1207 [ ]*[0-9a-f]+: R_MICROMIPS_HI16 test
1208 [ 0-9a-f]+: 3042 0000 addiu v0,v0,0
1209 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
1210 [ 0-9a-f]+: 1c60 0000 lb v1,0\(zero\)
1211 [ 0-9a-f]+: 1c60 0004 lb v1,4\(zero\)
1212 [ 0-9a-f]+: 1c60 0000 lb v1,0\(zero\)
1213 [ 0-9a-f]+: 1c60 0004 lb v1,4\(zero\)
1214 [ 0-9a-f]+: 1c60 7fff lb v1,32767\(zero\)
1215 [ 0-9a-f]+: 1c60 8000 lb v1,-32768\(zero\)
1216 [ 0-9a-f]+: 41a3 0001 lui v1,0x1
1217 [ 0-9a-f]+: 1c63 ffff lb v1,-1\(v1\)
1218 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1219 [ 0-9a-f]+: 1c63 0000 lb v1,0\(v1\)
1220 [ 0-9a-f]+: 1c60 8000 lb v1,-32768\(zero\)
1221 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1222 [ 0-9a-f]+: 1c63 0001 lb v1,1\(v1\)
1223 [ 0-9a-f]+: 1c60 8001 lb v1,-32767\(zero\)
1224 [ 0-9a-f]+: 41a3 f000 lui v1,0xf000
1225 [ 0-9a-f]+: 1c63 0000 lb v1,0\(v1\)
1226 [ 0-9a-f]+: 1c60 ffff lb v1,-1\(zero\)
1227 [ 0-9a-f]+: 41a3 1234 lui v1,0x1234
1228 [ 0-9a-f]+: 1c63 5678 lb v1,22136\(v1\)
1229 [ 0-9a-f]+: 1c64 0000 lb v1,0\(a0\)
1230 [ 0-9a-f]+: 1c64 0000 lb v1,0\(a0\)
1231 [ 0-9a-f]+: 1c64 0004 lb v1,4\(a0\)
1232 [ 0-9a-f]+: 1c64 7fff lb v1,32767\(a0\)
1233 [ 0-9a-f]+: 1c64 8000 lb v1,-32768\(a0\)
1234 [ 0-9a-f]+: 41a3 0001 lui v1,0x1
1235 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1236 [ 0-9a-f]+: 1c63 ffff lb v1,-1\(v1\)
1237 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1238 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1239 [ 0-9a-f]+: 1c63 0000 lb v1,0\(v1\)
1240 [ 0-9a-f]+: 1c64 8000 lb v1,-32768\(a0\)
1241 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1242 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1243 [ 0-9a-f]+: 1c63 0001 lb v1,1\(v1\)
1244 [ 0-9a-f]+: 1c64 8001 lb v1,-32767\(a0\)
1245 [ 0-9a-f]+: 41a3 f000 lui v1,0xf000
1246 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1247 [ 0-9a-f]+: 1c63 0000 lb v1,0\(v1\)
1248 [ 0-9a-f]+: 1c64 ffff lb v1,-1\(a0\)
1249 [ 0-9a-f]+: 41a3 1234 lui v1,0x1234
1250 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1251 [ 0-9a-f]+: 1c63 5678 lb v1,22136\(v1\)
1252 [ 0-9a-f]+: 093f lbu v0,-1\(v1\)
1253 [ 0-9a-f]+: 0930 lbu v0,0\(v1\)
1254 [ 0-9a-f]+: 0930 lbu v0,0\(v1\)
1255 [ 0-9a-f]+: 0931 lbu v0,1\(v1\)
1256 [ 0-9a-f]+: 0932 lbu v0,2\(v1\)
1257 [ 0-9a-f]+: 0933 lbu v0,3\(v1\)
1258 [ 0-9a-f]+: 0934 lbu v0,4\(v1\)
1259 [ 0-9a-f]+: 0935 lbu v0,5\(v1\)
1260 [ 0-9a-f]+: 0936 lbu v0,6\(v1\)
1261 [ 0-9a-f]+: 0937 lbu v0,7\(v1\)
1262 [ 0-9a-f]+: 0938 lbu v0,8\(v1\)
1263 [ 0-9a-f]+: 0939 lbu v0,9\(v1\)
1264 [ 0-9a-f]+: 093a lbu v0,10\(v1\)
1265 [ 0-9a-f]+: 093b lbu v0,11\(v1\)
1266 [ 0-9a-f]+: 093c lbu v0,12\(v1\)
1267 [ 0-9a-f]+: 093d lbu v0,13\(v1\)
1268 [ 0-9a-f]+: 093e lbu v0,14\(v1\)
1269 [ 0-9a-f]+: 092e lbu v0,14\(v0\)
1270 [ 0-9a-f]+: 094e lbu v0,14\(a0\)
1271 [ 0-9a-f]+: 095e lbu v0,14\(a1\)
1272 [ 0-9a-f]+: 096e lbu v0,14\(a2\)
1273 [ 0-9a-f]+: 097e lbu v0,14\(a3\)
1274 [ 0-9a-f]+: 090e lbu v0,14\(s0\)
1275 [ 0-9a-f]+: 091e lbu v0,14\(s1\)
1276 [ 0-9a-f]+: 099e lbu v1,14\(s1\)
1277 [ 0-9a-f]+: 0a1e lbu a0,14\(s1\)
1278 [ 0-9a-f]+: 0a9e lbu a1,14\(s1\)
1279 [ 0-9a-f]+: 0b1e lbu a2,14\(s1\)
1280 [ 0-9a-f]+: 0b9e lbu a3,14\(s1\)
1281 [ 0-9a-f]+: 081e lbu s0,14\(s1\)
1282 [ 0-9a-f]+: 089e lbu s1,14\(s1\)
1283 [ 0-9a-f]+: 1460 0000 lbu v1,0\(zero\)
1284 [ 0-9a-f]+: 1460 0004 lbu v1,4\(zero\)
1285 [ 0-9a-f]+: 1460 0000 lbu v1,0\(zero\)
1286 [ 0-9a-f]+: 1460 0004 lbu v1,4\(zero\)
1287 [ 0-9a-f]+: 1460 7fff lbu v1,32767\(zero\)
1288 [ 0-9a-f]+: 1460 8000 lbu v1,-32768\(zero\)
1289 [ 0-9a-f]+: 41a3 0001 lui v1,0x1
1290 [ 0-9a-f]+: 1463 ffff lbu v1,-1\(v1\)
1291 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1292 [ 0-9a-f]+: 1463 0000 lbu v1,0\(v1\)
1293 [ 0-9a-f]+: 1460 8000 lbu v1,-32768\(zero\)
1294 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1295 [ 0-9a-f]+: 1463 0001 lbu v1,1\(v1\)
1296 [ 0-9a-f]+: 1460 8001 lbu v1,-32767\(zero\)
1297 [ 0-9a-f]+: 41a3 f000 lui v1,0xf000
1298 [ 0-9a-f]+: 1463 0000 lbu v1,0\(v1\)
1299 [ 0-9a-f]+: 1460 ffff lbu v1,-1\(zero\)
1300 [ 0-9a-f]+: 41a3 1234 lui v1,0x1234
1301 [ 0-9a-f]+: 1463 5678 lbu v1,22136\(v1\)
1302 [ 0-9a-f]+: 09c0 lbu v1,0\(a0\)
1303 [ 0-9a-f]+: 09c0 lbu v1,0\(a0\)
1304 [ 0-9a-f]+: 09c4 lbu v1,4\(a0\)
1305 [ 0-9a-f]+: 1464 7fff lbu v1,32767\(a0\)
1306 [ 0-9a-f]+: 1464 8000 lbu v1,-32768\(a0\)
1307 [ 0-9a-f]+: 41a3 0001 lui v1,0x1
1308 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1309 [ 0-9a-f]+: 1463 ffff lbu v1,-1\(v1\)
1310 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1311 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1312 [ 0-9a-f]+: 1463 0000 lbu v1,0\(v1\)
1313 [ 0-9a-f]+: 1464 8000 lbu v1,-32768\(a0\)
1314 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1315 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1316 [ 0-9a-f]+: 1463 0001 lbu v1,1\(v1\)
1317 [ 0-9a-f]+: 1464 8001 lbu v1,-32767\(a0\)
1318 [ 0-9a-f]+: 41a3 f000 lui v1,0xf000
1319 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1320 [ 0-9a-f]+: 1463 0000 lbu v1,0\(v1\)
1321 [ 0-9a-f]+: 1464 ffff lbu v1,-1\(a0\)
1322 [ 0-9a-f]+: 41a3 1234 lui v1,0x1234
1323 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1324 [ 0-9a-f]+: 1463 5678 lbu v1,22136\(v1\)
1325 [ 0-9a-f]+: 3c60 0000 lh v1,0\(zero\)
1326 [ 0-9a-f]+: 3c60 0004 lh v1,4\(zero\)
1327 [ 0-9a-f]+: 3c60 0000 lh v1,0\(zero\)
1328 [ 0-9a-f]+: 3c60 0004 lh v1,4\(zero\)
1329 [ 0-9a-f]+: 3c60 7fff lh v1,32767\(zero\)
1330 [ 0-9a-f]+: 3c60 8000 lh v1,-32768\(zero\)
1331 [ 0-9a-f]+: 41a3 0001 lui v1,0x1
1332 [ 0-9a-f]+: 3c63 ffff lh v1,-1\(v1\)
1333 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1334 [ 0-9a-f]+: 3c63 0000 lh v1,0\(v1\)
1335 [ 0-9a-f]+: 3c60 8000 lh v1,-32768\(zero\)
1336 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1337 [ 0-9a-f]+: 3c63 0001 lh v1,1\(v1\)
1338 [ 0-9a-f]+: 3c60 8001 lh v1,-32767\(zero\)
1339 [ 0-9a-f]+: 41a3 f000 lui v1,0xf000
1340 [ 0-9a-f]+: 3c63 0000 lh v1,0\(v1\)
1341 [ 0-9a-f]+: 3c60 ffff lh v1,-1\(zero\)
1342 [ 0-9a-f]+: 41a3 1234 lui v1,0x1234
1343 [ 0-9a-f]+: 3c63 5678 lh v1,22136\(v1\)
1344 [ 0-9a-f]+: 3c64 0000 lh v1,0\(a0\)
1345 [ 0-9a-f]+: 3c64 0000 lh v1,0\(a0\)
1346 [ 0-9a-f]+: 3c64 0004 lh v1,4\(a0\)
1347 [ 0-9a-f]+: 3c64 7fff lh v1,32767\(a0\)
1348 [ 0-9a-f]+: 3c64 8000 lh v1,-32768\(a0\)
1349 [ 0-9a-f]+: 41a3 0001 lui v1,0x1
1350 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1351 [ 0-9a-f]+: 3c63 ffff lh v1,-1\(v1\)
1352 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1353 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1354 [ 0-9a-f]+: 3c63 0000 lh v1,0\(v1\)
1355 [ 0-9a-f]+: 3c64 8000 lh v1,-32768\(a0\)
1356 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1357 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1358 [ 0-9a-f]+: 3c63 0001 lh v1,1\(v1\)
1359 [ 0-9a-f]+: 3c64 8001 lh v1,-32767\(a0\)
1360 [ 0-9a-f]+: 41a3 f000 lui v1,0xf000
1361 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1362 [ 0-9a-f]+: 3c63 0000 lh v1,0\(v1\)
1363 [ 0-9a-f]+: 3c64 ffff lh v1,-1\(a0\)
1364 [ 0-9a-f]+: 41a3 1234 lui v1,0x1234
1365 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1366 [ 0-9a-f]+: 3c63 5678 lh v1,22136\(v1\)
1367 [ 0-9a-f]+: 2930 lhu v0,0\(v1\)
1368 [ 0-9a-f]+: 2930 lhu v0,0\(v1\)
1369 [ 0-9a-f]+: 2931 lhu v0,2\(v1\)
1370 [ 0-9a-f]+: 2932 lhu v0,4\(v1\)
1371 [ 0-9a-f]+: 2933 lhu v0,6\(v1\)
1372 [ 0-9a-f]+: 2934 lhu v0,8\(v1\)
1373 [ 0-9a-f]+: 2935 lhu v0,10\(v1\)
1374 [ 0-9a-f]+: 2936 lhu v0,12\(v1\)
1375 [ 0-9a-f]+: 2937 lhu v0,14\(v1\)
1376 [ 0-9a-f]+: 2938 lhu v0,16\(v1\)
1377 [ 0-9a-f]+: 2939 lhu v0,18\(v1\)
1378 [ 0-9a-f]+: 293a lhu v0,20\(v1\)
1379 [ 0-9a-f]+: 293b lhu v0,22\(v1\)
1380 [ 0-9a-f]+: 293c lhu v0,24\(v1\)
1381 [ 0-9a-f]+: 293d lhu v0,26\(v1\)
1382 [ 0-9a-f]+: 293e lhu v0,28\(v1\)
1383 [ 0-9a-f]+: 293f lhu v0,30\(v1\)
1384 [ 0-9a-f]+: 294f lhu v0,30\(a0\)
1385 [ 0-9a-f]+: 295f lhu v0,30\(a1\)
1386 [ 0-9a-f]+: 296f lhu v0,30\(a2\)
1387 [ 0-9a-f]+: 297f lhu v0,30\(a3\)
1388 [ 0-9a-f]+: 292f lhu v0,30\(v0\)
1389 [ 0-9a-f]+: 290f lhu v0,30\(s0\)
1390 [ 0-9a-f]+: 291f lhu v0,30\(s1\)
1391 [ 0-9a-f]+: 299f lhu v1,30\(s1\)
1392 [ 0-9a-f]+: 2a1f lhu a0,30\(s1\)
1393 [ 0-9a-f]+: 2a9f lhu a1,30\(s1\)
1394 [ 0-9a-f]+: 2b1f lhu a2,30\(s1\)
1395 [ 0-9a-f]+: 2b9f lhu a3,30\(s1\)
1396 [ 0-9a-f]+: 281f lhu s0,30\(s1\)
1397 [ 0-9a-f]+: 289f lhu s1,30\(s1\)
1398 [ 0-9a-f]+: 3460 0000 lhu v1,0\(zero\)
1399 [ 0-9a-f]+: 3460 0004 lhu v1,4\(zero\)
1400 [ 0-9a-f]+: 3460 0000 lhu v1,0\(zero\)
1401 [ 0-9a-f]+: 3460 0004 lhu v1,4\(zero\)
1402 [ 0-9a-f]+: 3460 7fff lhu v1,32767\(zero\)
1403 [ 0-9a-f]+: 3460 8000 lhu v1,-32768\(zero\)
1404 [ 0-9a-f]+: 41a3 0001 lui v1,0x1
1405 [ 0-9a-f]+: 3463 ffff lhu v1,-1\(v1\)
1406 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1407 [ 0-9a-f]+: 3463 0000 lhu v1,0\(v1\)
1408 [ 0-9a-f]+: 3460 8000 lhu v1,-32768\(zero\)
1409 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1410 [ 0-9a-f]+: 3463 0001 lhu v1,1\(v1\)
1411 [ 0-9a-f]+: 3460 8001 lhu v1,-32767\(zero\)
1412 [ 0-9a-f]+: 41a3 f000 lui v1,0xf000
1413 [ 0-9a-f]+: 3463 0000 lhu v1,0\(v1\)
1414 [ 0-9a-f]+: 3460 ffff lhu v1,-1\(zero\)
1415 [ 0-9a-f]+: 41a3 1234 lui v1,0x1234
1416 [ 0-9a-f]+: 3463 5678 lhu v1,22136\(v1\)
1417 [ 0-9a-f]+: 29c0 lhu v1,0\(a0\)
1418 [ 0-9a-f]+: 29c0 lhu v1,0\(a0\)
1419 [ 0-9a-f]+: 29c2 lhu v1,4\(a0\)
1420 [ 0-9a-f]+: 3464 7fff lhu v1,32767\(a0\)
1421 [ 0-9a-f]+: 3464 8000 lhu v1,-32768\(a0\)
1422 [ 0-9a-f]+: 41a3 0001 lui v1,0x1
1423 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1424 [ 0-9a-f]+: 3463 ffff lhu v1,-1\(v1\)
1425 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1426 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1427 [ 0-9a-f]+: 3463 0000 lhu v1,0\(v1\)
1428 [ 0-9a-f]+: 3464 8000 lhu v1,-32768\(a0\)
1429 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1430 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1431 [ 0-9a-f]+: 3463 0001 lhu v1,1\(v1\)
1432 [ 0-9a-f]+: 3464 8001 lhu v1,-32767\(a0\)
1433 [ 0-9a-f]+: 41a3 f000 lui v1,0xf000
1434 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1435 [ 0-9a-f]+: 3463 0000 lhu v1,0\(v1\)
1436 [ 0-9a-f]+: 3464 ffff lhu v1,-1\(a0\)
1437 [ 0-9a-f]+: 41a3 1234 lui v1,0x1234
1438 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1439 [ 0-9a-f]+: 3463 5678 lhu v1,22136\(v1\)
1440 [ 0-9a-f]+: 6060 3000 ll v1,0\(zero\)
1441 [ 0-9a-f]+: 6060 3000 ll v1,0\(zero\)
1442 [ 0-9a-f]+: 6060 3004 ll v1,4\(zero\)
1443 [ 0-9a-f]+: 6060 3004 ll v1,4\(zero\)
1444 [ 0-9a-f]+: 5060 8000 li v1,0x8000
1445 [ 0-9a-f]+: 6063 3fff ll v1,-1\(v1\)
1446 [ 0-9a-f]+: 3060 8000 li v1,-32768
1447 [ 0-9a-f]+: 6063 3000 ll v1,0\(v1\)
1448 [ 0-9a-f]+: 41a3 0001 lui v1,0x1
1449 [ 0-9a-f]+: 6063 3fff ll v1,-1\(v1\)
1450 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1451 [ 0-9a-f]+: 6063 3000 ll v1,0\(v1\)
1452 [ 0-9a-f]+: 3060 8000 li v1,-32768
1453 [ 0-9a-f]+: 6063 3000 ll v1,0\(v1\)
1454 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1455 [ 0-9a-f]+: 6063 3001 ll v1,1\(v1\)
1456 [ 0-9a-f]+: 3060 8000 li v1,-32768
1457 [ 0-9a-f]+: 6063 3001 ll v1,1\(v1\)
1458 [ 0-9a-f]+: 41a3 f000 lui v1,0xf000
1459 [ 0-9a-f]+: 6063 3000 ll v1,0\(v1\)
1460 [ 0-9a-f]+: 6060 3fff ll v1,-1\(zero\)
1461 [ 0-9a-f]+: 41a3 1234 lui v1,0x1234
1462 [ 0-9a-f]+: 5063 5000 ori v1,v1,0x5000
1463 [ 0-9a-f]+: 6063 3678 ll v1,1656\(v1\)
1464 [ 0-9a-f]+: 6064 3000 ll v1,0\(a0\)
1465 [ 0-9a-f]+: 6064 3000 ll v1,0\(a0\)
1466 [ 0-9a-f]+: 6064 3004 ll v1,4\(a0\)
1467 [ 0-9a-f]+: 5060 8000 li v1,0x8000
1468 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1469 [ 0-9a-f]+: 6063 3fff ll v1,-1\(v1\)
1470 [ 0-9a-f]+: 3060 8000 li v1,-32768
1471 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1472 [ 0-9a-f]+: 6063 3000 ll v1,0\(v1\)
1473 [ 0-9a-f]+: 41a3 0001 lui v1,0x1
1474 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1475 [ 0-9a-f]+: 6063 3fff ll v1,-1\(v1\)
1476 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1477 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1478 [ 0-9a-f]+: 6063 3000 ll v1,0\(v1\)
1479 [ 0-9a-f]+: 3060 8000 li v1,-32768
1480 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1481 [ 0-9a-f]+: 6063 3000 ll v1,0\(v1\)
1482 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1483 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1484 [ 0-9a-f]+: 6063 3001 ll v1,1\(v1\)
1485 [ 0-9a-f]+: 3060 8000 li v1,-32768
1486 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1487 [ 0-9a-f]+: 6063 3001 ll v1,1\(v1\)
1488 [ 0-9a-f]+: 41a3 f000 lui v1,0xf000
1489 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1490 [ 0-9a-f]+: 6063 3000 ll v1,0\(v1\)
1491 [ 0-9a-f]+: 6064 3fff ll v1,-1\(a0\)
1492 [ 0-9a-f]+: 41a3 1234 lui v1,0x1234
1493 [ 0-9a-f]+: 5063 5000 ori v1,v1,0x5000
1494 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1495 [ 0-9a-f]+: 6063 3678 ll v1,1656\(v1\)
1496 [ 0-9a-f]+: 41a3 0000 lui v1,0x0
1497 [ 0-9a-f]+: 41a3 7fff lui v1,0x7fff
1498 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1499 [ 0-9a-f]+: 6940 lw v0,0\(a0\)
1500 [ 0-9a-f]+: 6940 lw v0,0\(a0\)
1501 [ 0-9a-f]+: 6941 lw v0,4\(a0\)
1502 [ 0-9a-f]+: 6942 lw v0,8\(a0\)
1503 [ 0-9a-f]+: 6943 lw v0,12\(a0\)
1504 [ 0-9a-f]+: 6944 lw v0,16\(a0\)
1505 [ 0-9a-f]+: 6945 lw v0,20\(a0\)
1506 [ 0-9a-f]+: 6946 lw v0,24\(a0\)
1507 [ 0-9a-f]+: 6947 lw v0,28\(a0\)
1508 [ 0-9a-f]+: 6948 lw v0,32\(a0\)
1509 [ 0-9a-f]+: 6949 lw v0,36\(a0\)
1510 [ 0-9a-f]+: 694a lw v0,40\(a0\)
1511 [ 0-9a-f]+: 694b lw v0,44\(a0\)
1512 [ 0-9a-f]+: 694c lw v0,48\(a0\)
1513 [ 0-9a-f]+: 694d lw v0,52\(a0\)
1514 [ 0-9a-f]+: 694e lw v0,56\(a0\)
1515 [ 0-9a-f]+: 694f lw v0,60\(a0\)
1516 [ 0-9a-f]+: 695f lw v0,60\(a1\)
1517 [ 0-9a-f]+: 696f lw v0,60\(a2\)
1518 [ 0-9a-f]+: 697f lw v0,60\(a3\)
1519 [ 0-9a-f]+: 692f lw v0,60\(v0\)
1520 [ 0-9a-f]+: 693f lw v0,60\(v1\)
1521 [ 0-9a-f]+: 690f lw v0,60\(s0\)
1522 [ 0-9a-f]+: 691f lw v0,60\(s1\)
1523 [ 0-9a-f]+: 699f lw v1,60\(s1\)
1524 [ 0-9a-f]+: 6a1f lw a0,60\(s1\)
1525 [ 0-9a-f]+: 6a9f lw a1,60\(s1\)
1526 [ 0-9a-f]+: 6b1f lw a2,60\(s1\)
1527 [ 0-9a-f]+: 6b9f lw a3,60\(s1\)
1528 [ 0-9a-f]+: 681f lw s0,60\(s1\)
1529 [ 0-9a-f]+: 689f lw s1,60\(s1\)
1530 [ 0-9a-f]+: 4880 lw a0,0\(sp\)
1531 [ 0-9a-f]+: 4880 lw a0,0\(sp\)
1532 [ 0-9a-f]+: 4881 lw a0,4\(sp\)
1533 [ 0-9a-f]+: 4882 lw a0,8\(sp\)
1534 [ 0-9a-f]+: 4883 lw a0,12\(sp\)
1535 [ 0-9a-f]+: 4884 lw a0,16\(sp\)
1536 [ 0-9a-f]+: 4885 lw a0,20\(sp\)
1537 [ 0-9a-f]+: 489f lw a0,124\(sp\)
1538 [ 0-9a-f]+: 485f lw v0,124\(sp\)
1539 [ 0-9a-f]+: 485f lw v0,124\(sp\)
1540 [ 0-9a-f]+: 487f lw v1,124\(sp\)
1541 [ 0-9a-f]+: 489f lw a0,124\(sp\)
1542 [ 0-9a-f]+: 48bf lw a1,124\(sp\)
1543 [ 0-9a-f]+: 48df lw a2,124\(sp\)
1544 [ 0-9a-f]+: 48ff lw a3,124\(sp\)
1545 [ 0-9a-f]+: 491f lw t0,124\(sp\)
1546 [ 0-9a-f]+: 493f lw t1,124\(sp\)
1547 [ 0-9a-f]+: 495f lw t2,124\(sp\)
1548 [ 0-9a-f]+: 4bdf lw s8,124\(sp\)
1549 [ 0-9a-f]+: 4bff lw ra,124\(sp\)
1550 [ 0-9a-f]+: fc9d 01f8 lw a0,504\(sp\)
1551 [ 0-9a-f]+: fc9d 01fc lw a0,508\(sp\)
1552 [ 0-9a-f]+: fe1d 01fc lw s0,508\(sp\)
1553 [ 0-9a-f]+: fe3d 01fc lw s1,508\(sp\)
1554 [ 0-9a-f]+: fe5d 01fc lw s2,508\(sp\)
1555 [ 0-9a-f]+: fe7d 01fc lw s3,508\(sp\)
1556 [ 0-9a-f]+: fe9d 01fc lw s4,508\(sp\)
1557 [ 0-9a-f]+: febd 01fc lw s5,508\(sp\)
1558 [ 0-9a-f]+: fffd 01fc lw ra,508\(sp\)
1559 [ 0-9a-f]+: fc60 0000 lw v1,0\(zero\)
1560 [ 0-9a-f]+: fc60 0004 lw v1,4\(zero\)
1561 [ 0-9a-f]+: fc60 0000 lw v1,0\(zero\)
1562 [ 0-9a-f]+: fc60 0000 lw v1,0\(zero\)
1563 [ 0-9a-f]+: fc60 0000 lw v1,0\(zero\)
1564 [ 0-9a-f]+: fc60 0004 lw v1,4\(zero\)
1565 [ 0-9a-f]+: fc60 7fff lw v1,32767\(zero\)
1566 [ 0-9a-f]+: fc60 8000 lw v1,-32768\(zero\)
1567 [ 0-9a-f]+: 41a3 0001 lui v1,0x1
1568 [ 0-9a-f]+: fc63 ffff lw v1,-1\(v1\)
1569 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1570 [ 0-9a-f]+: fc63 0000 lw v1,0\(v1\)
1571 [ 0-9a-f]+: fc60 8000 lw v1,-32768\(zero\)
1572 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1573 [ 0-9a-f]+: fc63 0001 lw v1,1\(v1\)
1574 [ 0-9a-f]+: fc60 8001 lw v1,-32767\(zero\)
1575 [ 0-9a-f]+: 41a3 f000 lui v1,0xf000
1576 [ 0-9a-f]+: fc63 0000 lw v1,0\(v1\)
1577 [ 0-9a-f]+: fc60 ffff lw v1,-1\(zero\)
1578 [ 0-9a-f]+: 41a3 1234 lui v1,0x1234
1579 [ 0-9a-f]+: fc63 5678 lw v1,22136\(v1\)
1580 [ 0-9a-f]+: 69c0 lw v1,0\(a0\)
1581 [ 0-9a-f]+: 69c0 lw v1,0\(a0\)
1582 [ 0-9a-f]+: 69c1 lw v1,4\(a0\)
1583 [ 0-9a-f]+: fc64 7fff lw v1,32767\(a0\)
1584 [ 0-9a-f]+: fc64 8000 lw v1,-32768\(a0\)
1585 [ 0-9a-f]+: 41a3 0001 lui v1,0x1
1586 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1587 [ 0-9a-f]+: fc63 ffff lw v1,-1\(v1\)
1588 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1589 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1590 [ 0-9a-f]+: fc63 0000 lw v1,0\(v1\)
1591 [ 0-9a-f]+: fc64 8000 lw v1,-32768\(a0\)
1592 [ 0-9a-f]+: 41a3 ffff lui v1,0xffff
1593 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1594 [ 0-9a-f]+: fc63 0001 lw v1,1\(v1\)
1595 [ 0-9a-f]+: fc64 8001 lw v1,-32767\(a0\)
1596 [ 0-9a-f]+: 41a3 f000 lui v1,0xf000
1597 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1598 [ 0-9a-f]+: fc63 0000 lw v1,0\(v1\)
1599 [ 0-9a-f]+: fc64 ffff lw v1,-1\(a0\)
1600 [ 0-9a-f]+: 41a3 1234 lui v1,0x1234
1601 [ 0-9a-f]+: 0083 1950 addu v1,v1,a0
1602 [ 0-9a-f]+: fc63 5678 lw v1,22136\(v1\)
1603 [ 0-9a-f]+: 450c lwm s0,ra,48\(sp\)
1604 [ 0-9a-f]+: 451c lwm s0-s1,ra,48\(sp\)
1605 [ 0-9a-f]+: 451c lwm s0-s1,ra,48\(sp\)
1606 [ 0-9a-f]+: 452c lwm s0-s2,ra,48\(sp\)
1607 [ 0-9a-f]+: 452c lwm s0-s2,ra,48\(sp\)
1608 [ 0-9a-f]+: 453c lwm s0-s3,ra,48\(sp\)
1609 [ 0-9a-f]+: 453c lwm s0-s3,ra,48\(sp\)
1610 [ 0-9a-f]+: 4500 lwm s0,ra,0\(sp\)
1611 [ 0-9a-f]+: 4500 lwm s0,ra,0\(sp\)
1612 [ 0-9a-f]+: 4501 lwm s0,ra,4\(sp\)
1613 [ 0-9a-f]+: 4502 lwm s0,ra,8\(sp\)
1614 [ 0-9a-f]+: 4503 lwm s0,ra,12\(sp\)
1615 [ 0-9a-f]+: 4504 lwm s0,ra,16\(sp\)
1616 [ 0-9a-f]+: 4505 lwm s0,ra,20\(sp\)
1617 [ 0-9a-f]+: 4506 lwm s0,ra,24\(sp\)
1618 [ 0-9a-f]+: 4507 lwm s0,ra,28\(sp\)
1619 [ 0-9a-f]+: 4508 lwm s0,ra,32\(sp\)
1620 [ 0-9a-f]+: 4509 lwm s0,ra,36\(sp\)
1621 [ 0-9a-f]+: 450a lwm s0,ra,40\(sp\)
1622 [ 0-9a-f]+: 450b lwm s0,ra,44\(sp\)
1623 [ 0-9a-f]+: 450c lwm s0,ra,48\(sp\)
1624 [ 0-9a-f]+: 450d lwm s0,ra,52\(sp\)
1625 [ 0-9a-f]+: 450e lwm s0,ra,56\(sp\)
1626 [ 0-9a-f]+: 450f lwm s0,ra,60\(sp\)
1627 [ 0-9a-f]+: 2020 5000 lwm s0,0\(zero\)
1628 [ 0-9a-f]+: 2020 5004 lwm s0,4\(zero\)
1629 [ 0-9a-f]+: 2025 5000 lwm s0,0\(a1\)
1630 [ 0-9a-f]+: 2025 57ff lwm s0,2047\(a1\)
1631 [ 0-9a-f]+: 2045 57ff lwm s0-s1,2047\(a1\)
1632 [ 0-9a-f]+: 2065 57ff lwm s0-s2,2047\(a1\)
1633 [ 0-9a-f]+: 2085 57ff lwm s0-s3,2047\(a1\)
1634 [ 0-9a-f]+: 20a5 57ff lwm s0-s4,2047\(a1\)
1635 [ 0-9a-f]+: 20c5 57ff lwm s0-s5,2047\(a1\)
1636 [ 0-9a-f]+: 20e5 57ff lwm s0-s6,2047\(a1\)
1637 [ 0-9a-f]+: 2105 57ff lwm s0-s7,2047\(a1\)
1638 [ 0-9a-f]+: 2125 57ff lwm s0-s7,s8,2047\(a1\)
1639 [ 0-9a-f]+: 2205 57ff lwm ra,2047\(a1\)
1640 [ 0-9a-f]+: 2225 5000 lwm s0,ra,0\(a1\)
1641 [ 0-9a-f]+: 2245 5000 lwm s0-s1,ra,0\(a1\)
1642 [ 0-9a-f]+: 2265 5000 lwm s0-s2,ra,0\(a1\)
1643 [ 0-9a-f]+: 2285 5000 lwm s0-s3,ra,0\(a1\)
1644 [ 0-9a-f]+: 22a5 5000 lwm s0-s4,ra,0\(a1\)
1645 [ 0-9a-f]+: 22c5 5000 lwm s0-s5,ra,0\(a1\)
1646 [ 0-9a-f]+: 22e5 5000 lwm s0-s6,ra,0\(a1\)
1647 [ 0-9a-f]+: 2305 5000 lwm s0-s7,ra,0\(a1\)
1648 [ 0-9a-f]+: 2325 5000 lwm s0-s7,s8,ra,0\(a1\)
1649 [ 0-9a-f]+: 3020 8000 li at,-32768
1650 [ 0-9a-f]+: 2021 5000 lwm s0,0\(at\)
1651 [ 0-9a-f]+: 5020 8000 li at,0x8000
1652 [ 0-9a-f]+: 2021 5fff lwm s0,-1\(at\)
1653 [ 0-9a-f]+: 2020 5000 lwm s0,0\(zero\)
1654 [ 0-9a-f]+: 41a1 0001 lui at,0x1
1655 [ 0-9a-f]+: 2021 5fff lwm s0,-1\(at\)
1656 [ 0-9a-f]+: 3020 8000 li at,-32768
1657 [ 0-9a-f]+: 03a1 0950 addu at,at,sp
1658 [ 0-9a-f]+: 2021 5000 lwm s0,0\(at\)
1659 [ 0-9a-f]+: 5020 8000 li at,0x8000
1660 [ 0-9a-f]+: 03a1 0950 addu at,at,sp
1661 [ 0-9a-f]+: 2021 5fff lwm s0,-1\(at\)
1662 [ 0-9a-f]+: 203d 5000 lwm s0,0\(sp\)
1663 [ 0-9a-f]+: 41a1 0001 lui at,0x1
1664 [ 0-9a-f]+: 03a1 0950 addu at,at,sp
1665 [ 0-9a-f]+: 2021 5fff lwm s0,-1\(at\)
1666 [ 0-9a-f]+: 2040 1000 lwp v0,0\(zero\)
1667 [ 0-9a-f]+: 2040 1004 lwp v0,4\(zero\)
1668 [ 0-9a-f]+: 205d 1000 lwp v0,0\(sp\)
1669 [ 0-9a-f]+: 205d 1000 lwp v0,0\(sp\)
1670 [ 0-9a-f]+: 2043 1800 lwp v0,-2048\(v1\)
1671 [ 0-9a-f]+: 2043 17ff lwp v0,2047\(v1\)
1672 [ 0-9a-f]+: 3020 8000 li at,-32768
1673 [ 0-9a-f]+: 0061 0950 addu at,at,v1
1674 [ 0-9a-f]+: 2041 1000 lwp v0,0\(at\)
1675 [ 0-9a-f]+: 5020 8000 li at,0x8000
1676 [ 0-9a-f]+: 0061 0950 addu at,at,v1
1677 [ 0-9a-f]+: 2041 1fff lwp v0,-1\(at\)
1678 [ 0-9a-f]+: 2043 1000 lwp v0,0\(v1\)
1679 [ 0-9a-f]+: 41a1 0001 lui at,0x1
1680 [ 0-9a-f]+: 0061 0950 addu at,at,v1
1681 [ 0-9a-f]+: 2041 1fff lwp v0,-1\(at\)
1682 [ 0-9a-f]+: 3060 8000 li v1,-32768
1683 [ 0-9a-f]+: 2043 1000 lwp v0,0\(v1\)
1684 [ 0-9a-f]+: 5060 8000 li v1,0x8000
1685 [ 0-9a-f]+: 2043 1fff lwp v0,-1\(v1\)
1686 [ 0-9a-f]+: 41a3 0001 lui v1,0x1
1687 [ 0-9a-f]+: 2043 1fff lwp v0,-1\(v1\)
1688 [ 0-9a-f]+: 6060 0004 lwl v1,4\(zero\)
1689 [ 0-9a-f]+: 6060 0004 lwl v1,4\(zero\)
1690 [ 0-9a-f]+: 6060 0000 lwl v1,0\(zero\)
1691 [ 0-9a-f]+: 6060 0000 lwl v1,0\(zero\)
1692 [ 0-9a-f]+: 6060 07ff lwl v1,2047\(zero\)
1693 [ 0-9a-f]+: 6060 0800 lwl v1,-2048\(zero\)
1694 [ 0-9a-f]+: 5020 8000 li at,0x8000
1695 [ 0-9a-f]+: 6061 0fff lwl v1,-1\(at\)
1696 [ 0-9a-f]+: 3020 8000 li at,-32768
1697 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1698 [ 0-9a-f]+: 41a1 0001 lui at,0x1
1699 [ 0-9a-f]+: 6061 0fff lwl v1,-1\(at\)
1700 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1701 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1702 [ 0-9a-f]+: 3020 8000 li at,-32768
1703 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1704 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1705 [ 0-9a-f]+: 6061 0001 lwl v1,1\(at\)
1706 [ 0-9a-f]+: 3020 8000 li at,-32768
1707 [ 0-9a-f]+: 6061 0001 lwl v1,1\(at\)
1708 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
1709 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1710 [ 0-9a-f]+: 6060 0fff lwl v1,-1\(zero\)
1711 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
1712 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
1713 [ 0-9a-f]+: 6061 0678 lwl v1,1656\(at\)
1714 [ 0-9a-f]+: 6064 0000 lwl v1,0\(a0\)
1715 [ 0-9a-f]+: 6064 0000 lwl v1,0\(a0\)
1716 [ 0-9a-f]+: 6064 07ff lwl v1,2047\(a0\)
1717 [ 0-9a-f]+: 6064 0800 lwl v1,-2048\(a0\)
1718 [ 0-9a-f]+: 5020 8000 li at,0x8000
1719 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1720 [ 0-9a-f]+: 6061 0fff lwl v1,-1\(at\)
1721 [ 0-9a-f]+: 3020 8000 li at,-32768
1722 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1723 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1724 [ 0-9a-f]+: 41a1 0001 lui at,0x1
1725 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1726 [ 0-9a-f]+: 6061 0fff lwl v1,-1\(at\)
1727 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1728 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1729 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1730 [ 0-9a-f]+: 3020 8000 li at,-32768
1731 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1732 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1733 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1734 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1735 [ 0-9a-f]+: 6061 0001 lwl v1,1\(at\)
1736 [ 0-9a-f]+: 3020 8000 li at,-32768
1737 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1738 [ 0-9a-f]+: 6061 0001 lwl v1,1\(at\)
1739 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
1740 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1741 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1742 [ 0-9a-f]+: 6064 0fff lwl v1,-1\(a0\)
1743 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
1744 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
1745 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1746 [ 0-9a-f]+: 6061 0678 lwl v1,1656\(at\)
1747 [ 0-9a-f]+: 6060 0004 lwl v1,4\(zero\)
1748 [ 0-9a-f]+: 6060 0004 lwl v1,4\(zero\)
1749 [ 0-9a-f]+: 6060 0000 lwl v1,0\(zero\)
1750 [ 0-9a-f]+: 6060 0000 lwl v1,0\(zero\)
1751 [ 0-9a-f]+: 6060 07ff lwl v1,2047\(zero\)
1752 [ 0-9a-f]+: 6060 0800 lwl v1,-2048\(zero\)
1753 [ 0-9a-f]+: 5020 8000 li at,0x8000
1754 [ 0-9a-f]+: 6061 0fff lwl v1,-1\(at\)
1755 [ 0-9a-f]+: 3020 8000 li at,-32768
1756 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1757 [ 0-9a-f]+: 41a1 0001 lui at,0x1
1758 [ 0-9a-f]+: 6061 0fff lwl v1,-1\(at\)
1759 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1760 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1761 [ 0-9a-f]+: 3020 8000 li at,-32768
1762 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1763 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1764 [ 0-9a-f]+: 6061 0001 lwl v1,1\(at\)
1765 [ 0-9a-f]+: 3020 8000 li at,-32768
1766 [ 0-9a-f]+: 6061 0001 lwl v1,1\(at\)
1767 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
1768 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1769 [ 0-9a-f]+: 6060 0fff lwl v1,-1\(zero\)
1770 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
1771 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
1772 [ 0-9a-f]+: 6061 0678 lwl v1,1656\(at\)
1773 [ 0-9a-f]+: 6064 0000 lwl v1,0\(a0\)
1774 [ 0-9a-f]+: 6064 0000 lwl v1,0\(a0\)
1775 [ 0-9a-f]+: 6064 07ff lwl v1,2047\(a0\)
1776 [ 0-9a-f]+: 6064 0800 lwl v1,-2048\(a0\)
1777 [ 0-9a-f]+: 5020 8000 li at,0x8000
1778 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1779 [ 0-9a-f]+: 6061 0fff lwl v1,-1\(at\)
1780 [ 0-9a-f]+: 3020 8000 li at,-32768
1781 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1782 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1783 [ 0-9a-f]+: 41a1 0001 lui at,0x1
1784 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1785 [ 0-9a-f]+: 6061 0fff lwl v1,-1\(at\)
1786 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1787 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1788 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1789 [ 0-9a-f]+: 3020 8000 li at,-32768
1790 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1791 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1792 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1793 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1794 [ 0-9a-f]+: 6061 0001 lwl v1,1\(at\)
1795 [ 0-9a-f]+: 3020 8000 li at,-32768
1796 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1797 [ 0-9a-f]+: 6061 0001 lwl v1,1\(at\)
1798 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
1799 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1800 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
1801 [ 0-9a-f]+: 6064 0fff lwl v1,-1\(a0\)
1802 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
1803 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
1804 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1805 [ 0-9a-f]+: 6061 0678 lwl v1,1656\(at\)
1806 [ 0-9a-f]+: 6060 1004 lwr v1,4\(zero\)
1807 [ 0-9a-f]+: 6060 1004 lwr v1,4\(zero\)
1808 [ 0-9a-f]+: 6060 1000 lwr v1,0\(zero\)
1809 [ 0-9a-f]+: 6060 1000 lwr v1,0\(zero\)
1810 [ 0-9a-f]+: 6060 17ff lwr v1,2047\(zero\)
1811 [ 0-9a-f]+: 6060 1800 lwr v1,-2048\(zero\)
1812 [ 0-9a-f]+: 5020 8000 li at,0x8000
1813 [ 0-9a-f]+: 6061 1fff lwr v1,-1\(at\)
1814 [ 0-9a-f]+: 3020 8000 li at,-32768
1815 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1816 [ 0-9a-f]+: 41a1 0001 lui at,0x1
1817 [ 0-9a-f]+: 6061 1fff lwr v1,-1\(at\)
1818 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1819 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1820 [ 0-9a-f]+: 3020 8000 li at,-32768
1821 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1822 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1823 [ 0-9a-f]+: 6061 1001 lwr v1,1\(at\)
1824 [ 0-9a-f]+: 3020 8000 li at,-32768
1825 [ 0-9a-f]+: 6061 1001 lwr v1,1\(at\)
1826 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
1827 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1828 [ 0-9a-f]+: 6060 1fff lwr v1,-1\(zero\)
1829 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
1830 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
1831 [ 0-9a-f]+: 6061 1678 lwr v1,1656\(at\)
1832 [ 0-9a-f]+: 6064 1000 lwr v1,0\(a0\)
1833 [ 0-9a-f]+: 6064 1000 lwr v1,0\(a0\)
1834 [ 0-9a-f]+: 6064 17ff lwr v1,2047\(a0\)
1835 [ 0-9a-f]+: 6064 1800 lwr v1,-2048\(a0\)
1836 [ 0-9a-f]+: 5020 8000 li at,0x8000
1837 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1838 [ 0-9a-f]+: 6061 1fff lwr v1,-1\(at\)
1839 [ 0-9a-f]+: 3020 8000 li at,-32768
1840 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1841 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1842 [ 0-9a-f]+: 41a1 0001 lui at,0x1
1843 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1844 [ 0-9a-f]+: 6061 1fff lwr v1,-1\(at\)
1845 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1846 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1847 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1848 [ 0-9a-f]+: 3020 8000 li at,-32768
1849 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1850 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1851 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1852 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1853 [ 0-9a-f]+: 6061 1001 lwr v1,1\(at\)
1854 [ 0-9a-f]+: 3020 8000 li at,-32768
1855 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1856 [ 0-9a-f]+: 6061 1001 lwr v1,1\(at\)
1857 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
1858 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1859 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1860 [ 0-9a-f]+: 6064 1fff lwr v1,-1\(a0\)
1861 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
1862 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
1863 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1864 [ 0-9a-f]+: 6061 1678 lwr v1,1656\(at\)
1865 [ 0-9a-f]+: 6060 1004 lwr v1,4\(zero\)
1866 [ 0-9a-f]+: 6060 1004 lwr v1,4\(zero\)
1867 [ 0-9a-f]+: 6060 1000 lwr v1,0\(zero\)
1868 [ 0-9a-f]+: 6060 1000 lwr v1,0\(zero\)
1869 [ 0-9a-f]+: 6060 17ff lwr v1,2047\(zero\)
1870 [ 0-9a-f]+: 6060 1800 lwr v1,-2048\(zero\)
1871 [ 0-9a-f]+: 5020 8000 li at,0x8000
1872 [ 0-9a-f]+: 6061 1fff lwr v1,-1\(at\)
1873 [ 0-9a-f]+: 3020 8000 li at,-32768
1874 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1875 [ 0-9a-f]+: 41a1 0001 lui at,0x1
1876 [ 0-9a-f]+: 6061 1fff lwr v1,-1\(at\)
1877 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1878 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1879 [ 0-9a-f]+: 3020 8000 li at,-32768
1880 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1881 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1882 [ 0-9a-f]+: 6061 1001 lwr v1,1\(at\)
1883 [ 0-9a-f]+: 3020 8000 li at,-32768
1884 [ 0-9a-f]+: 6061 1001 lwr v1,1\(at\)
1885 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
1886 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1887 [ 0-9a-f]+: 6060 1fff lwr v1,-1\(zero\)
1888 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
1889 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
1890 [ 0-9a-f]+: 6061 1678 lwr v1,1656\(at\)
1891 [ 0-9a-f]+: 6064 1000 lwr v1,0\(a0\)
1892 [ 0-9a-f]+: 6064 1000 lwr v1,0\(a0\)
1893 [ 0-9a-f]+: 6064 17ff lwr v1,2047\(a0\)
1894 [ 0-9a-f]+: 6064 1800 lwr v1,-2048\(a0\)
1895 [ 0-9a-f]+: 5020 8000 li at,0x8000
1896 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1897 [ 0-9a-f]+: 6061 1fff lwr v1,-1\(at\)
1898 [ 0-9a-f]+: 3020 8000 li at,-32768
1899 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1900 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1901 [ 0-9a-f]+: 41a1 0001 lui at,0x1
1902 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1903 [ 0-9a-f]+: 6061 1fff lwr v1,-1\(at\)
1904 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1905 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1906 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1907 [ 0-9a-f]+: 3020 8000 li at,-32768
1908 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1909 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1910 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
1911 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1912 [ 0-9a-f]+: 6061 1001 lwr v1,1\(at\)
1913 [ 0-9a-f]+: 3020 8000 li at,-32768
1914 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1915 [ 0-9a-f]+: 6061 1001 lwr v1,1\(at\)
1916 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
1917 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1918 [ 0-9a-f]+: 6061 1000 lwr v1,0\(at\)
1919 [ 0-9a-f]+: 6064 1fff lwr v1,-1\(a0\)
1920 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
1921 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
1922 [ 0-9a-f]+: 0081 0950 addu at,at,a0
1923 [ 0-9a-f]+: 6061 1678 lwr v1,1656\(at\)
1924 [ 0-9a-f]+: 0085 1918 lwxs v1,a0\(a1\)
1925 [ 0-9a-f]+: 00a4 cb3c madd a0,a1
1926 [ 0-9a-f]+: 00a4 db3c maddu a0,a1
1927 [ 0-9a-f]+: 0040 00fc mfc0 v0,c0_index
1928 [ 0-9a-f]+: 0041 00fc mfc0 v0,c0_random
1929 [ 0-9a-f]+: 0042 00fc mfc0 v0,c0_entrylo0
1930 [ 0-9a-f]+: 0043 00fc mfc0 v0,c0_entrylo1
1931 [ 0-9a-f]+: 0044 00fc mfc0 v0,c0_context
1932 [ 0-9a-f]+: 0045 00fc mfc0 v0,c0_pagemask
1933 [ 0-9a-f]+: 0046 00fc mfc0 v0,c0_wired
1934 [ 0-9a-f]+: 0047 00fc mfc0 v0,c0_hwrena
1935 [ 0-9a-f]+: 0048 00fc mfc0 v0,c0_badvaddr
1936 [ 0-9a-f]+: 0049 00fc mfc0 v0,c0_count
1937 [ 0-9a-f]+: 004a 00fc mfc0 v0,c0_entryhi
1938 [ 0-9a-f]+: 004b 00fc mfc0 v0,c0_compare
1939 [ 0-9a-f]+: 004c 00fc mfc0 v0,c0_status
1940 [ 0-9a-f]+: 004d 00fc mfc0 v0,c0_cause
1941 [ 0-9a-f]+: 004e 00fc mfc0 v0,c0_epc
1942 [ 0-9a-f]+: 004f 00fc mfc0 v0,c0_prid
1943 [ 0-9a-f]+: 0050 00fc mfc0 v0,c0_config
1944 [ 0-9a-f]+: 0051 00fc mfc0 v0,c0_lladdr
1945 [ 0-9a-f]+: 0052 00fc mfc0 v0,c0_watchlo
1946 [ 0-9a-f]+: 0053 00fc mfc0 v0,c0_watchhi
1947 [ 0-9a-f]+: 0054 00fc mfc0 v0,c0_xcontext
1948 [ 0-9a-f]+: 0055 00fc mfc0 v0,\$21
1949 [ 0-9a-f]+: 0056 00fc mfc0 v0,\$22
1950 [ 0-9a-f]+: 0057 00fc mfc0 v0,c0_debug
1951 [ 0-9a-f]+: 0058 00fc mfc0 v0,c0_depc
1952 [ 0-9a-f]+: 0059 00fc mfc0 v0,c0_perfcnt
1953 [ 0-9a-f]+: 005a 00fc mfc0 v0,c0_errctl
1954 [ 0-9a-f]+: 005b 00fc mfc0 v0,c0_cacheerr
1955 [ 0-9a-f]+: 005c 00fc mfc0 v0,c0_taglo
1956 [ 0-9a-f]+: 005d 00fc mfc0 v0,c0_taghi
1957 [ 0-9a-f]+: 005e 00fc mfc0 v0,c0_errorepc
1958 [ 0-9a-f]+: 005f 00fc mfc0 v0,c0_desave
1959 [ 0-9a-f]+: 0040 00fc mfc0 v0,c0_index
1960 [ 0-9a-f]+: 0040 08fc mfc0 v0,c0_mvpcontrol
1961 [ 0-9a-f]+: 0040 10fc mfc0 v0,c0_mvpconf0
1962 [ 0-9a-f]+: 0040 18fc mfc0 v0,c0_mvpconf1
1963 [ 0-9a-f]+: 0040 20fc mfc0 v0,\$0,4
1964 [ 0-9a-f]+: 0040 28fc mfc0 v0,\$0,5
1965 [ 0-9a-f]+: 0040 30fc mfc0 v0,\$0,6
1966 [ 0-9a-f]+: 0040 38fc mfc0 v0,\$0,7
1967 [ 0-9a-f]+: 0041 00fc mfc0 v0,c0_random
1968 [ 0-9a-f]+: 0041 08fc mfc0 v0,c0_vpecontrol
1969 [ 0-9a-f]+: 0041 10fc mfc0 v0,c0_vpeconf0
1970 [ 0-9a-f]+: 0041 18fc mfc0 v0,c0_vpeconf1
1971 [ 0-9a-f]+: 0041 20fc mfc0 v0,c0_yqmask
1972 [ 0-9a-f]+: 0041 28fc mfc0 v0,c0_vpeschedule
1973 [ 0-9a-f]+: 0041 30fc mfc0 v0,c0_vpeschefback
1974 [ 0-9a-f]+: 0041 38fc mfc0 v0,\$1,7
1975 [ 0-9a-f]+: 0042 00fc mfc0 v0,c0_entrylo0
1976 [ 0-9a-f]+: 0042 08fc mfc0 v0,c0_tcstatus
1977 [ 0-9a-f]+: 0042 10fc mfc0 v0,c0_tcbind
1978 [ 0-9a-f]+: 0042 18fc mfc0 v0,c0_tcrestart
1979 [ 0-9a-f]+: 0042 20fc mfc0 v0,c0_tchalt
1980 [ 0-9a-f]+: 0042 28fc mfc0 v0,c0_tccontext
1981 [ 0-9a-f]+: 0042 30fc mfc0 v0,c0_tcschedule
1982 [ 0-9a-f]+: 0042 38fc mfc0 v0,c0_tcschefback
1983 [ 0-9a-f]+: 4600 mfhi zero
1984 [ 0-9a-f]+: 4602 mfhi v0
1985 [ 0-9a-f]+: 4603 mfhi v1
1986 [ 0-9a-f]+: 4604 mfhi a0
1987 [ 0-9a-f]+: 461d mfhi sp
1988 [ 0-9a-f]+: 461e mfhi s8
1989 [ 0-9a-f]+: 461f mfhi ra
1990 [ 0-9a-f]+: 0000 0d7c mfhi zero
1991 [ 0-9a-f]+: 0002 0d7c mfhi v0
1992 [ 0-9a-f]+: 0003 0d7c mfhi v1
1993 [ 0-9a-f]+: 0004 0d7c mfhi a0
1994 [ 0-9a-f]+: 001d 0d7c mfhi sp
1995 [ 0-9a-f]+: 001e 0d7c mfhi s8
1996 [ 0-9a-f]+: 001f 0d7c mfhi ra
1997 [ 0-9a-f]+: 4640 mflo zero
1998 [ 0-9a-f]+: 4642 mflo v0
1999 [ 0-9a-f]+: 4643 mflo v1
2000 [ 0-9a-f]+: 4644 mflo a0
2001 [ 0-9a-f]+: 465d mflo sp
2002 [ 0-9a-f]+: 465e mflo s8
2003 [ 0-9a-f]+: 465f mflo ra
2004 [ 0-9a-f]+: 0000 1d7c mflo zero
2005 [ 0-9a-f]+: 0002 1d7c mflo v0
2006 [ 0-9a-f]+: 0003 1d7c mflo v1
2007 [ 0-9a-f]+: 0004 1d7c mflo a0
2008 [ 0-9a-f]+: 001d 1d7c mflo sp
2009 [ 0-9a-f]+: 001e 1d7c mflo s8
2010 [ 0-9a-f]+: 001f 1d7c mflo ra
2011 [ 0-9a-f]+: 0062 1018 movn v0,v0,v1
2012 [ 0-9a-f]+: 0062 1018 movn v0,v0,v1
2013 [ 0-9a-f]+: 0083 1018 movn v0,v1,a0
2014 [ 0-9a-f]+: 0062 1058 movz v0,v0,v1
2015 [ 0-9a-f]+: 0062 1058 movz v0,v0,v1
2016 [ 0-9a-f]+: 0083 1058 movz v0,v1,a0
2017 [ 0-9a-f]+: 00a4 eb3c msub a0,a1
2018 [ 0-9a-f]+: 00a4 fb3c msubu a0,a1
2019 [ 0-9a-f]+: 0040 02fc mtc0 v0,c0_index
2020 [ 0-9a-f]+: 0041 02fc mtc0 v0,c0_random
2021 [ 0-9a-f]+: 0042 02fc mtc0 v0,c0_entrylo0
2022 [ 0-9a-f]+: 0043 02fc mtc0 v0,c0_entrylo1
2023 [ 0-9a-f]+: 0044 02fc mtc0 v0,c0_context
2024 [ 0-9a-f]+: 0045 02fc mtc0 v0,c0_pagemask
2025 [ 0-9a-f]+: 0046 02fc mtc0 v0,c0_wired
2026 [ 0-9a-f]+: 0047 02fc mtc0 v0,c0_hwrena
2027 [ 0-9a-f]+: 0048 02fc mtc0 v0,c0_badvaddr
2028 [ 0-9a-f]+: 0049 02fc mtc0 v0,c0_count
2029 [ 0-9a-f]+: 004a 02fc mtc0 v0,c0_entryhi
2030 [ 0-9a-f]+: 004b 02fc mtc0 v0,c0_compare
2031 [ 0-9a-f]+: 004c 02fc mtc0 v0,c0_status
2032 [ 0-9a-f]+: 004d 02fc mtc0 v0,c0_cause
2033 [ 0-9a-f]+: 004e 02fc mtc0 v0,c0_epc
2034 [ 0-9a-f]+: 004f 02fc mtc0 v0,c0_prid
2035 [ 0-9a-f]+: 0050 02fc mtc0 v0,c0_config
2036 [ 0-9a-f]+: 0051 02fc mtc0 v0,c0_lladdr
2037 [ 0-9a-f]+: 0052 02fc mtc0 v0,c0_watchlo
2038 [ 0-9a-f]+: 0053 02fc mtc0 v0,c0_watchhi
2039 [ 0-9a-f]+: 0054 02fc mtc0 v0,c0_xcontext
2040 [ 0-9a-f]+: 0055 02fc mtc0 v0,\$21
2041 [ 0-9a-f]+: 0056 02fc mtc0 v0,\$22
2042 [ 0-9a-f]+: 0057 02fc mtc0 v0,c0_debug
2043 [ 0-9a-f]+: 0058 02fc mtc0 v0,c0_depc
2044 [ 0-9a-f]+: 0059 02fc mtc0 v0,c0_perfcnt
2045 [ 0-9a-f]+: 005a 02fc mtc0 v0,c0_errctl
2046 [ 0-9a-f]+: 005b 02fc mtc0 v0,c0_cacheerr
2047 [ 0-9a-f]+: 005c 02fc mtc0 v0,c0_taglo
2048 [ 0-9a-f]+: 005d 02fc mtc0 v0,c0_taghi
2049 [ 0-9a-f]+: 005e 02fc mtc0 v0,c0_errorepc
2050 [ 0-9a-f]+: 005f 02fc mtc0 v0,c0_desave
2051 [ 0-9a-f]+: 0040 02fc mtc0 v0,c0_index
2052 [ 0-9a-f]+: 0040 0afc mtc0 v0,c0_mvpcontrol
2053 [ 0-9a-f]+: 0040 12fc mtc0 v0,c0_mvpconf0
2054 [ 0-9a-f]+: 0040 1afc mtc0 v0,c0_mvpconf1
2055 [ 0-9a-f]+: 0040 22fc mtc0 v0,\$0,4
2056 [ 0-9a-f]+: 0040 2afc mtc0 v0,\$0,5
2057 [ 0-9a-f]+: 0040 32fc mtc0 v0,\$0,6
2058 [ 0-9a-f]+: 0040 3afc mtc0 v0,\$0,7
2059 [ 0-9a-f]+: 0041 02fc mtc0 v0,c0_random
2060 [ 0-9a-f]+: 0041 0afc mtc0 v0,c0_vpecontrol
2061 [ 0-9a-f]+: 0041 12fc mtc0 v0,c0_vpeconf0
2062 [ 0-9a-f]+: 0041 1afc mtc0 v0,c0_vpeconf1
2063 [ 0-9a-f]+: 0041 22fc mtc0 v0,c0_yqmask
2064 [ 0-9a-f]+: 0041 2afc mtc0 v0,c0_vpeschedule
2065 [ 0-9a-f]+: 0041 32fc mtc0 v0,c0_vpeschefback
2066 [ 0-9a-f]+: 0041 3afc mtc0 v0,\$1,7
2067 [ 0-9a-f]+: 0042 02fc mtc0 v0,c0_entrylo0
2068 [ 0-9a-f]+: 0042 0afc mtc0 v0,c0_tcstatus
2069 [ 0-9a-f]+: 0042 12fc mtc0 v0,c0_tcbind
2070 [ 0-9a-f]+: 0042 1afc mtc0 v0,c0_tcrestart
2071 [ 0-9a-f]+: 0042 22fc mtc0 v0,c0_tchalt
2072 [ 0-9a-f]+: 0042 2afc mtc0 v0,c0_tccontext
2073 [ 0-9a-f]+: 0042 32fc mtc0 v0,c0_tcschedule
2074 [ 0-9a-f]+: 0042 3afc mtc0 v0,c0_tcschefback
2075 [ 0-9a-f]+: 0000 2d7c mthi zero
2076 [ 0-9a-f]+: 0002 2d7c mthi v0
2077 [ 0-9a-f]+: 0003 2d7c mthi v1
2078 [ 0-9a-f]+: 0004 2d7c mthi a0
2079 [ 0-9a-f]+: 001d 2d7c mthi sp
2080 [ 0-9a-f]+: 001e 2d7c mthi s8
2081 [ 0-9a-f]+: 001f 2d7c mthi ra
2082 [ 0-9a-f]+: 0000 3d7c mtlo zero
2083 [ 0-9a-f]+: 0002 3d7c mtlo v0
2084 [ 0-9a-f]+: 0003 3d7c mtlo v1
2085 [ 0-9a-f]+: 0004 3d7c mtlo a0
2086 [ 0-9a-f]+: 001d 3d7c mtlo sp
2087 [ 0-9a-f]+: 001e 3d7c mtlo s8
2088 [ 0-9a-f]+: 001f 3d7c mtlo ra
2089 [ 0-9a-f]+: 0083 1210 mul v0,v1,a0
2090 [ 0-9a-f]+: 03fe ea10 mul sp,s8,ra
2091 [ 0-9a-f]+: 0082 1210 mul v0,v0,a0
2092 [ 0-9a-f]+: 0082 1210 mul v0,v0,a0
2093 [ 0-9a-f]+: 3020 0000 li at,0
2094 [ 0-9a-f]+: 0022 8b3c mult v0,at
2095 [ 0-9a-f]+: 4642 mflo v0
2096 [ 0-9a-f]+: 3020 0001 li at,1
2097 [ 0-9a-f]+: 0022 8b3c mult v0,at
2098 [ 0-9a-f]+: 4642 mflo v0
2099 [ 0-9a-f]+: 3020 7fff li at,32767
2100 [ 0-9a-f]+: 0022 8b3c mult v0,at
2101 [ 0-9a-f]+: 4642 mflo v0
2102 [ 0-9a-f]+: 3020 8000 li at,-32768
2103 [ 0-9a-f]+: 0022 8b3c mult v0,at
2104 [ 0-9a-f]+: 4642 mflo v0
2105 [ 0-9a-f]+: 5020 ffff li at,0xffff
2106 [ 0-9a-f]+: 0022 8b3c mult v0,at
2107 [ 0-9a-f]+: 4642 mflo v0
2108 [ 0-9a-f]+: 0083 8b3c mult v1,a0
2109 [ 0-9a-f]+: 4642 mflo v0
2110 [ 0-9a-f]+: 0042 f880 sra v0,v0,0x1f
2111 [ 0-9a-f]+: 4601 mfhi at
2112 [ 0-9a-f]+: 0022 6c3c tne v0,at,0x6
2113 [ 0-9a-f]+: 4642 mflo v0
2114 [ 0-9a-f]+: 3020 0004 li at,4
2115 [ 0-9a-f]+: 0023 8b3c mult v1,at
2116 [ 0-9a-f]+: 4642 mflo v0
2117 [ 0-9a-f]+: 0042 f880 sra v0,v0,0x1f
2118 [ 0-9a-f]+: 4601 mfhi at
2119 [ 0-9a-f]+: 0022 6c3c tne v0,at,0x6
2120 [ 0-9a-f]+: 4642 mflo v0
2121 [ 0-9a-f]+: 0083 9b3c multu v1,a0
2122 [ 0-9a-f]+: 4601 mfhi at
2123 [ 0-9a-f]+: 4642 mflo v0
2124 [ 0-9a-f]+: 0001 6c3c tne at,zero,0x6
2125 [ 0-9a-f]+: 3020 0004 li at,4
2126 [ 0-9a-f]+: 0023 9b3c multu v1,at
2127 [ 0-9a-f]+: 4601 mfhi at
2128 [ 0-9a-f]+: 4642 mflo v0
2129 [ 0-9a-f]+: 0001 6c3c tne at,zero,0x6
2130 [ 0-9a-f]+: 0062 8b3c mult v0,v1
2131 [ 0-9a-f]+: 0062 9b3c multu v0,v1
2132 [ 0-9a-f]+: 0060 1190 neg v0,v1
2133 [ 0-9a-f]+: 0040 1190 neg v0,v0
2134 [ 0-9a-f]+: 0040 1190 neg v0,v0
2135 [ 0-9a-f]+: 0060 11d0 negu v0,v1
2136 [ 0-9a-f]+: 0040 11d0 negu v0,v0
2137 [ 0-9a-f]+: 0040 11d0 negu v0,v0
2138 [ 0-9a-f]+: 0060 11d0 negu v0,v1
2139 [ 0-9a-f]+: 0040 11d0 negu v0,v0
2140 [ 0-9a-f]+: 0040 11d0 negu v0,v0
2141 [ 0-9a-f]+: 4412 not v0,v0
2142 [ 0-9a-f]+: 4412 not v0,v0
2143 [ 0-9a-f]+: 4413 not v0,v1
2144 [ 0-9a-f]+: 4414 not v0,a0
2145 [ 0-9a-f]+: 4415 not v0,a1
2146 [ 0-9a-f]+: 4416 not v0,a2
2147 [ 0-9a-f]+: 4417 not v0,a3
2148 [ 0-9a-f]+: 4410 not v0,s0
2149 [ 0-9a-f]+: 4411 not v0,s1
2150 [ 0-9a-f]+: 4419 not v1,s1
2151 [ 0-9a-f]+: 4421 not a0,s1
2152 [ 0-9a-f]+: 4429 not a1,s1
2153 [ 0-9a-f]+: 4431 not a2,s1
2154 [ 0-9a-f]+: 4439 not a3,s1
2155 [ 0-9a-f]+: 4401 not s0,s1
2156 [ 0-9a-f]+: 4409 not s1,s1
2157 [ 0-9a-f]+: 4417 not v0,a3
2158 [ 0-9a-f]+: 4417 not v0,a3
2159 [ 0-9a-f]+: 0083 12d0 nor v0,v1,a0
2160 [ 0-9a-f]+: 03fe ead0 nor sp,s8,ra
2161 [ 0-9a-f]+: 0082 12d0 nor v0,v0,a0
2162 [ 0-9a-f]+: 0082 12d0 nor v0,v0,a0
2163 [ 0-9a-f]+: 5043 8000 ori v0,v1,0x8000
2164 [ 0-9a-f]+: 0002 12d0 not v0,v0
2165 [ 0-9a-f]+: 5043 ffff ori v0,v1,0xffff
2166 [ 0-9a-f]+: 0002 12d0 not v0,v0
2167 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2168 [ 0-9a-f]+: 0023 12d0 nor v0,v1,at
2169 [ 0-9a-f]+: 3020 8000 li at,-32768
2170 [ 0-9a-f]+: 0023 12d0 nor v0,v1,at
2171 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2172 [ 0-9a-f]+: 5021 7fff ori at,at,0x7fff
2173 [ 0-9a-f]+: 0023 12d0 nor v0,v1,at
2174 [ 0-9a-f]+: 0c56 move v0,s6
2175 [ 0-9a-f]+: 0ec2 move s6,v0
2176 [ 0-9a-f]+: 0c56 move v0,s6
2177 [ 0-9a-f]+: 0ec2 move s6,v0
2178 [ 0-9a-f]+: 44d2 or v0,v0,v0
2179 [ 0-9a-f]+: 44d3 or v0,v0,v1
2180 [ 0-9a-f]+: 44d4 or v0,v0,a0
2181 [ 0-9a-f]+: 44d5 or v0,v0,a1
2182 [ 0-9a-f]+: 44d6 or v0,v0,a2
2183 [ 0-9a-f]+: 44d7 or v0,v0,a3
2184 [ 0-9a-f]+: 44d0 or v0,v0,s0
2185 [ 0-9a-f]+: 44d1 or v0,v0,s1
2186 [ 0-9a-f]+: 44da or v1,v1,v0
2187 [ 0-9a-f]+: 44e2 or a0,a0,v0
2188 [ 0-9a-f]+: 44ea or a1,a1,v0
2189 [ 0-9a-f]+: 44f2 or a2,a2,v0
2190 [ 0-9a-f]+: 44fa or a3,a3,v0
2191 [ 0-9a-f]+: 44c2 or s0,s0,v0
2192 [ 0-9a-f]+: 44ca or s1,s1,v0
2193 [ 0-9a-f]+: 44d2 or v0,v0,v0
2194 [ 0-9a-f]+: 44d3 or v0,v0,v1
2195 [ 0-9a-f]+: 44d3 or v0,v0,v1
2196 [ 0-9a-f]+: 0083 1290 or v0,v1,a0
2197 [ 0-9a-f]+: 03fe ea90 or sp,s8,ra
2198 [ 0-9a-f]+: 0082 1290 or v0,v0,a0
2199 [ 0-9a-f]+: 0082 1290 or v0,v0,a0
2200 [ 0-9a-f]+: 5043 8000 ori v0,v1,0x8000
2201 [ 0-9a-f]+: 5043 ffff ori v0,v1,0xffff
2202 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2203 [ 0-9a-f]+: 0023 1290 or v0,v1,at
2204 [ 0-9a-f]+: 3020 8000 li at,-32768
2205 [ 0-9a-f]+: 0023 1290 or v0,v1,at
2206 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2207 [ 0-9a-f]+: 5021 7fff ori at,at,0x7fff
2208 [ 0-9a-f]+: 0023 1290 or v0,v1,at
2209 [ 0-9a-f]+: 0c64 move v1,a0
2210 [ 0-9a-f]+: 5064 7fff ori v1,a0,0x7fff
2211 [ 0-9a-f]+: 5064 ffff ori v1,a0,0xffff
2212 [ 0-9a-f]+: 5063 ffff ori v1,v1,0xffff
2213 [ 0-9a-f]+: 5063 ffff ori v1,v1,0xffff
2214 [ 0-9a-f]+: 0040 6b3c rdhwr v0,hwr_cpunum
2215 [ 0-9a-f]+: 0041 6b3c rdhwr v0,hwr_synci_step
2216 [ 0-9a-f]+: 0042 6b3c rdhwr v0,hwr_cc
2217 [ 0-9a-f]+: 0043 6b3c rdhwr v0,hwr_ccres
2218 [ 0-9a-f]+: 0044 6b3c rdhwr v0,\$4
2219 [ 0-9a-f]+: 0045 6b3c rdhwr v0,\$5
2220 [ 0-9a-f]+: 0046 6b3c rdhwr v0,\$6
2221 [ 0-9a-f]+: 0047 6b3c rdhwr v0,\$7
2222 [ 0-9a-f]+: 0048 6b3c rdhwr v0,\$8
2223 [ 0-9a-f]+: 0049 6b3c rdhwr v0,\$9
2224 [ 0-9a-f]+: 004a 6b3c rdhwr v0,\$10
2225 [ 0-9a-f]+: 0043 e17c rdpgpr v0,v1
2226 [ 0-9a-f]+: 0042 e17c rdpgpr v0,v0
2227 [ 0-9a-f]+: 0042 e17c rdpgpr v0,v0
2228 [ 0-9a-f]+: 0062 ab3c div zero,v0,v1
2229 [ 0-9a-f]+: 03fe ab3c div zero,s8,ra
2230 [ 0-9a-f]+: 0003 703c teq v1,zero,0x7
2231 [ 0-9a-f]+: 0060 ab3c div zero,zero,v1
2232 [ 0-9a-f]+: 3020 ffff li at,-1
2233 [ 0-9a-f]+: b423 fffe bne v1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
2234 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
2235 [ 0-9a-f]+: 41a1 8000 lui at,0x8000
2236 [ 0-9a-f]+: 0020 603c teq zero,at,0x6
2237
2238 [0-9a-f]+ <.*>:
2239 [ 0-9a-f]+: 4600 mfhi zero
2240 [ 0-9a-f]+: 001f 703c teq ra,zero,0x7
2241 [ 0-9a-f]+: 03e0 ab3c div zero,zero,ra
2242 [ 0-9a-f]+: 3020 ffff li at,-1
2243 [ 0-9a-f]+: b43f fffe bne ra,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
2244 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
2245 [ 0-9a-f]+: 41a1 8000 lui at,0x8000
2246 [ 0-9a-f]+: 0020 603c teq zero,at,0x6
2247
2248 [0-9a-f]+ <.*>:
2249 [ 0-9a-f]+: 4600 mfhi zero
2250 [ 0-9a-f]+: 0000 703c teq zero,zero,0x7
2251 [ 0-9a-f]+: 0004 703c teq a0,zero,0x7
2252 [ 0-9a-f]+: 0083 ab3c div zero,v1,a0
2253 [ 0-9a-f]+: 3020 ffff li at,-1
2254 [ 0-9a-f]+: b424 fffe bne a0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
2255 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
2256 [ 0-9a-f]+: 41a1 8000 lui at,0x8000
2257 [ 0-9a-f]+: 0023 603c teq v1,at,0x6
2258
2259 [0-9a-f]+ <.*>:
2260 [ 0-9a-f]+: 4602 mfhi v0
2261 [ 0-9a-f]+: 0000 703c teq zero,zero,0x7
2262 [ 0-9a-f]+: 0c60 move v1,zero
2263 [ 0-9a-f]+: 0c60 move v1,zero
2264 [ 0-9a-f]+: 3020 0002 li at,2
2265 [ 0-9a-f]+: 0024 ab3c div zero,a0,at
2266 [ 0-9a-f]+: 4603 mfhi v1
2267 [ 0-9a-f]+: 0062 bb3c divu zero,v0,v1
2268 [ 0-9a-f]+: 03fe bb3c divu zero,s8,ra
2269 [ 0-9a-f]+: 0003 703c teq v1,zero,0x7
2270 [ 0-9a-f]+: 0060 bb3c divu zero,zero,v1
2271 [ 0-9a-f]+: 4600 mfhi zero
2272 [ 0-9a-f]+: 001f 703c teq ra,zero,0x7
2273 [ 0-9a-f]+: 03e0 bb3c divu zero,zero,ra
2274 [ 0-9a-f]+: 4600 mfhi zero
2275 [ 0-9a-f]+: 0000 703c teq zero,zero,0x7
2276 [ 0-9a-f]+: 0003 bb3c divu zero,v1,zero
2277 [ 0-9a-f]+: 4602 mfhi v0
2278 [ 0-9a-f]+: 0004 703c teq a0,zero,0x7
2279 [ 0-9a-f]+: 0083 bb3c divu zero,v1,a0
2280 [ 0-9a-f]+: 4602 mfhi v0
2281 [ 0-9a-f]+: 0000 703c teq zero,zero,0x7
2282 [ 0-9a-f]+: 0c60 move v1,zero
2283 [ 0-9a-f]+: 3020 ffff li at,-1
2284 [ 0-9a-f]+: 0024 bb3c divu zero,a0,at
2285 [ 0-9a-f]+: 4603 mfhi v1
2286 [ 0-9a-f]+: 3020 0002 li at,2
2287 [ 0-9a-f]+: 0024 bb3c divu zero,a0,at
2288 [ 0-9a-f]+: 4603 mfhi v1
2289 [ 0-9a-f]+: 0080 11d0 negu v0,a0
2290 [ 0-9a-f]+: 0062 10d0 rorv v0,v1,v0
2291 [ 0-9a-f]+: 0080 09d0 negu at,a0
2292 [ 0-9a-f]+: 0041 10d0 rorv v0,v0,at
2293 [ 0-9a-f]+: 0060 11d0 negu v0,v1
2294 [ 0-9a-f]+: 0062 10d0 rorv v0,v1,v0
2295 [ 0-9a-f]+: 0040 11d0 negu v0,v0
2296 [ 0-9a-f]+: 0062 10d0 rorv v0,v1,v0
2297 [ 0-9a-f]+: 0043 00c0 ror v0,v1,0x0
2298 [ 0-9a-f]+: 0043 f8c0 ror v0,v1,0x1f
2299 [ 0-9a-f]+: 0043 08c0 ror v0,v1,0x1
2300 [ 0-9a-f]+: 0042 08c0 ror v0,v0,0x1
2301 [ 0-9a-f]+: 0042 08c0 ror v0,v0,0x1
2302 [ 0-9a-f]+: 0043 00c0 ror v0,v1,0x0
2303 [ 0-9a-f]+: 0043 08c0 ror v0,v1,0x1
2304 [ 0-9a-f]+: 0043 f8c0 ror v0,v1,0x1f
2305 [ 0-9a-f]+: 0042 f8c0 ror v0,v0,0x1f
2306 [ 0-9a-f]+: 0042 f8c0 ror v0,v0,0x1f
2307 [ 0-9a-f]+: 0064 10d0 rorv v0,v1,a0
2308 [ 0-9a-f]+: 0044 10d0 rorv v0,v0,a0
2309 [ 0-9a-f]+: 0064 10d0 rorv v0,v1,a0
2310 [ 0-9a-f]+: 0044 10d0 rorv v0,v0,a0
2311 [ 0-9a-f]+: 0064 10d0 rorv v0,v1,a0
2312 [ 0-9a-f]+: 0044 10d0 rorv v0,v0,a0
2313 [ 0-9a-f]+: 0064 10d0 rorv v0,v1,a0
2314 [ 0-9a-f]+: 0044 10d0 rorv v0,v0,a0
2315 [ 0-9a-f]+: 8830 sb zero,0\(v1\)
2316 [ 0-9a-f]+: 8830 sb zero,0\(v1\)
2317 [ 0-9a-f]+: 8831 sb zero,1\(v1\)
2318 [ 0-9a-f]+: 8832 sb zero,2\(v1\)
2319 [ 0-9a-f]+: 8833 sb zero,3\(v1\)
2320 [ 0-9a-f]+: 8834 sb zero,4\(v1\)
2321 [ 0-9a-f]+: 8835 sb zero,5\(v1\)
2322 [ 0-9a-f]+: 8836 sb zero,6\(v1\)
2323 [ 0-9a-f]+: 8837 sb zero,7\(v1\)
2324 [ 0-9a-f]+: 8838 sb zero,8\(v1\)
2325 [ 0-9a-f]+: 8839 sb zero,9\(v1\)
2326 [ 0-9a-f]+: 883a sb zero,10\(v1\)
2327 [ 0-9a-f]+: 883b sb zero,11\(v1\)
2328 [ 0-9a-f]+: 883c sb zero,12\(v1\)
2329 [ 0-9a-f]+: 883d sb zero,13\(v1\)
2330 [ 0-9a-f]+: 883e sb zero,14\(v1\)
2331 [ 0-9a-f]+: 883f sb zero,15\(v1\)
2332 [ 0-9a-f]+: 893f sb v0,15\(v1\)
2333 [ 0-9a-f]+: 89bf sb v1,15\(v1\)
2334 [ 0-9a-f]+: 8a3f sb a0,15\(v1\)
2335 [ 0-9a-f]+: 8abf sb a1,15\(v1\)
2336 [ 0-9a-f]+: 8b3f sb a2,15\(v1\)
2337 [ 0-9a-f]+: 8bbf sb a3,15\(v1\)
2338 [ 0-9a-f]+: 88bf sb s1,15\(v1\)
2339 [ 0-9a-f]+: 88cf sb s1,15\(a0\)
2340 [ 0-9a-f]+: 88df sb s1,15\(a1\)
2341 [ 0-9a-f]+: 88ef sb s1,15\(a2\)
2342 [ 0-9a-f]+: 88ff sb s1,15\(a3\)
2343 [ 0-9a-f]+: 88af sb s1,15\(v0\)
2344 [ 0-9a-f]+: 888f sb s1,15\(s0\)
2345 [ 0-9a-f]+: 889f sb s1,15\(s1\)
2346 [ 0-9a-f]+: 1860 0004 sb v1,4\(zero\)
2347 [ 0-9a-f]+: 1860 0004 sb v1,4\(zero\)
2348 [ 0-9a-f]+: 1860 7fff sb v1,32767\(zero\)
2349 [ 0-9a-f]+: 1860 8000 sb v1,-32768\(zero\)
2350 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2351 [ 0-9a-f]+: 1861 ffff sb v1,-1\(at\)
2352 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2353 [ 0-9a-f]+: 1861 0000 sb v1,0\(at\)
2354 [ 0-9a-f]+: 1860 8000 sb v1,-32768\(zero\)
2355 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2356 [ 0-9a-f]+: 1861 0001 sb v1,1\(at\)
2357 [ 0-9a-f]+: 1860 8001 sb v1,-32767\(zero\)
2358 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
2359 [ 0-9a-f]+: 1861 0000 sb v1,0\(at\)
2360 [ 0-9a-f]+: 1860 ffff sb v1,-1\(zero\)
2361 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
2362 [ 0-9a-f]+: 1861 5678 sb v1,22136\(at\)
2363 [ 0-9a-f]+: 1864 0000 sb v1,0\(a0\)
2364 [ 0-9a-f]+: 1864 0000 sb v1,0\(a0\)
2365 [ 0-9a-f]+: 1864 7fff sb v1,32767\(a0\)
2366 [ 0-9a-f]+: 1864 8000 sb v1,-32768\(a0\)
2367 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2368 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2369 [ 0-9a-f]+: 1861 ffff sb v1,-1\(at\)
2370 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2371 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2372 [ 0-9a-f]+: 1861 0000 sb v1,0\(at\)
2373 [ 0-9a-f]+: 1864 8000 sb v1,-32768\(a0\)
2374 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2375 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2376 [ 0-9a-f]+: 1861 0001 sb v1,1\(at\)
2377 [ 0-9a-f]+: 1864 8001 sb v1,-32767\(a0\)
2378 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
2379 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2380 [ 0-9a-f]+: 1861 0000 sb v1,0\(at\)
2381 [ 0-9a-f]+: 1864 ffff sb v1,-1\(a0\)
2382 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
2383 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2384 [ 0-9a-f]+: 1861 5678 sb v1,22136\(at\)
2385 [ 0-9a-f]+: 6060 b004 sc v1,4\(zero\)
2386 [ 0-9a-f]+: 6060 b004 sc v1,4\(zero\)
2387 [ 0-9a-f]+: 6060 b7ff sc v1,2047\(zero\)
2388 [ 0-9a-f]+: 6060 b800 sc v1,-2048\(zero\)
2389 [ 0-9a-f]+: 5020 8000 li at,0x8000
2390 [ 0-9a-f]+: 6061 bfff sc v1,-1\(at\)
2391 [ 0-9a-f]+: 3020 8000 li at,-32768
2392 [ 0-9a-f]+: 6061 b000 sc v1,0\(at\)
2393 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2394 [ 0-9a-f]+: 6061 bfff sc v1,-1\(at\)
2395 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2396 [ 0-9a-f]+: 6061 b000 sc v1,0\(at\)
2397 [ 0-9a-f]+: 3020 8000 li at,-32768
2398 [ 0-9a-f]+: 6061 b000 sc v1,0\(at\)
2399 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2400 [ 0-9a-f]+: 6061 b001 sc v1,1\(at\)
2401 [ 0-9a-f]+: 3020 8000 li at,-32768
2402 [ 0-9a-f]+: 6061 b001 sc v1,1\(at\)
2403 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
2404 [ 0-9a-f]+: 6061 b000 sc v1,0\(at\)
2405 [ 0-9a-f]+: 6060 bfff sc v1,-1\(zero\)
2406 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
2407 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
2408 [ 0-9a-f]+: 6061 b678 sc v1,1656\(at\)
2409 [ 0-9a-f]+: 6064 b000 sc v1,0\(a0\)
2410 [ 0-9a-f]+: 6064 b000 sc v1,0\(a0\)
2411 [ 0-9a-f]+: 6064 b7ff sc v1,2047\(a0\)
2412 [ 0-9a-f]+: 6064 b800 sc v1,-2048\(a0\)
2413 [ 0-9a-f]+: 5020 8000 li at,0x8000
2414 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2415 [ 0-9a-f]+: 6061 bfff sc v1,-1\(at\)
2416 [ 0-9a-f]+: 3020 8000 li at,-32768
2417 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2418 [ 0-9a-f]+: 6061 b000 sc v1,0\(at\)
2419 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2420 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2421 [ 0-9a-f]+: 6061 bfff sc v1,-1\(at\)
2422 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2423 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2424 [ 0-9a-f]+: 6061 b000 sc v1,0\(at\)
2425 [ 0-9a-f]+: 3020 8000 li at,-32768
2426 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2427 [ 0-9a-f]+: 6061 b000 sc v1,0\(at\)
2428 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2429 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2430 [ 0-9a-f]+: 6061 b001 sc v1,1\(at\)
2431 [ 0-9a-f]+: 3020 8000 li at,-32768
2432 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2433 [ 0-9a-f]+: 6061 b001 sc v1,1\(at\)
2434 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
2435 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2436 [ 0-9a-f]+: 6061 b000 sc v1,0\(at\)
2437 [ 0-9a-f]+: 6064 bfff sc v1,-1\(a0\)
2438 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
2439 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
2440 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2441 [ 0-9a-f]+: 6061 b678 sc v1,1656\(at\)
2442 [ 0-9a-f]+: 46c0 sdbbp
2443 [ 0-9a-f]+: 46c0 sdbbp
2444 [ 0-9a-f]+: 46c1 sdbbp 0x1
2445 [ 0-9a-f]+: 46c2 sdbbp 0x2
2446 [ 0-9a-f]+: 46c3 sdbbp 0x3
2447 [ 0-9a-f]+: 46c4 sdbbp 0x4
2448 [ 0-9a-f]+: 46c5 sdbbp 0x5
2449 [ 0-9a-f]+: 46c6 sdbbp 0x6
2450 [ 0-9a-f]+: 46c7 sdbbp 0x7
2451 [ 0-9a-f]+: 46c8 sdbbp 0x8
2452 [ 0-9a-f]+: 46c9 sdbbp 0x9
2453 [ 0-9a-f]+: 46ca sdbbp 0xa
2454 [ 0-9a-f]+: 46cb sdbbp 0xb
2455 [ 0-9a-f]+: 46cc sdbbp 0xc
2456 [ 0-9a-f]+: 46cd sdbbp 0xd
2457 [ 0-9a-f]+: 46ce sdbbp 0xe
2458 [ 0-9a-f]+: 46cf sdbbp 0xf
2459 [ 0-9a-f]+: 0000 db7c sdbbp
2460 [ 0-9a-f]+: 0000 db7c sdbbp
2461 [ 0-9a-f]+: 0001 db7c sdbbp 0x1
2462 [ 0-9a-f]+: 0002 db7c sdbbp 0x2
2463 [ 0-9a-f]+: 00ff db7c sdbbp 0xff
2464 [ 0-9a-f]+: 0043 2b3c seb v0,v1
2465 [ 0-9a-f]+: 0042 2b3c seb v0,v0
2466 [ 0-9a-f]+: 0042 2b3c seb v0,v0
2467 [ 0-9a-f]+: 0043 3b3c seh v0,v1
2468 [ 0-9a-f]+: 0042 3b3c seh v0,v0
2469 [ 0-9a-f]+: 0042 3b3c seh v0,v0
2470 [ 0-9a-f]+: 0083 1310 xor v0,v1,a0
2471 [ 0-9a-f]+: b042 0001 sltiu v0,v0,1
2472 [ 0-9a-f]+: b043 0001 sltiu v0,v1,1
2473 [ 0-9a-f]+: b044 0001 sltiu v0,a0,1
2474 [ 0-9a-f]+: b043 0001 sltiu v0,v1,1
2475 [ 0-9a-f]+: 7043 0001 xori v0,v1,0x1
2476 [ 0-9a-f]+: b042 0001 sltiu v0,v0,1
2477 [ 0-9a-f]+: 3043 0001 addiu v0,v1,1
2478 [ 0-9a-f]+: b042 0001 sltiu v0,v0,1
2479 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2480 [ 0-9a-f]+: 5021 7fff ori at,at,0x7fff
2481 [ 0-9a-f]+: 0023 1310 xor v0,v1,at
2482 [ 0-9a-f]+: b042 0001 sltiu v0,v0,1
2483 [ 0-9a-f]+: 0083 1350 slt v0,v1,a0
2484 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2485 [ 0-9a-f]+: 0082 1350 slt v0,v0,a0
2486 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2487 [ 0-9a-f]+: 0082 1350 slt v0,v0,a0
2488 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2489 [ 0-9a-f]+: 9043 0000 slti v0,v1,0
2490 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2491 [ 0-9a-f]+: 9043 8000 slti v0,v1,-32768
2492 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2493 [ 0-9a-f]+: 9043 0000 slti v0,v1,0
2494 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2495 [ 0-9a-f]+: 9043 7fff slti v0,v1,32767
2496 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2497 [ 0-9a-f]+: 5020 ffff li at,0xffff
2498 [ 0-9a-f]+: 0023 1350 slt v0,v1,at
2499 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2500 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2501 [ 0-9a-f]+: 0023 1350 slt v0,v1,at
2502 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2503 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2504 [ 0-9a-f]+: 5021 7fff ori at,at,0x7fff
2505 [ 0-9a-f]+: 0023 1350 slt v0,v1,at
2506 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2507 [ 0-9a-f]+: 0083 1390 sltu v0,v1,a0
2508 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2509 [ 0-9a-f]+: 0082 1390 sltu v0,v0,a0
2510 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2511 [ 0-9a-f]+: 0082 1390 sltu v0,v0,a0
2512 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2513 [ 0-9a-f]+: b043 0000 sltiu v0,v1,0
2514 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2515 [ 0-9a-f]+: b043 8000 sltiu v0,v1,-32768
2516 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2517 [ 0-9a-f]+: b043 0000 sltiu v0,v1,0
2518 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2519 [ 0-9a-f]+: b043 7fff sltiu v0,v1,32767
2520 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2521 [ 0-9a-f]+: 5020 ffff li at,0xffff
2522 [ 0-9a-f]+: 0023 1390 sltu v0,v1,at
2523 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2524 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2525 [ 0-9a-f]+: 0023 1390 sltu v0,v1,at
2526 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2527 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2528 [ 0-9a-f]+: 5021 7fff ori at,at,0x7fff
2529 [ 0-9a-f]+: 0023 1390 sltu v0,v1,at
2530 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2531 [ 0-9a-f]+: 0064 1350 slt v0,a0,v1
2532 [ 0-9a-f]+: 0044 1350 slt v0,a0,v0
2533 [ 0-9a-f]+: 0044 1350 slt v0,a0,v0
2534 [ 0-9a-f]+: 3020 0000 li at,0
2535 [ 0-9a-f]+: 0061 1350 slt v0,at,v1
2536 [ 0-9a-f]+: 3020 8000 li at,-32768
2537 [ 0-9a-f]+: 0061 1350 slt v0,at,v1
2538 [ 0-9a-f]+: 3020 0000 li at,0
2539 [ 0-9a-f]+: 0061 1350 slt v0,at,v1
2540 [ 0-9a-f]+: 3020 7fff li at,32767
2541 [ 0-9a-f]+: 0061 1350 slt v0,at,v1
2542 [ 0-9a-f]+: 5020 ffff li at,0xffff
2543 [ 0-9a-f]+: 0061 1350 slt v0,at,v1
2544 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2545 [ 0-9a-f]+: 0061 1350 slt v0,at,v1
2546 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2547 [ 0-9a-f]+: 5021 7fff ori at,at,0x7fff
2548 [ 0-9a-f]+: 0061 1350 slt v0,at,v1
2549 [ 0-9a-f]+: 0064 1390 sltu v0,a0,v1
2550 [ 0-9a-f]+: 0044 1390 sltu v0,a0,v0
2551 [ 0-9a-f]+: 0044 1390 sltu v0,a0,v0
2552 [ 0-9a-f]+: 3020 0000 li at,0
2553 [ 0-9a-f]+: 0061 1390 sltu v0,at,v1
2554 [ 0-9a-f]+: 3020 8000 li at,-32768
2555 [ 0-9a-f]+: 0061 1390 sltu v0,at,v1
2556 [ 0-9a-f]+: 3020 0000 li at,0
2557 [ 0-9a-f]+: 0061 1390 sltu v0,at,v1
2558 [ 0-9a-f]+: 3020 7fff li at,32767
2559 [ 0-9a-f]+: 0061 1390 sltu v0,at,v1
2560 [ 0-9a-f]+: 5020 ffff li at,0xffff
2561 [ 0-9a-f]+: 0061 1390 sltu v0,at,v1
2562 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2563 [ 0-9a-f]+: 0061 1390 sltu v0,at,v1
2564 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2565 [ 0-9a-f]+: 5021 7fff ori at,at,0x7fff
2566 [ 0-9a-f]+: 0061 1390 sltu v0,at,v1
2567 [ 0-9a-f]+: a930 sh v0,0\(v1\)
2568 [ 0-9a-f]+: a930 sh v0,0\(v1\)
2569 [ 0-9a-f]+: a931 sh v0,2\(v1\)
2570 [ 0-9a-f]+: a932 sh v0,4\(v1\)
2571 [ 0-9a-f]+: a933 sh v0,6\(v1\)
2572 [ 0-9a-f]+: a934 sh v0,8\(v1\)
2573 [ 0-9a-f]+: a935 sh v0,10\(v1\)
2574 [ 0-9a-f]+: a936 sh v0,12\(v1\)
2575 [ 0-9a-f]+: a937 sh v0,14\(v1\)
2576 [ 0-9a-f]+: a938 sh v0,16\(v1\)
2577 [ 0-9a-f]+: a939 sh v0,18\(v1\)
2578 [ 0-9a-f]+: a93a sh v0,20\(v1\)
2579 [ 0-9a-f]+: a93b sh v0,22\(v1\)
2580 [ 0-9a-f]+: a93c sh v0,24\(v1\)
2581 [ 0-9a-f]+: a93d sh v0,26\(v1\)
2582 [ 0-9a-f]+: a93e sh v0,28\(v1\)
2583 [ 0-9a-f]+: a93f sh v0,30\(v1\)
2584 [ 0-9a-f]+: a94f sh v0,30\(a0\)
2585 [ 0-9a-f]+: a95f sh v0,30\(a1\)
2586 [ 0-9a-f]+: a96f sh v0,30\(a2\)
2587 [ 0-9a-f]+: a97f sh v0,30\(a3\)
2588 [ 0-9a-f]+: a92f sh v0,30\(v0\)
2589 [ 0-9a-f]+: a90f sh v0,30\(s0\)
2590 [ 0-9a-f]+: a91f sh v0,30\(s1\)
2591 [ 0-9a-f]+: a99f sh v1,30\(s1\)
2592 [ 0-9a-f]+: aa1f sh a0,30\(s1\)
2593 [ 0-9a-f]+: aa9f sh a1,30\(s1\)
2594 [ 0-9a-f]+: ab1f sh a2,30\(s1\)
2595 [ 0-9a-f]+: ab9f sh a3,30\(s1\)
2596 [ 0-9a-f]+: a89f sh s1,30\(s1\)
2597 [ 0-9a-f]+: a81f sh zero,30\(s1\)
2598 [ 0-9a-f]+: 3860 0004 sh v1,4\(zero\)
2599 [ 0-9a-f]+: 3860 0004 sh v1,4\(zero\)
2600 [ 0-9a-f]+: 3860 7fff sh v1,32767\(zero\)
2601 [ 0-9a-f]+: 3860 8000 sh v1,-32768\(zero\)
2602 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2603 [ 0-9a-f]+: 3861 ffff sh v1,-1\(at\)
2604 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2605 [ 0-9a-f]+: 3861 0000 sh v1,0\(at\)
2606 [ 0-9a-f]+: 3860 8000 sh v1,-32768\(zero\)
2607 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2608 [ 0-9a-f]+: 3861 0001 sh v1,1\(at\)
2609 [ 0-9a-f]+: 3860 8001 sh v1,-32767\(zero\)
2610 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
2611 [ 0-9a-f]+: 3861 0000 sh v1,0\(at\)
2612 [ 0-9a-f]+: 3860 ffff sh v1,-1\(zero\)
2613 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
2614 [ 0-9a-f]+: 3861 5678 sh v1,22136\(at\)
2615 [ 0-9a-f]+: 3864 0000 sh v1,0\(a0\)
2616 [ 0-9a-f]+: 3864 0000 sh v1,0\(a0\)
2617 [ 0-9a-f]+: 3864 7fff sh v1,32767\(a0\)
2618 [ 0-9a-f]+: 3864 8000 sh v1,-32768\(a0\)
2619 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2620 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2621 [ 0-9a-f]+: 3861 ffff sh v1,-1\(at\)
2622 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2623 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2624 [ 0-9a-f]+: 3861 0000 sh v1,0\(at\)
2625 [ 0-9a-f]+: 3864 8000 sh v1,-32768\(a0\)
2626 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2627 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2628 [ 0-9a-f]+: 3861 0001 sh v1,1\(at\)
2629 [ 0-9a-f]+: 3864 8001 sh v1,-32767\(a0\)
2630 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
2631 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2632 [ 0-9a-f]+: 3861 0000 sh v1,0\(at\)
2633 [ 0-9a-f]+: 3864 ffff sh v1,-1\(a0\)
2634 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
2635 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2636 [ 0-9a-f]+: 3861 5678 sh v1,22136\(at\)
2637 [ 0-9a-f]+: 0064 1350 slt v0,a0,v1
2638 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2639 [ 0-9a-f]+: 0044 1350 slt v0,a0,v0
2640 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2641 [ 0-9a-f]+: 0044 1350 slt v0,a0,v0
2642 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2643 [ 0-9a-f]+: 3020 0000 li at,0
2644 [ 0-9a-f]+: 0061 1350 slt v0,at,v1
2645 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2646 [ 0-9a-f]+: 3020 8000 li at,-32768
2647 [ 0-9a-f]+: 0061 1350 slt v0,at,v1
2648 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2649 [ 0-9a-f]+: 3020 0000 li at,0
2650 [ 0-9a-f]+: 0061 1350 slt v0,at,v1
2651 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2652 [ 0-9a-f]+: 3020 7fff li at,32767
2653 [ 0-9a-f]+: 0061 1350 slt v0,at,v1
2654 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2655 [ 0-9a-f]+: 5020 ffff li at,0xffff
2656 [ 0-9a-f]+: 0061 1350 slt v0,at,v1
2657 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2658 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2659 [ 0-9a-f]+: 0061 1350 slt v0,at,v1
2660 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2661 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2662 [ 0-9a-f]+: 5021 7fff ori at,at,0x7fff
2663 [ 0-9a-f]+: 0061 1350 slt v0,at,v1
2664 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2665 [ 0-9a-f]+: 0064 1390 sltu v0,a0,v1
2666 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2667 [ 0-9a-f]+: 0044 1390 sltu v0,a0,v0
2668 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2669 [ 0-9a-f]+: 0044 1390 sltu v0,a0,v0
2670 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2671 [ 0-9a-f]+: 3020 0000 li at,0
2672 [ 0-9a-f]+: 0061 1390 sltu v0,at,v1
2673 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2674 [ 0-9a-f]+: 3020 8000 li at,-32768
2675 [ 0-9a-f]+: 0061 1390 sltu v0,at,v1
2676 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2677 [ 0-9a-f]+: 3020 0000 li at,0
2678 [ 0-9a-f]+: 0061 1390 sltu v0,at,v1
2679 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2680 [ 0-9a-f]+: 3020 7fff li at,32767
2681 [ 0-9a-f]+: 0061 1390 sltu v0,at,v1
2682 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2683 [ 0-9a-f]+: 5020 ffff li at,0xffff
2684 [ 0-9a-f]+: 0061 1390 sltu v0,at,v1
2685 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2686 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2687 [ 0-9a-f]+: 0061 1390 sltu v0,at,v1
2688 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2689 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2690 [ 0-9a-f]+: 5021 7fff ori at,at,0x7fff
2691 [ 0-9a-f]+: 0061 1390 sltu v0,at,v1
2692 [ 0-9a-f]+: 7042 0001 xori v0,v0,0x1
2693 [ 0-9a-f]+: 2522 sll v0,v0,1
2694 [ 0-9a-f]+: 2524 sll v0,v0,2
2695 [ 0-9a-f]+: 2526 sll v0,v0,3
2696 [ 0-9a-f]+: 2528 sll v0,v0,4
2697 [ 0-9a-f]+: 252a sll v0,v0,5
2698 [ 0-9a-f]+: 252c sll v0,v0,6
2699 [ 0-9a-f]+: 252e sll v0,v0,7
2700 [ 0-9a-f]+: 2520 sll v0,v0,8
2701 [ 0-9a-f]+: 2530 sll v0,v1,8
2702 [ 0-9a-f]+: 2540 sll v0,a0,8
2703 [ 0-9a-f]+: 2550 sll v0,a1,8
2704 [ 0-9a-f]+: 2560 sll v0,a2,8
2705 [ 0-9a-f]+: 2570 sll v0,a3,8
2706 [ 0-9a-f]+: 2500 sll v0,s0,8
2707 [ 0-9a-f]+: 2510 sll v0,s1,8
2708 [ 0-9a-f]+: 25a0 sll v1,v0,8
2709 [ 0-9a-f]+: 2620 sll a0,v0,8
2710 [ 0-9a-f]+: 26a0 sll a1,v0,8
2711 [ 0-9a-f]+: 2720 sll a2,v0,8
2712 [ 0-9a-f]+: 27a0 sll a3,v0,8
2713 [ 0-9a-f]+: 2420 sll s0,v0,8
2714 [ 0-9a-f]+: 24a0 sll s1,v0,8
2715 [ 0-9a-f]+: 2522 sll v0,v0,1
2716 [ 0-9a-f]+: 25b2 sll v1,v1,1
2717 [ 0-9a-f]+: 0064 1010 sllv v0,v1,a0
2718 [ 0-9a-f]+: 0044 1010 sllv v0,v0,a0
2719 [ 0-9a-f]+: 0044 1010 sllv v0,v0,a0
2720 [ 0-9a-f]+: 0044 1010 sllv v0,v0,a0
2721 [ 0-9a-f]+: 0044 0000 sll v0,a0,0x0
2722 [ 0-9a-f]+: 0044 0800 sll v0,a0,0x1
2723 [ 0-9a-f]+: 0044 f800 sll v0,a0,0x1f
2724 [ 0-9a-f]+: 0042 f800 sll v0,v0,0x1f
2725 [ 0-9a-f]+: 0042 f800 sll v0,v0,0x1f
2726 [ 0-9a-f]+: 0083 1350 slt v0,v1,a0
2727 [ 0-9a-f]+: 0082 1350 slt v0,v0,a0
2728 [ 0-9a-f]+: 0082 1350 slt v0,v0,a0
2729 [ 0-9a-f]+: 9043 0000 slti v0,v1,0
2730 [ 0-9a-f]+: 9043 8000 slti v0,v1,-32768
2731 [ 0-9a-f]+: 9043 0000 slti v0,v1,0
2732 [ 0-9a-f]+: 9043 7fff slti v0,v1,32767
2733 [ 0-9a-f]+: 5020 ffff li at,0xffff
2734 [ 0-9a-f]+: 0023 1350 slt v0,v1,at
2735 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2736 [ 0-9a-f]+: 0023 1350 slt v0,v1,at
2737 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2738 [ 0-9a-f]+: 5021 7fff ori at,at,0x7fff
2739 [ 0-9a-f]+: 0023 1350 slt v0,v1,at
2740 [ 0-9a-f]+: 9064 8000 slti v1,a0,-32768
2741 [ 0-9a-f]+: 9064 0000 slti v1,a0,0
2742 [ 0-9a-f]+: 9064 7fff slti v1,a0,32767
2743 [ 0-9a-f]+: 9064 ffff slti v1,a0,-1
2744 [ 0-9a-f]+: 9063 ffff slti v1,v1,-1
2745 [ 0-9a-f]+: 9063 ffff slti v1,v1,-1
2746 [ 0-9a-f]+: b064 8000 sltiu v1,a0,-32768
2747 [ 0-9a-f]+: b064 0000 sltiu v1,a0,0
2748 [ 0-9a-f]+: b064 7fff sltiu v1,a0,32767
2749 [ 0-9a-f]+: b064 ffff sltiu v1,a0,-1
2750 [ 0-9a-f]+: b063 ffff sltiu v1,v1,-1
2751 [ 0-9a-f]+: b063 ffff sltiu v1,v1,-1
2752 [ 0-9a-f]+: 0083 1390 sltu v0,v1,a0
2753 [ 0-9a-f]+: 0082 1390 sltu v0,v0,a0
2754 [ 0-9a-f]+: 0082 1390 sltu v0,v0,a0
2755 [ 0-9a-f]+: b043 0000 sltiu v0,v1,0
2756 [ 0-9a-f]+: b043 8000 sltiu v0,v1,-32768
2757 [ 0-9a-f]+: b043 0000 sltiu v0,v1,0
2758 [ 0-9a-f]+: b043 7fff sltiu v0,v1,32767
2759 [ 0-9a-f]+: 5020 ffff li at,0xffff
2760 [ 0-9a-f]+: 0023 1390 sltu v0,v1,at
2761 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2762 [ 0-9a-f]+: 0023 1390 sltu v0,v1,at
2763 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2764 [ 0-9a-f]+: 5021 7fff ori at,at,0x7fff
2765 [ 0-9a-f]+: 0023 1390 sltu v0,v1,at
2766 [ 0-9a-f]+: 0083 1310 xor v0,v1,a0
2767 [ 0-9a-f]+: 0040 1390 sltu v0,zero,v0
2768 [ 0-9a-f]+: 0080 1390 sltu v0,zero,a0
2769 [ 0-9a-f]+: 0060 1390 sltu v0,zero,v1
2770 [ 0-9a-f]+: 0060 1390 sltu v0,zero,v1
2771 [ 0-9a-f]+: 7043 0001 xori v0,v1,0x1
2772 [ 0-9a-f]+: 0040 1390 sltu v0,zero,v0
2773 [ 0-9a-f]+: 3043 0001 addiu v0,v1,1
2774 [ 0-9a-f]+: 0040 1390 sltu v0,zero,v0
2775 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2776 [ 0-9a-f]+: 5021 7fff ori at,at,0x7fff
2777 [ 0-9a-f]+: 0023 1310 xor v0,v1,at
2778 [ 0-9a-f]+: 0040 1390 sltu v0,zero,v0
2779 [ 0-9a-f]+: 0064 1090 srav v0,v1,a0
2780 [ 0-9a-f]+: 0044 1090 srav v0,v0,a0
2781 [ 0-9a-f]+: 0044 1090 srav v0,v0,a0
2782 [ 0-9a-f]+: 0044 1090 srav v0,v0,a0
2783 [ 0-9a-f]+: 0044 0080 sra v0,a0,0x0
2784 [ 0-9a-f]+: 0044 0880 sra v0,a0,0x1
2785 [ 0-9a-f]+: 0044 f880 sra v0,a0,0x1f
2786 [ 0-9a-f]+: 0042 f880 sra v0,v0,0x1f
2787 [ 0-9a-f]+: 0042 f880 sra v0,v0,0x1f
2788 [ 0-9a-f]+: 0064 1050 srlv v0,v1,a0
2789 [ 0-9a-f]+: 0044 1050 srlv v0,v0,a0
2790 [ 0-9a-f]+: 0044 1050 srlv v0,v0,a0
2791 [ 0-9a-f]+: 0044 1050 srlv v0,v0,a0
2792 [ 0-9a-f]+: 0044 0040 srl v0,a0,0x0
2793 [ 0-9a-f]+: 2543 srl v0,a0,1
2794 [ 0-9a-f]+: 0044 f840 srl v0,a0,0x1f
2795 [ 0-9a-f]+: 0042 f840 srl v0,v0,0x1f
2796 [ 0-9a-f]+: 0042 f840 srl v0,v0,0x1f
2797 [ 0-9a-f]+: 2523 srl v0,v0,1
2798 [ 0-9a-f]+: 2525 srl v0,v0,2
2799 [ 0-9a-f]+: 2527 srl v0,v0,3
2800 [ 0-9a-f]+: 2529 srl v0,v0,4
2801 [ 0-9a-f]+: 252b srl v0,v0,5
2802 [ 0-9a-f]+: 252d srl v0,v0,6
2803 [ 0-9a-f]+: 252f srl v0,v0,7
2804 [ 0-9a-f]+: 2521 srl v0,v0,8
2805 [ 0-9a-f]+: 2531 srl v0,v1,8
2806 [ 0-9a-f]+: 2541 srl v0,a0,8
2807 [ 0-9a-f]+: 2551 srl v0,a1,8
2808 [ 0-9a-f]+: 2561 srl v0,a2,8
2809 [ 0-9a-f]+: 2571 srl v0,a3,8
2810 [ 0-9a-f]+: 2501 srl v0,s0,8
2811 [ 0-9a-f]+: 2511 srl v0,s1,8
2812 [ 0-9a-f]+: 2521 srl v0,v0,8
2813 [ 0-9a-f]+: 25a1 srl v1,v0,8
2814 [ 0-9a-f]+: 2621 srl a0,v0,8
2815 [ 0-9a-f]+: 26a1 srl a1,v0,8
2816 [ 0-9a-f]+: 2721 srl a2,v0,8
2817 [ 0-9a-f]+: 27a1 srl a3,v0,8
2818 [ 0-9a-f]+: 2421 srl s0,v0,8
2819 [ 0-9a-f]+: 24a1 srl s1,v0,8
2820 [ 0-9a-f]+: 25b3 srl v1,v1,1
2821 [ 0-9a-f]+: 25b3 srl v1,v1,1
2822 [ 0-9a-f]+: 0083 1190 sub v0,v1,a0
2823 [ 0-9a-f]+: 03fe e990 sub sp,s8,ra
2824 [ 0-9a-f]+: 0082 1190 sub v0,v0,a0
2825 [ 0-9a-f]+: 0082 1190 sub v0,v0,a0
2826 [ 0-9a-f]+: 1042 0000 addi v0,v0,0
2827 [ 0-9a-f]+: 1042 ffff addi v0,v0,-1
2828 [ 0-9a-f]+: 1042 8001 addi v0,v0,-32767
2829 [ 0-9a-f]+: 3020 8000 li at,-32768
2830 [ 0-9a-f]+: 0022 1190 sub v0,v0,at
2831 [ 0-9a-f]+: 5020 ffff li at,0xffff
2832 [ 0-9a-f]+: 0022 1190 sub v0,v0,at
2833 [ 0-9a-f]+: 0527 subu v0,v1,v0
2834 [ 0-9a-f]+: 0537 subu v0,v1,v1
2835 [ 0-9a-f]+: 0547 subu v0,v1,a0
2836 [ 0-9a-f]+: 0557 subu v0,v1,a1
2837 [ 0-9a-f]+: 0567 subu v0,v1,a2
2838 [ 0-9a-f]+: 0577 subu v0,v1,a3
2839 [ 0-9a-f]+: 0507 subu v0,v1,s0
2840 [ 0-9a-f]+: 0517 subu v0,v1,s1
2841 [ 0-9a-f]+: 0515 subu v0,v0,s1
2842 [ 0-9a-f]+: 0519 subu v0,a0,s1
2843 [ 0-9a-f]+: 051b subu v0,a1,s1
2844 [ 0-9a-f]+: 051d subu v0,a2,s1
2845 [ 0-9a-f]+: 051f subu v0,a3,s1
2846 [ 0-9a-f]+: 0511 subu v0,s0,s1
2847 [ 0-9a-f]+: 0513 subu v0,s1,s1
2848 [ 0-9a-f]+: 0515 subu v0,v0,s1
2849 [ 0-9a-f]+: 0595 subu v1,v0,s1
2850 [ 0-9a-f]+: 0615 subu a0,v0,s1
2851 [ 0-9a-f]+: 0695 subu a1,v0,s1
2852 [ 0-9a-f]+: 0715 subu a2,v0,s1
2853 [ 0-9a-f]+: 0795 subu a3,v0,s1
2854 [ 0-9a-f]+: 0415 subu s0,v0,s1
2855 [ 0-9a-f]+: 0495 subu s1,v0,s1
2856 [ 0-9a-f]+: 07af subu a3,a3,v0
2857 [ 0-9a-f]+: 07af subu a3,a3,v0
2858 [ 0-9a-f]+: 0083 11d0 subu v0,v1,a0
2859 [ 0-9a-f]+: 03fe e9d0 subu sp,s8,ra
2860 [ 0-9a-f]+: 0082 11d0 subu v0,v0,a0
2861 [ 0-9a-f]+: 0082 11d0 subu v0,v0,a0
2862 [ 0-9a-f]+: 3042 0000 addiu v0,v0,0
2863 [ 0-9a-f]+: 3042 ffff addiu v0,v0,-1
2864 [ 0-9a-f]+: 3042 8001 addiu v0,v0,-32767
2865 [ 0-9a-f]+: 3020 8000 li at,-32768
2866 [ 0-9a-f]+: 0022 11d0 subu v0,v0,at
2867 [ 0-9a-f]+: 5020 ffff li at,0xffff
2868 [ 0-9a-f]+: 0022 11d0 subu v0,v0,at
2869 [ 0-9a-f]+: e940 sw v0,0\(a0\)
2870 [ 0-9a-f]+: e940 sw v0,0\(a0\)
2871 [ 0-9a-f]+: e941 sw v0,4\(a0\)
2872 [ 0-9a-f]+: e942 sw v0,8\(a0\)
2873 [ 0-9a-f]+: e943 sw v0,12\(a0\)
2874 [ 0-9a-f]+: e944 sw v0,16\(a0\)
2875 [ 0-9a-f]+: e945 sw v0,20\(a0\)
2876 [ 0-9a-f]+: e946 sw v0,24\(a0\)
2877 [ 0-9a-f]+: e947 sw v0,28\(a0\)
2878 [ 0-9a-f]+: e948 sw v0,32\(a0\)
2879 [ 0-9a-f]+: e949 sw v0,36\(a0\)
2880 [ 0-9a-f]+: e94a sw v0,40\(a0\)
2881 [ 0-9a-f]+: e94b sw v0,44\(a0\)
2882 [ 0-9a-f]+: e94c sw v0,48\(a0\)
2883 [ 0-9a-f]+: e94d sw v0,52\(a0\)
2884 [ 0-9a-f]+: e94e sw v0,56\(a0\)
2885 [ 0-9a-f]+: e94f sw v0,60\(a0\)
2886 [ 0-9a-f]+: e95f sw v0,60\(a1\)
2887 [ 0-9a-f]+: e96f sw v0,60\(a2\)
2888 [ 0-9a-f]+: e97f sw v0,60\(a3\)
2889 [ 0-9a-f]+: e90f sw v0,60\(s0\)
2890 [ 0-9a-f]+: e91f sw v0,60\(s1\)
2891 [ 0-9a-f]+: e92f sw v0,60\(v0\)
2892 [ 0-9a-f]+: e93f sw v0,60\(v1\)
2893 [ 0-9a-f]+: e9bf sw v1,60\(v1\)
2894 [ 0-9a-f]+: ea3f sw a0,60\(v1\)
2895 [ 0-9a-f]+: eabf sw a1,60\(v1\)
2896 [ 0-9a-f]+: eb3f sw a2,60\(v1\)
2897 [ 0-9a-f]+: ebbf sw a3,60\(v1\)
2898 [ 0-9a-f]+: e8bf sw s1,60\(v1\)
2899 [ 0-9a-f]+: e83f sw zero,60\(v1\)
2900 [ 0-9a-f]+: c800 sw zero,0\(sp\)
2901 [ 0-9a-f]+: c800 sw zero,0\(sp\)
2902 [ 0-9a-f]+: c801 sw zero,4\(sp\)
2903 [ 0-9a-f]+: c802 sw zero,8\(sp\)
2904 [ 0-9a-f]+: c803 sw zero,12\(sp\)
2905 [ 0-9a-f]+: c804 sw zero,16\(sp\)
2906 [ 0-9a-f]+: c805 sw zero,20\(sp\)
2907 [ 0-9a-f]+: c81e sw zero,120\(sp\)
2908 [ 0-9a-f]+: c81f sw zero,124\(sp\)
2909 [ 0-9a-f]+: c85f sw v0,124\(sp\)
2910 [ 0-9a-f]+: ca3f sw s1,124\(sp\)
2911 [ 0-9a-f]+: c87f sw v1,124\(sp\)
2912 [ 0-9a-f]+: c89f sw a0,124\(sp\)
2913 [ 0-9a-f]+: c8bf sw a1,124\(sp\)
2914 [ 0-9a-f]+: c8df sw a2,124\(sp\)
2915 [ 0-9a-f]+: c8ff sw a3,124\(sp\)
2916 [ 0-9a-f]+: cbff sw ra,124\(sp\)
2917 [ 0-9a-f]+: f860 0004 sw v1,4\(zero\)
2918 [ 0-9a-f]+: f860 0004 sw v1,4\(zero\)
2919 [ 0-9a-f]+: f860 7fff sw v1,32767\(zero\)
2920 [ 0-9a-f]+: f860 8000 sw v1,-32768\(zero\)
2921 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2922 [ 0-9a-f]+: f861 ffff sw v1,-1\(at\)
2923 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2924 [ 0-9a-f]+: f861 0000 sw v1,0\(at\)
2925 [ 0-9a-f]+: f860 8000 sw v1,-32768\(zero\)
2926 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2927 [ 0-9a-f]+: f861 0001 sw v1,1\(at\)
2928 [ 0-9a-f]+: f860 8001 sw v1,-32767\(zero\)
2929 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
2930 [ 0-9a-f]+: f861 0000 sw v1,0\(at\)
2931 [ 0-9a-f]+: f860 ffff sw v1,-1\(zero\)
2932 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
2933 [ 0-9a-f]+: f861 5678 sw v1,22136\(at\)
2934 [ 0-9a-f]+: f864 0000 sw v1,0\(a0\)
2935 [ 0-9a-f]+: f864 0000 sw v1,0\(a0\)
2936 [ 0-9a-f]+: f864 7fff sw v1,32767\(a0\)
2937 [ 0-9a-f]+: f864 8000 sw v1,-32768\(a0\)
2938 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2939 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2940 [ 0-9a-f]+: f861 ffff sw v1,-1\(at\)
2941 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2942 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2943 [ 0-9a-f]+: f861 0000 sw v1,0\(at\)
2944 [ 0-9a-f]+: f864 8000 sw v1,-32768\(a0\)
2945 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2946 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2947 [ 0-9a-f]+: f861 0001 sw v1,1\(at\)
2948 [ 0-9a-f]+: f864 8001 sw v1,-32767\(a0\)
2949 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
2950 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2951 [ 0-9a-f]+: f861 0000 sw v1,0\(at\)
2952 [ 0-9a-f]+: f864 ffff sw v1,-1\(a0\)
2953 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
2954 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2955 [ 0-9a-f]+: f861 5678 sw v1,22136\(at\)
2956 [ 0-9a-f]+: 6060 8004 swl v1,4\(zero\)
2957 [ 0-9a-f]+: 6060 8004 swl v1,4\(zero\)
2958 [ 0-9a-f]+: 6060 87ff swl v1,2047\(zero\)
2959 [ 0-9a-f]+: 6060 8800 swl v1,-2048\(zero\)
2960 [ 0-9a-f]+: 5020 8000 li at,0x8000
2961 [ 0-9a-f]+: 6061 8fff swl v1,-1\(at\)
2962 [ 0-9a-f]+: 3020 8000 li at,-32768
2963 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
2964 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2965 [ 0-9a-f]+: 6061 8fff swl v1,-1\(at\)
2966 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2967 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
2968 [ 0-9a-f]+: 3020 8000 li at,-32768
2969 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
2970 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2971 [ 0-9a-f]+: 6061 8001 swl v1,1\(at\)
2972 [ 0-9a-f]+: 3020 8000 li at,-32768
2973 [ 0-9a-f]+: 6061 8001 swl v1,1\(at\)
2974 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
2975 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
2976 [ 0-9a-f]+: 6060 8fff swl v1,-1\(zero\)
2977 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
2978 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
2979 [ 0-9a-f]+: 6061 8678 swl v1,1656\(at\)
2980 [ 0-9a-f]+: 6064 8000 swl v1,0\(a0\)
2981 [ 0-9a-f]+: 6064 8000 swl v1,0\(a0\)
2982 [ 0-9a-f]+: 6064 87ff swl v1,2047\(a0\)
2983 [ 0-9a-f]+: 6064 8800 swl v1,-2048\(a0\)
2984 [ 0-9a-f]+: 5020 8000 li at,0x8000
2985 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2986 [ 0-9a-f]+: 6061 8fff swl v1,-1\(at\)
2987 [ 0-9a-f]+: 3020 8000 li at,-32768
2988 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2989 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
2990 [ 0-9a-f]+: 41a1 0001 lui at,0x1
2991 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2992 [ 0-9a-f]+: 6061 8fff swl v1,-1\(at\)
2993 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
2994 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2995 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
2996 [ 0-9a-f]+: 3020 8000 li at,-32768
2997 [ 0-9a-f]+: 0081 0950 addu at,at,a0
2998 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
2999 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3000 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3001 [ 0-9a-f]+: 6061 8001 swl v1,1\(at\)
3002 [ 0-9a-f]+: 3020 8000 li at,-32768
3003 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3004 [ 0-9a-f]+: 6061 8001 swl v1,1\(at\)
3005 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
3006 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3007 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3008 [ 0-9a-f]+: 6064 8fff swl v1,-1\(a0\)
3009 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
3010 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
3011 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3012 [ 0-9a-f]+: 6061 8678 swl v1,1656\(at\)
3013 [ 0-9a-f]+: 6060 9004 swr v1,4\(zero\)
3014 [ 0-9a-f]+: 6060 9004 swr v1,4\(zero\)
3015 [ 0-9a-f]+: 6060 97ff swr v1,2047\(zero\)
3016 [ 0-9a-f]+: 6060 9800 swr v1,-2048\(zero\)
3017 [ 0-9a-f]+: 5020 8000 li at,0x8000
3018 [ 0-9a-f]+: 6061 9fff swr v1,-1\(at\)
3019 [ 0-9a-f]+: 3020 8000 li at,-32768
3020 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3021 [ 0-9a-f]+: 41a1 0001 lui at,0x1
3022 [ 0-9a-f]+: 6061 9fff swr v1,-1\(at\)
3023 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3024 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3025 [ 0-9a-f]+: 3020 8000 li at,-32768
3026 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3027 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3028 [ 0-9a-f]+: 6061 9001 swr v1,1\(at\)
3029 [ 0-9a-f]+: 3020 8000 li at,-32768
3030 [ 0-9a-f]+: 6061 9001 swr v1,1\(at\)
3031 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
3032 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3033 [ 0-9a-f]+: 6060 9fff swr v1,-1\(zero\)
3034 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
3035 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
3036 [ 0-9a-f]+: 6061 9678 swr v1,1656\(at\)
3037 [ 0-9a-f]+: 6064 9000 swr v1,0\(a0\)
3038 [ 0-9a-f]+: 6064 9000 swr v1,0\(a0\)
3039 [ 0-9a-f]+: 6064 97ff swr v1,2047\(a0\)
3040 [ 0-9a-f]+: 6064 9800 swr v1,-2048\(a0\)
3041 [ 0-9a-f]+: 5020 8000 li at,0x8000
3042 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3043 [ 0-9a-f]+: 6061 9fff swr v1,-1\(at\)
3044 [ 0-9a-f]+: 3020 8000 li at,-32768
3045 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3046 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3047 [ 0-9a-f]+: 41a1 0001 lui at,0x1
3048 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3049 [ 0-9a-f]+: 6061 9fff swr v1,-1\(at\)
3050 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3051 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3052 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3053 [ 0-9a-f]+: 3020 8000 li at,-32768
3054 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3055 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3056 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3057 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3058 [ 0-9a-f]+: 6061 9001 swr v1,1\(at\)
3059 [ 0-9a-f]+: 3020 8000 li at,-32768
3060 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3061 [ 0-9a-f]+: 6061 9001 swr v1,1\(at\)
3062 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
3063 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3064 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3065 [ 0-9a-f]+: 6064 9fff swr v1,-1\(a0\)
3066 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
3067 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
3068 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3069 [ 0-9a-f]+: 6061 9678 swr v1,1656\(at\)
3070 [ 0-9a-f]+: 6060 8004 swl v1,4\(zero\)
3071 [ 0-9a-f]+: 6060 8004 swl v1,4\(zero\)
3072 [ 0-9a-f]+: 6060 87ff swl v1,2047\(zero\)
3073 [ 0-9a-f]+: 6060 8800 swl v1,-2048\(zero\)
3074 [ 0-9a-f]+: 5020 8000 li at,0x8000
3075 [ 0-9a-f]+: 6061 8fff swl v1,-1\(at\)
3076 [ 0-9a-f]+: 3020 8000 li at,-32768
3077 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3078 [ 0-9a-f]+: 41a1 0001 lui at,0x1
3079 [ 0-9a-f]+: 6061 8fff swl v1,-1\(at\)
3080 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3081 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3082 [ 0-9a-f]+: 3020 8000 li at,-32768
3083 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3084 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3085 [ 0-9a-f]+: 6061 8001 swl v1,1\(at\)
3086 [ 0-9a-f]+: 3020 8000 li at,-32768
3087 [ 0-9a-f]+: 6061 8001 swl v1,1\(at\)
3088 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
3089 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3090 [ 0-9a-f]+: 6060 8fff swl v1,-1\(zero\)
3091 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
3092 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
3093 [ 0-9a-f]+: 6061 8678 swl v1,1656\(at\)
3094 [ 0-9a-f]+: 6064 8000 swl v1,0\(a0\)
3095 [ 0-9a-f]+: 6064 8000 swl v1,0\(a0\)
3096 [ 0-9a-f]+: 6064 87ff swl v1,2047\(a0\)
3097 [ 0-9a-f]+: 6064 8800 swl v1,-2048\(a0\)
3098 [ 0-9a-f]+: 5020 8000 li at,0x8000
3099 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3100 [ 0-9a-f]+: 6061 8fff swl v1,-1\(at\)
3101 [ 0-9a-f]+: 3020 8000 li at,-32768
3102 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3103 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3104 [ 0-9a-f]+: 41a1 0001 lui at,0x1
3105 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3106 [ 0-9a-f]+: 6061 8fff swl v1,-1\(at\)
3107 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3108 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3109 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3110 [ 0-9a-f]+: 3020 8000 li at,-32768
3111 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3112 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3113 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3114 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3115 [ 0-9a-f]+: 6061 8001 swl v1,1\(at\)
3116 [ 0-9a-f]+: 3020 8000 li at,-32768
3117 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3118 [ 0-9a-f]+: 6061 8001 swl v1,1\(at\)
3119 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
3120 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3121 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3122 [ 0-9a-f]+: 6064 8fff swl v1,-1\(a0\)
3123 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
3124 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
3125 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3126 [ 0-9a-f]+: 6061 8678 swl v1,1656\(at\)
3127 [ 0-9a-f]+: 6060 9004 swr v1,4\(zero\)
3128 [ 0-9a-f]+: 6060 9004 swr v1,4\(zero\)
3129 [ 0-9a-f]+: 6060 97ff swr v1,2047\(zero\)
3130 [ 0-9a-f]+: 6060 9800 swr v1,-2048\(zero\)
3131 [ 0-9a-f]+: 5020 8000 li at,0x8000
3132 [ 0-9a-f]+: 6061 9fff swr v1,-1\(at\)
3133 [ 0-9a-f]+: 3020 8000 li at,-32768
3134 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3135 [ 0-9a-f]+: 41a1 0001 lui at,0x1
3136 [ 0-9a-f]+: 6061 9fff swr v1,-1\(at\)
3137 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3138 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3139 [ 0-9a-f]+: 3020 8000 li at,-32768
3140 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3141 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3142 [ 0-9a-f]+: 6061 9001 swr v1,1\(at\)
3143 [ 0-9a-f]+: 3020 8000 li at,-32768
3144 [ 0-9a-f]+: 6061 9001 swr v1,1\(at\)
3145 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
3146 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3147 [ 0-9a-f]+: 6060 9fff swr v1,-1\(zero\)
3148 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
3149 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
3150 [ 0-9a-f]+: 6061 9678 swr v1,1656\(at\)
3151 [ 0-9a-f]+: 6064 9000 swr v1,0\(a0\)
3152 [ 0-9a-f]+: 6064 9000 swr v1,0\(a0\)
3153 [ 0-9a-f]+: 6064 97ff swr v1,2047\(a0\)
3154 [ 0-9a-f]+: 6064 9800 swr v1,-2048\(a0\)
3155 [ 0-9a-f]+: 5020 8000 li at,0x8000
3156 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3157 [ 0-9a-f]+: 6061 9fff swr v1,-1\(at\)
3158 [ 0-9a-f]+: 3020 8000 li at,-32768
3159 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3160 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3161 [ 0-9a-f]+: 41a1 0001 lui at,0x1
3162 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3163 [ 0-9a-f]+: 6061 9fff swr v1,-1\(at\)
3164 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3165 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3166 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3167 [ 0-9a-f]+: 3020 8000 li at,-32768
3168 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3169 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3170 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3171 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3172 [ 0-9a-f]+: 6061 9001 swr v1,1\(at\)
3173 [ 0-9a-f]+: 3020 8000 li at,-32768
3174 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3175 [ 0-9a-f]+: 6061 9001 swr v1,1\(at\)
3176 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
3177 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3178 [ 0-9a-f]+: 6061 9000 swr v1,0\(at\)
3179 [ 0-9a-f]+: 6064 9fff swr v1,-1\(a0\)
3180 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
3181 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
3182 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3183 [ 0-9a-f]+: 6061 9678 swr v1,1656\(at\)
3184 [ 0-9a-f]+: 454c swm s0,ra,48\(sp\)
3185 [ 0-9a-f]+: 455c swm s0-s1,ra,48\(sp\)
3186 [ 0-9a-f]+: 455c swm s0-s1,ra,48\(sp\)
3187 [ 0-9a-f]+: 456c swm s0-s2,ra,48\(sp\)
3188 [ 0-9a-f]+: 456c swm s0-s2,ra,48\(sp\)
3189 [ 0-9a-f]+: 457c swm s0-s3,ra,48\(sp\)
3190 [ 0-9a-f]+: 457c swm s0-s3,ra,48\(sp\)
3191 [ 0-9a-f]+: 4540 swm s0,ra,0\(sp\)
3192 [ 0-9a-f]+: 4540 swm s0,ra,0\(sp\)
3193 [ 0-9a-f]+: 4541 swm s0,ra,4\(sp\)
3194 [ 0-9a-f]+: 4542 swm s0,ra,8\(sp\)
3195 [ 0-9a-f]+: 4543 swm s0,ra,12\(sp\)
3196 [ 0-9a-f]+: 4544 swm s0,ra,16\(sp\)
3197 [ 0-9a-f]+: 4545 swm s0,ra,20\(sp\)
3198 [ 0-9a-f]+: 4546 swm s0,ra,24\(sp\)
3199 [ 0-9a-f]+: 4547 swm s0,ra,28\(sp\)
3200 [ 0-9a-f]+: 4548 swm s0,ra,32\(sp\)
3201 [ 0-9a-f]+: 4549 swm s0,ra,36\(sp\)
3202 [ 0-9a-f]+: 454a swm s0,ra,40\(sp\)
3203 [ 0-9a-f]+: 454b swm s0,ra,44\(sp\)
3204 [ 0-9a-f]+: 454c swm s0,ra,48\(sp\)
3205 [ 0-9a-f]+: 454d swm s0,ra,52\(sp\)
3206 [ 0-9a-f]+: 454e swm s0,ra,56\(sp\)
3207 [ 0-9a-f]+: 454f swm s0,ra,60\(sp\)
3208 [ 0-9a-f]+: 2020 d000 swm s0,0\(zero\)
3209 [ 0-9a-f]+: 2020 d004 swm s0,4\(zero\)
3210 [ 0-9a-f]+: 2020 d7ff swm s0,2047\(zero\)
3211 [ 0-9a-f]+: 2020 d800 swm s0,-2048\(zero\)
3212 [ 0-9a-f]+: 3020 1000 li at,4096
3213 [ 0-9a-f]+: 2021 d800 swm s0,-2048\(at\)
3214 [ 0-9a-f]+: 3020 f000 li at,-4096
3215 [ 0-9a-f]+: 2021 d7ff swm s0,2047\(at\)
3216 [ 0-9a-f]+: 2025 d000 swm s0,0\(a1\)
3217 [ 0-9a-f]+: 2025 d7ff swm s0,2047\(a1\)
3218 [ 0-9a-f]+: 2025 d800 swm s0,-2048\(a1\)
3219 [ 0-9a-f]+: 3020 1000 li at,4096
3220 [ 0-9a-f]+: 00a1 0950 addu at,at,a1
3221 [ 0-9a-f]+: 2021 d800 swm s0,-2048\(at\)
3222 [ 0-9a-f]+: 3020 f000 li at,-4096
3223 [ 0-9a-f]+: 00a1 0950 addu at,at,a1
3224 [ 0-9a-f]+: 2021 d7ff swm s0,2047\(at\)
3225 [ 0-9a-f]+: 2045 d7ff swm s0-s1,2047\(a1\)
3226 [ 0-9a-f]+: 2065 d7ff swm s0-s2,2047\(a1\)
3227 [ 0-9a-f]+: 2085 d7ff swm s0-s3,2047\(a1\)
3228 [ 0-9a-f]+: 20a5 d7ff swm s0-s4,2047\(a1\)
3229 [ 0-9a-f]+: 20c5 d7ff swm s0-s5,2047\(a1\)
3230 [ 0-9a-f]+: 20e5 d7ff swm s0-s6,2047\(a1\)
3231 [ 0-9a-f]+: 2105 d7ff swm s0-s7,2047\(a1\)
3232 [ 0-9a-f]+: 2125 d7ff swm s0-s7,s8,2047\(a1\)
3233 [ 0-9a-f]+: 2205 d7ff swm ra,2047\(a1\)
3234 [ 0-9a-f]+: 2225 d000 swm s0,ra,0\(a1\)
3235 [ 0-9a-f]+: 2245 d000 swm s0-s1,ra,0\(a1\)
3236 [ 0-9a-f]+: 2265 d000 swm s0-s2,ra,0\(a1\)
3237 [ 0-9a-f]+: 2285 d000 swm s0-s3,ra,0\(a1\)
3238 [ 0-9a-f]+: 22a5 d000 swm s0-s4,ra,0\(a1\)
3239 [ 0-9a-f]+: 22c5 d000 swm s0-s5,ra,0\(a1\)
3240 [ 0-9a-f]+: 22e5 d000 swm s0-s6,ra,0\(a1\)
3241 [ 0-9a-f]+: 2305 d000 swm s0-s7,ra,0\(a1\)
3242 [ 0-9a-f]+: 2325 d000 swm s0-s7,s8,ra,0\(a1\)
3243 [ 0-9a-f]+: 3020 8000 li at,-32768
3244 [ 0-9a-f]+: 03a1 0950 addu at,at,sp
3245 [ 0-9a-f]+: 2021 d000 swm s0,0\(at\)
3246 [ 0-9a-f]+: 5020 8000 li at,0x8000
3247 [ 0-9a-f]+: 03a1 0950 addu at,at,sp
3248 [ 0-9a-f]+: 2021 dfff swm s0,-1\(at\)
3249 [ 0-9a-f]+: 203d d000 swm s0,0\(sp\)
3250 [ 0-9a-f]+: 41a1 0001 lui at,0x1
3251 [ 0-9a-f]+: 03a1 0950 addu at,at,sp
3252 [ 0-9a-f]+: 2021 dfff swm s0,-1\(at\)
3253 [ 0-9a-f]+: 2040 9000 swp v0,0\(zero\)
3254 [ 0-9a-f]+: 2040 9004 swp v0,4\(zero\)
3255 [ 0-9a-f]+: 2040 97ff swp v0,2047\(zero\)
3256 [ 0-9a-f]+: 2040 9800 swp v0,-2048\(zero\)
3257 [ 0-9a-f]+: 3020 1000 li at,4096
3258 [ 0-9a-f]+: 2041 9800 swp v0,-2048\(at\)
3259 [ 0-9a-f]+: 3020 f000 li at,-4096
3260 [ 0-9a-f]+: 2041 97ff swp v0,2047\(at\)
3261 [ 0-9a-f]+: 205d 9000 swp v0,0\(sp\)
3262 [ 0-9a-f]+: 205d 9000 swp v0,0\(sp\)
3263 [ 0-9a-f]+: 2043 97ff swp v0,2047\(v1\)
3264 [ 0-9a-f]+: 2043 9800 swp v0,-2048\(v1\)
3265 [ 0-9a-f]+: 3020 1000 li at,4096
3266 [ 0-9a-f]+: 0061 0950 addu at,at,v1
3267 [ 0-9a-f]+: 2041 9800 swp v0,-2048\(at\)
3268 [ 0-9a-f]+: 3020 f000 li at,-4096
3269 [ 0-9a-f]+: 0061 0950 addu at,at,v1
3270 [ 0-9a-f]+: 2041 97ff swp v0,2047\(at\)
3271 [ 0-9a-f]+: 5020 8000 li at,0x8000
3272 [ 0-9a-f]+: 0061 0950 addu at,at,v1
3273 [ 0-9a-f]+: 2041 9fff swp v0,-1\(at\)
3274 [ 0-9a-f]+: 3020 8000 li at,-32768
3275 [ 0-9a-f]+: 0061 0950 addu at,at,v1
3276 [ 0-9a-f]+: 2041 9000 swp v0,0\(at\)
3277 [ 0-9a-f]+: 2043 9000 swp v0,0\(v1\)
3278 [ 0-9a-f]+: 41a1 0001 lui at,0x1
3279 [ 0-9a-f]+: 0061 0950 addu at,at,v1
3280 [ 0-9a-f]+: 2041 9fff swp v0,-1\(at\)
3281 [ 0-9a-f]+: 0000 6b7c sync
3282 [ 0-9a-f]+: 0000 6b7c sync
3283 [ 0-9a-f]+: 0001 6b7c sync 0x1
3284 [ 0-9a-f]+: 0002 6b7c sync 0x2
3285 [ 0-9a-f]+: 0003 6b7c sync 0x3
3286 [ 0-9a-f]+: 0004 6b7c sync_wmb
3287 [ 0-9a-f]+: 001e 6b7c sync 0x1e
3288 [ 0-9a-f]+: 001f 6b7c sync 0x1f
3289 [ 0-9a-f]+: 4200 0000 synci 0\(zero\)
3290 [ 0-9a-f]+: 4200 0000 synci 0\(zero\)
3291 [ 0-9a-f]+: 4200 0000 synci 0\(zero\)
3292 [ 0-9a-f]+: 4200 07ff synci 2047\(zero\)
3293 [ 0-9a-f]+: 4200 f800 synci -2048\(zero\)
3294 [ 0-9a-f]+: 4200 0800 synci 2048\(zero\)
3295 [ 0-9a-f]+: 4200 f7ff synci -2049\(zero\)
3296 [ 0-9a-f]+: 4200 7fff synci 32767\(zero\)
3297 [ 0-9a-f]+: 4200 8000 synci -32768\(zero\)
3298 [ 0-9a-f]+: 4202 0000 synci 0\(v0\)
3299 [ 0-9a-f]+: 4203 0000 synci 0\(v1\)
3300 [ 0-9a-f]+: 4203 07ff synci 2047\(v1\)
3301 [ 0-9a-f]+: 4203 f800 synci -2048\(v1\)
3302 [ 0-9a-f]+: 4203 0800 synci 2048\(v1\)
3303 [ 0-9a-f]+: 4203 f7ff synci -2049\(v1\)
3304 [ 0-9a-f]+: 4203 7fff synci 32767\(v1\)
3305 [ 0-9a-f]+: 4203 8000 synci -32768\(v1\)
3306 [ 0-9a-f]+: 0000 8b7c syscall
3307 [ 0-9a-f]+: 0000 8b7c syscall
3308 [ 0-9a-f]+: 0001 8b7c syscall 0x1
3309 [ 0-9a-f]+: 0002 8b7c syscall 0x2
3310 [ 0-9a-f]+: 00ff 8b7c syscall 0xff
3311 [ 0-9a-f]+: 41c2 0000 teqi v0,0
3312 [ 0-9a-f]+: 41c2 8000 teqi v0,-32768
3313 [ 0-9a-f]+: 41c2 7fff teqi v0,32767
3314 [ 0-9a-f]+: 41c2 ffff teqi v0,-1
3315 [ 0-9a-f]+: 0062 003c teq v0,v1
3316 [ 0-9a-f]+: 0043 003c teq v1,v0
3317 [ 0-9a-f]+: 0062 003c teq v0,v1
3318 [ 0-9a-f]+: 0062 103c teq v0,v1,0x1
3319 [ 0-9a-f]+: 0062 f03c teq v0,v1,0xf
3320 [ 0-9a-f]+: 41c2 0000 teqi v0,0
3321 [ 0-9a-f]+: 41c2 8000 teqi v0,-32768
3322 [ 0-9a-f]+: 41c2 7fff teqi v0,32767
3323 [ 0-9a-f]+: 5020 ffff li at,0xffff
3324 [ 0-9a-f]+: 0022 003c teq v0,at
3325 [ 0-9a-f]+: 4122 0000 tgei v0,0
3326 [ 0-9a-f]+: 4122 8000 tgei v0,-32768
3327 [ 0-9a-f]+: 4122 7fff tgei v0,32767
3328 [ 0-9a-f]+: 4122 ffff tgei v0,-1
3329 [ 0-9a-f]+: 0062 023c tge v0,v1
3330 [ 0-9a-f]+: 0043 023c tge v1,v0
3331 [ 0-9a-f]+: 0062 023c tge v0,v1
3332 [ 0-9a-f]+: 0062 123c tge v0,v1,0x1
3333 [ 0-9a-f]+: 0062 f23c tge v0,v1,0xf
3334 [ 0-9a-f]+: 4122 0000 tgei v0,0
3335 [ 0-9a-f]+: 4122 8000 tgei v0,-32768
3336 [ 0-9a-f]+: 4122 7fff tgei v0,32767
3337 [ 0-9a-f]+: 5020 ffff li at,0xffff
3338 [ 0-9a-f]+: 0022 023c tge v0,at
3339 [ 0-9a-f]+: 4162 0000 tgeiu v0,0
3340 [ 0-9a-f]+: 4162 8000 tgeiu v0,-32768
3341 [ 0-9a-f]+: 4162 7fff tgeiu v0,32767
3342 [ 0-9a-f]+: 4162 ffff tgeiu v0,-1
3343 [ 0-9a-f]+: 0062 043c tgeu v0,v1
3344 [ 0-9a-f]+: 0043 043c tgeu v1,v0
3345 [ 0-9a-f]+: 0062 043c tgeu v0,v1
3346 [ 0-9a-f]+: 0062 143c tgeu v0,v1,0x1
3347 [ 0-9a-f]+: 0062 f43c tgeu v0,v1,0xf
3348 [ 0-9a-f]+: 4162 0000 tgeiu v0,0
3349 [ 0-9a-f]+: 4162 8000 tgeiu v0,-32768
3350 [ 0-9a-f]+: 4162 7fff tgeiu v0,32767
3351 [ 0-9a-f]+: 5020 ffff li at,0xffff
3352 [ 0-9a-f]+: 0022 043c tgeu v0,at
3353 [ 0-9a-f]+: 0000 037c tlbp
3354 [ 0-9a-f]+: 0000 137c tlbr
3355 [ 0-9a-f]+: 0000 237c tlbwi
3356 [ 0-9a-f]+: 0000 337c tlbwr
3357 [ 0-9a-f]+: 4102 0000 tlti v0,0
3358 [ 0-9a-f]+: 4102 8000 tlti v0,-32768
3359 [ 0-9a-f]+: 4102 7fff tlti v0,32767
3360 [ 0-9a-f]+: 4102 ffff tlti v0,-1
3361 [ 0-9a-f]+: 0062 083c tlt v0,v1
3362 [ 0-9a-f]+: 0043 083c tlt v1,v0
3363 [ 0-9a-f]+: 0062 083c tlt v0,v1
3364 [ 0-9a-f]+: 0062 183c tlt v0,v1,0x1
3365 [ 0-9a-f]+: 0062 f83c tlt v0,v1,0xf
3366 [ 0-9a-f]+: 4102 0000 tlti v0,0
3367 [ 0-9a-f]+: 4102 8000 tlti v0,-32768
3368 [ 0-9a-f]+: 4102 7fff tlti v0,32767
3369 [ 0-9a-f]+: 5020 ffff li at,0xffff
3370 [ 0-9a-f]+: 0022 083c tlt v0,at
3371 [ 0-9a-f]+: 4142 0000 tltiu v0,0
3372 [ 0-9a-f]+: 4142 8000 tltiu v0,-32768
3373 [ 0-9a-f]+: 4142 7fff tltiu v0,32767
3374 [ 0-9a-f]+: 4142 ffff tltiu v0,-1
3375 [ 0-9a-f]+: 0062 0a3c tltu v0,v1
3376 [ 0-9a-f]+: 0043 0a3c tltu v1,v0
3377 [ 0-9a-f]+: 0062 0a3c tltu v0,v1
3378 [ 0-9a-f]+: 0062 1a3c tltu v0,v1,0x1
3379 [ 0-9a-f]+: 0062 fa3c tltu v0,v1,0xf
3380 [ 0-9a-f]+: 4142 0000 tltiu v0,0
3381 [ 0-9a-f]+: 4142 8000 tltiu v0,-32768
3382 [ 0-9a-f]+: 4142 7fff tltiu v0,32767
3383 [ 0-9a-f]+: 5020 ffff li at,0xffff
3384 [ 0-9a-f]+: 0022 0a3c tltu v0,at
3385 [ 0-9a-f]+: 41a1 0001 lui at,0x1
3386 [ 0-9a-f]+: 0022 0a3c tltu v0,at
3387 [ 0-9a-f]+: 3020 ffff li at,-1
3388 [ 0-9a-f]+: 0022 0a3c tltu v0,at
3389 [ 0-9a-f]+: 4182 0000 tnei v0,0
3390 [ 0-9a-f]+: 4182 8000 tnei v0,-32768
3391 [ 0-9a-f]+: 4182 7fff tnei v0,32767
3392 [ 0-9a-f]+: 4182 ffff tnei v0,-1
3393 [ 0-9a-f]+: 0062 0c3c tne v0,v1
3394 [ 0-9a-f]+: 0043 0c3c tne v1,v0
3395 [ 0-9a-f]+: 0062 0c3c tne v0,v1
3396 [ 0-9a-f]+: 0062 1c3c tne v0,v1,0x1
3397 [ 0-9a-f]+: 0062 fc3c tne v0,v1,0xf
3398 [ 0-9a-f]+: 4182 0000 tnei v0,0
3399 [ 0-9a-f]+: 4182 8000 tnei v0,-32768
3400 [ 0-9a-f]+: 4182 7fff tnei v0,32767
3401 [ 0-9a-f]+: 5020 ffff li at,0xffff
3402 [ 0-9a-f]+: 0022 0c3c tne v0,at
3403 [ 0-9a-f]+: 41a1 0001 lui at,0x1
3404 [ 0-9a-f]+: 0022 0c3c tne v0,at
3405 [ 0-9a-f]+: 3020 ffff li at,-1
3406 [ 0-9a-f]+: 0022 0c3c tne v0,at
3407 [ 0-9a-f]+: 1c20 0004 lb at,4\(zero\)
3408 [ 0-9a-f]+: 1460 0005 lbu v1,5\(zero\)
3409 [ 0-9a-f]+: 0021 4000 sll at,at,0x8
3410 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3411 [ 0-9a-f]+: 1c20 0004 lb at,4\(zero\)
3412 [ 0-9a-f]+: 1460 0005 lbu v1,5\(zero\)
3413 [ 0-9a-f]+: 0021 4000 sll at,at,0x8
3414 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3415 [ 0-9a-f]+: 1c24 0000 lb at,0\(a0\)
3416 [ 0-9a-f]+: 1464 0001 lbu v1,1\(a0\)
3417 [ 0-9a-f]+: 0021 4000 sll at,at,0x8
3418 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3419 [ 0-9a-f]+: 1c24 0000 lb at,0\(a0\)
3420 [ 0-9a-f]+: 1464 0001 lbu v1,1\(a0\)
3421 [ 0-9a-f]+: 0021 4000 sll at,at,0x8
3422 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3423 [ 0-9a-f]+: 1c24 7ffb lb at,32763\(a0\)
3424 [ 0-9a-f]+: 1464 7ffc lbu v1,32764\(a0\)
3425 [ 0-9a-f]+: 0021 4000 sll at,at,0x8
3426 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3427 [ 0-9a-f]+: 1c24 8000 lb at,-32768\(a0\)
3428 [ 0-9a-f]+: 1464 8001 lbu v1,-32767\(a0\)
3429 [ 0-9a-f]+: 0021 4000 sll at,at,0x8
3430 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3431 [ 0-9a-f]+: 5020 ffff li at,0xffff
3432 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3433 [ 0-9a-f]+: 1c61 0000 lb v1,0\(at\)
3434 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3435 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3436 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3437 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3438 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3439 [ 0-9a-f]+: 1c61 0000 lb v1,0\(at\)
3440 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3441 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3442 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3443 [ 0-9a-f]+: 3020 8000 li at,-32768
3444 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3445 [ 0-9a-f]+: 1c61 0000 lb v1,0\(at\)
3446 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3447 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3448 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3449 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3450 [ 0-9a-f]+: 5021 0001 ori at,at,0x1
3451 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3452 [ 0-9a-f]+: 1c61 0000 lb v1,0\(at\)
3453 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3454 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3455 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3456 [ 0-9a-f]+: 3020 8001 li at,-32767
3457 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3458 [ 0-9a-f]+: 1c61 0000 lb v1,0\(at\)
3459 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3460 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3461 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3462 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
3463 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3464 [ 0-9a-f]+: 1c61 0000 lb v1,0\(at\)
3465 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3466 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3467 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3468 [ 0-9a-f]+: 3020 ffff li at,-1
3469 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3470 [ 0-9a-f]+: 1c61 0000 lb v1,0\(at\)
3471 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3472 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3473 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3474 [ 0-9a-f]+: 1420 0004 lbu at,4\(zero\)
3475 [ 0-9a-f]+: 1460 0005 lbu v1,5\(zero\)
3476 [ 0-9a-f]+: 0021 4000 sll at,at,0x8
3477 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3478 [ 0-9a-f]+: 1420 0004 lbu at,4\(zero\)
3479 [ 0-9a-f]+: 1460 0005 lbu v1,5\(zero\)
3480 [ 0-9a-f]+: 0021 4000 sll at,at,0x8
3481 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3482 [ 0-9a-f]+: 1424 0000 lbu at,0\(a0\)
3483 [ 0-9a-f]+: 1464 0001 lbu v1,1\(a0\)
3484 [ 0-9a-f]+: 0021 4000 sll at,at,0x8
3485 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3486 [ 0-9a-f]+: 1424 0000 lbu at,0\(a0\)
3487 [ 0-9a-f]+: 1464 0001 lbu v1,1\(a0\)
3488 [ 0-9a-f]+: 0021 4000 sll at,at,0x8
3489 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3490 [ 0-9a-f]+: 1424 7ffb lbu at,32763\(a0\)
3491 [ 0-9a-f]+: 1464 7ffc lbu v1,32764\(a0\)
3492 [ 0-9a-f]+: 0021 4000 sll at,at,0x8
3493 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3494 [ 0-9a-f]+: 1424 8000 lbu at,-32768\(a0\)
3495 [ 0-9a-f]+: 1464 8001 lbu v1,-32767\(a0\)
3496 [ 0-9a-f]+: 0021 4000 sll at,at,0x8
3497 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3498 [ 0-9a-f]+: 5020 ffff li at,0xffff
3499 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3500 [ 0-9a-f]+: 1461 0000 lbu v1,0\(at\)
3501 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3502 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3503 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3504 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3505 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3506 [ 0-9a-f]+: 1461 0000 lbu v1,0\(at\)
3507 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3508 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3509 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3510 [ 0-9a-f]+: 3020 8000 li at,-32768
3511 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3512 [ 0-9a-f]+: 1461 0000 lbu v1,0\(at\)
3513 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3514 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3515 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3516 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3517 [ 0-9a-f]+: 5021 0001 ori at,at,0x1
3518 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3519 [ 0-9a-f]+: 1461 0000 lbu v1,0\(at\)
3520 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3521 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3522 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3523 [ 0-9a-f]+: 3020 8001 li at,-32767
3524 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3525 [ 0-9a-f]+: 1461 0000 lbu v1,0\(at\)
3526 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3527 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3528 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3529 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
3530 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3531 [ 0-9a-f]+: 1461 0000 lbu v1,0\(at\)
3532 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3533 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3534 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3535 [ 0-9a-f]+: 3020 ffff li at,-1
3536 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3537 [ 0-9a-f]+: 1461 0000 lbu v1,0\(at\)
3538 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3539 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3540 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3541 [ 0-9a-f]+: 6060 0000 lwl v1,0\(zero\)
3542 [ 0-9a-f]+: 6060 1003 lwr v1,3\(zero\)
3543 [ 0-9a-f]+: 6060 0000 lwl v1,0\(zero\)
3544 [ 0-9a-f]+: 6060 1003 lwr v1,3\(zero\)
3545 [ 0-9a-f]+: 6060 0004 lwl v1,4\(zero\)
3546 [ 0-9a-f]+: 6060 1007 lwr v1,7\(zero\)
3547 [ 0-9a-f]+: 6060 0004 lwl v1,4\(zero\)
3548 [ 0-9a-f]+: 6060 1007 lwr v1,7\(zero\)
3549 [ 0-9a-f]+: 3020 07ff li at,2047
3550 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3551 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3552 [ 0-9a-f]+: 6060 0800 lwl v1,-2048\(zero\)
3553 [ 0-9a-f]+: 6060 1803 lwr v1,-2045\(zero\)
3554 [ 0-9a-f]+: 3020 0800 li at,2048
3555 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3556 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3557 [ 0-9a-f]+: 3020 f7ff li at,-2049
3558 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3559 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3560 [ 0-9a-f]+: 3020 7ffb li at,32763
3561 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3562 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3563 [ 0-9a-f]+: 3020 8000 li at,-32768
3564 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3565 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3566 [ 0-9a-f]+: 5020 ffff li at,0xffff
3567 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3568 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3569 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3570 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3571 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3572 [ 0-9a-f]+: 3020 8000 li at,-32768
3573 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3574 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3575 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3576 [ 0-9a-f]+: 5021 0001 ori at,at,0x1
3577 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3578 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3579 [ 0-9a-f]+: 3020 8001 li at,-32767
3580 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3581 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3582 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
3583 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3584 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3585 [ 0-9a-f]+: 3020 ffff li at,-1
3586 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3587 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3588 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
3589 [ 0-9a-f]+: 5021 5678 ori at,at,0x5678
3590 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3591 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3592 [ 0-9a-f]+: 6064 0000 lwl v1,0\(a0\)
3593 [ 0-9a-f]+: 6064 1003 lwr v1,3\(a0\)
3594 [ 0-9a-f]+: 6064 0004 lwl v1,4\(a0\)
3595 [ 0-9a-f]+: 6064 1007 lwr v1,7\(a0\)
3596 [ 0-9a-f]+: 3024 07ff addiu at,a0,2047
3597 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3598 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3599 [ 0-9a-f]+: 6064 0800 lwl v1,-2048\(a0\)
3600 [ 0-9a-f]+: 6064 1803 lwr v1,-2045\(a0\)
3601 [ 0-9a-f]+: 3024 0800 addiu at,a0,2048
3602 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3603 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3604 [ 0-9a-f]+: 3024 f7ff addiu at,a0,-2049
3605 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3606 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3607 [ 0-9a-f]+: 3024 7ffb addiu at,a0,32763
3608 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3609 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3610 [ 0-9a-f]+: 3024 8000 addiu at,a0,-32768
3611 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3612 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3613 [ 0-9a-f]+: 5020 ffff li at,0xffff
3614 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3615 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3616 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3617 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3618 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3619 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3620 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3621 [ 0-9a-f]+: 3020 8000 li at,-32768
3622 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3623 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3624 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3625 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3626 [ 0-9a-f]+: 5021 0001 ori at,at,0x1
3627 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3628 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3629 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3630 [ 0-9a-f]+: 3020 8001 li at,-32767
3631 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3632 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3633 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3634 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
3635 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3636 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3637 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3638 [ 0-9a-f]+: 3020 ffff li at,-1
3639 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3640 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3641 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3642 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
3643 [ 0-9a-f]+: 5021 5678 ori at,at,0x5678
3644 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3645 [ 0-9a-f]+: 6061 0000 lwl v1,0\(at\)
3646 [ 0-9a-f]+: 6061 1003 lwr v1,3\(at\)
3647 [ 0-9a-f]+: 1860 0005 sb v1,5\(zero\)
3648 [ 0-9a-f]+: 0023 4040 srl at,v1,0x8
3649 [ 0-9a-f]+: 1820 0004 sb at,4\(zero\)
3650 [ 0-9a-f]+: 1860 0005 sb v1,5\(zero\)
3651 [ 0-9a-f]+: 0023 4040 srl at,v1,0x8
3652 [ 0-9a-f]+: 1820 0004 sb at,4\(zero\)
3653 [ 0-9a-f]+: 1864 0001 sb v1,1\(a0\)
3654 [ 0-9a-f]+: 0023 4040 srl at,v1,0x8
3655 [ 0-9a-f]+: 1824 0000 sb at,0\(a0\)
3656 [ 0-9a-f]+: 1864 0001 sb v1,1\(a0\)
3657 [ 0-9a-f]+: 0023 4040 srl at,v1,0x8
3658 [ 0-9a-f]+: 1824 0000 sb at,0\(a0\)
3659 [ 0-9a-f]+: 1864 7ffc sb v1,32764\(a0\)
3660 [ 0-9a-f]+: 0023 4040 srl at,v1,0x8
3661 [ 0-9a-f]+: 1824 7ffb sb at,32763\(a0\)
3662 [ 0-9a-f]+: 1864 8001 sb v1,-32767\(a0\)
3663 [ 0-9a-f]+: 0023 4040 srl at,v1,0x8
3664 [ 0-9a-f]+: 1824 8000 sb at,-32768\(a0\)
3665 [ 0-9a-f]+: 5020 ffff li at,0xffff
3666 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3667 [ 0-9a-f]+: 1861 0001 sb v1,1\(at\)
3668 [ 0-9a-f]+: 0063 4040 srl v1,v1,0x8
3669 [ 0-9a-f]+: 1861 0000 sb v1,0\(at\)
3670 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3671 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3672 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3673 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3674 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3675 [ 0-9a-f]+: 1861 0001 sb v1,1\(at\)
3676 [ 0-9a-f]+: 0063 4040 srl v1,v1,0x8
3677 [ 0-9a-f]+: 1861 0000 sb v1,0\(at\)
3678 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3679 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3680 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3681 [ 0-9a-f]+: 3020 8000 li at,-32768
3682 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3683 [ 0-9a-f]+: 1861 0001 sb v1,1\(at\)
3684 [ 0-9a-f]+: 0063 4040 srl v1,v1,0x8
3685 [ 0-9a-f]+: 1861 0000 sb v1,0\(at\)
3686 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3687 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3688 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3689 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3690 [ 0-9a-f]+: 5021 0001 ori at,at,0x1
3691 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3692 [ 0-9a-f]+: 1861 0001 sb v1,1\(at\)
3693 [ 0-9a-f]+: 0063 4040 srl v1,v1,0x8
3694 [ 0-9a-f]+: 1861 0000 sb v1,0\(at\)
3695 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3696 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3697 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3698 [ 0-9a-f]+: 3020 8001 li at,-32767
3699 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3700 [ 0-9a-f]+: 1861 0001 sb v1,1\(at\)
3701 [ 0-9a-f]+: 0063 4040 srl v1,v1,0x8
3702 [ 0-9a-f]+: 1861 0000 sb v1,0\(at\)
3703 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3704 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3705 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3706 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
3707 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3708 [ 0-9a-f]+: 1861 0001 sb v1,1\(at\)
3709 [ 0-9a-f]+: 0063 4040 srl v1,v1,0x8
3710 [ 0-9a-f]+: 1861 0000 sb v1,0\(at\)
3711 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3712 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3713 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3714 [ 0-9a-f]+: 3020 ffff li at,-1
3715 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3716 [ 0-9a-f]+: 1861 0001 sb v1,1\(at\)
3717 [ 0-9a-f]+: 0063 4040 srl v1,v1,0x8
3718 [ 0-9a-f]+: 1861 0000 sb v1,0\(at\)
3719 [ 0-9a-f]+: 1421 0001 lbu at,1\(at\)
3720 [ 0-9a-f]+: 0063 4000 sll v1,v1,0x8
3721 [ 0-9a-f]+: 0023 1a90 or v1,v1,at
3722 [ 0-9a-f]+: 6060 8000 swl v1,0\(zero\)
3723 [ 0-9a-f]+: 6060 9003 swr v1,3\(zero\)
3724 [ 0-9a-f]+: 6060 8000 swl v1,0\(zero\)
3725 [ 0-9a-f]+: 6060 9003 swr v1,3\(zero\)
3726 [ 0-9a-f]+: 6060 8004 swl v1,4\(zero\)
3727 [ 0-9a-f]+: 6060 9007 swr v1,7\(zero\)
3728 [ 0-9a-f]+: 6060 8004 swl v1,4\(zero\)
3729 [ 0-9a-f]+: 6060 9007 swr v1,7\(zero\)
3730 [ 0-9a-f]+: 3020 07ff li at,2047
3731 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3732 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3733 [ 0-9a-f]+: 6060 8800 swl v1,-2048\(zero\)
3734 [ 0-9a-f]+: 6060 9803 swr v1,-2045\(zero\)
3735 [ 0-9a-f]+: 3020 0800 li at,2048
3736 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3737 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3738 [ 0-9a-f]+: 3020 f7ff li at,-2049
3739 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3740 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3741 [ 0-9a-f]+: 3020 7ffb li at,32763
3742 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3743 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3744 [ 0-9a-f]+: 3020 8000 li at,-32768
3745 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3746 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3747 [ 0-9a-f]+: 5020 ffff li at,0xffff
3748 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3749 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3750 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3751 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3752 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3753 [ 0-9a-f]+: 3020 8000 li at,-32768
3754 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3755 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3756 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3757 [ 0-9a-f]+: 5021 0001 ori at,at,0x1
3758 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3759 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3760 [ 0-9a-f]+: 3020 8001 li at,-32767
3761 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3762 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3763 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
3764 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3765 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3766 [ 0-9a-f]+: 3020 ffff li at,-1
3767 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3768 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3769 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
3770 [ 0-9a-f]+: 5021 5678 ori at,at,0x5678
3771 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3772 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3773 [ 0-9a-f]+: 6064 8000 swl v1,0\(a0\)
3774 [ 0-9a-f]+: 6064 9003 swr v1,3\(a0\)
3775 [ 0-9a-f]+: 6064 8004 swl v1,4\(a0\)
3776 [ 0-9a-f]+: 6064 9007 swr v1,7\(a0\)
3777 [ 0-9a-f]+: 3024 07ff addiu at,a0,2047
3778 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3779 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3780 [ 0-9a-f]+: 6064 8800 swl v1,-2048\(a0\)
3781 [ 0-9a-f]+: 6064 9803 swr v1,-2045\(a0\)
3782 [ 0-9a-f]+: 3024 0800 addiu at,a0,2048
3783 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3784 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3785 [ 0-9a-f]+: 3024 f7ff addiu at,a0,-2049
3786 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3787 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3788 [ 0-9a-f]+: 3024 7ffb addiu at,a0,32763
3789 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3790 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3791 [ 0-9a-f]+: 3024 8000 addiu at,a0,-32768
3792 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3793 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3794 [ 0-9a-f]+: 5020 ffff li at,0xffff
3795 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3796 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3797 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3798 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3799 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3800 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3801 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3802 [ 0-9a-f]+: 3020 8000 li at,-32768
3803 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3804 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3805 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3806 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3807 [ 0-9a-f]+: 5021 0001 ori at,at,0x1
3808 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3809 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3810 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3811 [ 0-9a-f]+: 3020 8001 li at,-32767
3812 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3813 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3814 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3815 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
3816 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3817 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3818 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3819 [ 0-9a-f]+: 3020 ffff li at,-1
3820 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3821 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3822 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3823 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
3824 [ 0-9a-f]+: 5021 5678 ori at,at,0x5678
3825 [ 0-9a-f]+: 0081 0950 addu at,at,a0
3826 [ 0-9a-f]+: 6061 8000 swl v1,0\(at\)
3827 [ 0-9a-f]+: 6061 9003 swr v1,3\(at\)
3828 [ 0-9a-f]+: 0000 937c wait
3829 [ 0-9a-f]+: 0000 937c wait
3830 [ 0-9a-f]+: 0001 937c wait 0x1
3831 [ 0-9a-f]+: 00ff 937c wait 0xff
3832 [ 0-9a-f]+: 0043 f17c wrpgpr v0,v1
3833 [ 0-9a-f]+: 0044 f17c wrpgpr v0,a0
3834 [ 0-9a-f]+: 0042 f17c wrpgpr v0,v0
3835 [ 0-9a-f]+: 0042 f17c wrpgpr v0,v0
3836 [ 0-9a-f]+: 0043 7b3c wsbh v0,v1
3837 [ 0-9a-f]+: 0044 7b3c wsbh v0,a0
3838 [ 0-9a-f]+: 0042 7b3c wsbh v0,v0
3839 [ 0-9a-f]+: 0042 7b3c wsbh v0,v0
3840 [ 0-9a-f]+: 4452 xor v0,v0,v0
3841 [ 0-9a-f]+: 4453 xor v0,v0,v1
3842 [ 0-9a-f]+: 4454 xor v0,v0,a0
3843 [ 0-9a-f]+: 4455 xor v0,v0,a1
3844 [ 0-9a-f]+: 4456 xor v0,v0,a2
3845 [ 0-9a-f]+: 4457 xor v0,v0,a3
3846 [ 0-9a-f]+: 4450 xor v0,v0,s0
3847 [ 0-9a-f]+: 4451 xor v0,v0,s1
3848 [ 0-9a-f]+: 4459 xor v1,v1,s1
3849 [ 0-9a-f]+: 4461 xor a0,a0,s1
3850 [ 0-9a-f]+: 4469 xor a1,a1,s1
3851 [ 0-9a-f]+: 4471 xor a2,a2,s1
3852 [ 0-9a-f]+: 4479 xor a3,a3,s1
3853 [ 0-9a-f]+: 4441 xor s0,s0,s1
3854 [ 0-9a-f]+: 4449 xor s1,s1,s1
3855 [ 0-9a-f]+: 4453 xor v0,v0,v1
3856 [ 0-9a-f]+: 4453 xor v0,v0,v1
3857 [ 0-9a-f]+: 4453 xor v0,v0,v1
3858 [ 0-9a-f]+: 0083 1310 xor v0,v1,a0
3859 [ 0-9a-f]+: 03fe eb10 xor sp,s8,ra
3860 [ 0-9a-f]+: 0082 1310 xor v0,v0,a0
3861 [ 0-9a-f]+: 0082 1310 xor v0,v0,a0
3862 [ 0-9a-f]+: 7043 8000 xori v0,v1,0x8000
3863 [ 0-9a-f]+: 7043 ffff xori v0,v1,0xffff
3864 [ 0-9a-f]+: 41a1 0001 lui at,0x1
3865 [ 0-9a-f]+: 0023 1310 xor v0,v1,at
3866 [ 0-9a-f]+: 3020 8000 li at,-32768
3867 [ 0-9a-f]+: 0023 1310 xor v0,v1,at
3868 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
3869 [ 0-9a-f]+: 5021 7fff ori at,at,0x7fff
3870 [ 0-9a-f]+: 0023 1310 xor v0,v1,at
3871 [ 0-9a-f]+: 7064 0000 xori v1,a0,0x0
3872 [ 0-9a-f]+: 7064 7fff xori v1,a0,0x7fff
3873 [ 0-9a-f]+: 7064 ffff xori v1,a0,0xffff
3874 [ 0-9a-f]+: 7063 ffff xori v1,v1,0xffff
3875 [ 0-9a-f]+: 7063 ffff xori v1,v1,0xffff
3876 [ 0-9a-f]+: 9409 fffe beqz t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3877 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3878 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3879 [ 0-9a-f]+: 9549 fffe beq t1,t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3880 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3881 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3882 [ 0-9a-f]+: 9409 fffe beqz t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3883 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3884 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3885 [ 0-9a-f]+: 3020 0001 li at,1
3886 [ 0-9a-f]+: 9429 fffe beq t1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3887 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3888 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3889 [ 0-9a-f]+: 404a fffe bgez t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3890 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3891 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3892 [ 0-9a-f]+: 404a fffe bgez t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3893 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3894 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3895 [ 0-9a-f]+: 408a fffe blez t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3896 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3897 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3898 [ 0-9a-f]+: 016a 0b50 slt at,t2,t3
3899 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3900 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3901 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3902 [ 0-9a-f]+: 404a fffe bgez t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3903 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3904 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3905 [ 0-9a-f]+: 40ca fffe bgtz t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3906 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3907 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3908 [ 0-9a-f]+: 902a 0002 slti at,t2,2
3909 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3910 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3911 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3912 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
3913 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3914 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3915 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
3916 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3917 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3918 [ 0-9a-f]+: 9440 fffe beq zero,v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3919 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3920 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3921 [ 0-9a-f]+: 0062 0b90 sltu at,v0,v1
3922 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3923 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3924 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3925 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
3926 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3927 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3928 [ 0-9a-f]+: b402 fffe bnez v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3929 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3930 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3931 [ 0-9a-f]+: b022 0002 sltiu at,v0,2
3932 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3933 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3934 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3935 [ 0-9a-f]+: 4042 fffe bgez v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3936 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3937 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3938 [ 0-9a-f]+: 4062 fffe bgezal v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3939 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3940 [ 0-9a-f]+: 00a4 1950 addu v1,a0,a1
3941 [ 0-9a-f]+: 40c2 fffe bgtz v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3942 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3943 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3944 [ 0-9a-f]+: 4002 fffe bltz v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3945 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3946 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3947 [ 0-9a-f]+: 012a 0b50 slt at,t2,t1
3948 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3949 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3950 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3951 [ 0-9a-f]+: 0c00 nop
3952 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3953 [ 0-9a-f]+: 4049 fffe bgez t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3954 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3955 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3956 [ 0-9a-f]+: 40c9 fffe bgtz t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3957 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3958 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3959 [ 0-9a-f]+: 9029 0002 slti at,t1,2
3960 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3961 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3962 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3963 [ 0-9a-f]+: 41a1 8000 lui at,0x8000
3964 [ 0-9a-f]+: 5021 0001 ori at,at,0x1
3965 [ 0-9a-f]+: 0029 0b50 slt at,t1,at
3966 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3967 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3968 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3969 [ 0-9a-f]+: b409 fffe bnez t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3970 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3971 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3972 [ 0-9a-f]+: 0c00 nop
3973 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3974 [ 0-9a-f]+: 012a 0b90 sltu at,t2,t1
3975 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3976 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3977 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3978 [ 0-9a-f]+: 0c00 nop
3979 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3980 [ 0-9a-f]+: 0c00 nop
3981 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3982 [ 0-9a-f]+: 0c00 nop
3983 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3984 [ 0-9a-f]+: b409 fffe bnez t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3985 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3986 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3987 [ 0-9a-f]+: b029 0002 sltiu at,t1,2
3988 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3989 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3990 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3991 [ 0-9a-f]+: 40c9 fffe bgtz t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3992 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3993 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3994 [ 0-9a-f]+: 4089 fffe blez t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3995 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3996 [ 0-9a-f]+: 05d8 addu v1,a0,a1
3997 [ 0-9a-f]+: 404a fffe bgez t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
3998 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
3999 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4000 [ 0-9a-f]+: 012a 0b50 slt at,t2,t1
4001 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4002 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4003 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4004 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4005 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4006 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4007 [ 0-9a-f]+: 4009 fffe bltz t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4008 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4009 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4010 [ 0-9a-f]+: 4089 fffe blez t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4011 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4012 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4013 [ 0-9a-f]+: 9029 0002 slti at,t1,2
4014 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4015 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4016 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4017 [ 0-9a-f]+: 9409 fffe beqz t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4018 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4019 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4020 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4021 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4022 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4023 [ 0-9a-f]+: 012a 0b90 sltu at,t2,t1
4024 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4025 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4026 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4027 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4028 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4029 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4030 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4031 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4032 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4033 [ 0-9a-f]+: 9409 fffe beqz t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4034 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4035 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4036 [ 0-9a-f]+: b029 0002 sltiu at,t1,2
4037 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4038 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4039 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4040 [ 0-9a-f]+: 4089 fffe blez t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4041 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4042 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4043 [ 0-9a-f]+: 4009 fffe bltz t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4044 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4045 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4046 [ 0-9a-f]+: 40ca fffe bgtz t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4047 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4048 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4049 [ 0-9a-f]+: 0149 0b50 slt at,t1,t2
4050 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4051 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4052 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4053 [ 0-9a-f]+: 4009 fffe bltz t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4054 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4055 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4056 [ 0-9a-f]+: 4089 fffe blez t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4057 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4058 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4059 [ 0-9a-f]+: 9029 0002 slti at,t1,2
4060 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4061 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4062 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4063 [ 0-9a-f]+: 0c00 nop
4064 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4065 [ 0-9a-f]+: b540 fffe bne zero,t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4066 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4067 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4068 [ 0-9a-f]+: 0149 0b90 sltu at,t1,t2
4069 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4070 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4071 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4072 [ 0-9a-f]+: 0c00 nop
4073 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4074 [ 0-9a-f]+: 9409 fffe beqz t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4075 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4076 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4077 [ 0-9a-f]+: b029 0002 sltiu at,t1,2
4078 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4079 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4080 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4081 [ 0-9a-f]+: 4009 fffe bltz t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4082 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4083 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4084 [ 0-9a-f]+: 4029 fffe bltzal t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4085 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4086 [ 0-9a-f]+: 00a4 1950 addu v1,a0,a1
4087 [ 0-9a-f]+: b409 fffe bnez t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4088 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4089 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4090 [ 0-9a-f]+: b549 fffe bne t1,t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4091 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4092 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4093 [ 0-9a-f]+: b409 fffe bnez t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4094 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4095 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4096 [ 0-9a-f]+: 3020 0001 li at,1
4097 [ 0-9a-f]+: b429 fffe bne t1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4098 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4099 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4100 [ 0-9a-f]+: b409 fffe bnez t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4101 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4102 [ 0-9a-f]+: 0c00 nop
4103 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4104 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4105 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4106
4107 [0-9a-f]+ <.*>:
4108 [ 0-9a-f]+: b549 fffe bne t1,t2,[0-9a-f]+ <.*>
4109 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4110 [ 0-9a-f]+: 0c00 nop
4111 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4112 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4113 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4114
4115 [0-9a-f]+ <.*>:
4116 [ 0-9a-f]+: b409 fffe bnez t1,[0-9a-f]+ <.*>
4117 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4118 [ 0-9a-f]+: 0c00 nop
4119 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4120 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4121 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4122
4123 [0-9a-f]+ <.*>:
4124 [ 0-9a-f]+: 3020 0001 li at,1
4125 [ 0-9a-f]+: b429 fffe bne t1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4126 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4127 [ 0-9a-f]+: 0c00 nop
4128 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4129 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4130 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4131
4132 [0-9a-f]+ <.*>:
4133 [ 0-9a-f]+: 400a fffe bltz t2,[0-9a-f]+ <.*>
4134 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4135 [ 0-9a-f]+: 0c00 nop
4136 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4137 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4138 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4139
4140 [0-9a-f]+ <.*>:
4141 [ 0-9a-f]+: 400a fffe bltz t2,[0-9a-f]+ <.*>
4142 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4143 [ 0-9a-f]+: 0c00 nop
4144 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4145 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4146 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4147
4148 [0-9a-f]+ <.*>:
4149 [ 0-9a-f]+: 40ca fffe bgtz t2,[0-9a-f]+ <.*>
4150 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4151 [ 0-9a-f]+: 0c00 nop
4152 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4153 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4154 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4155
4156 [0-9a-f]+ <.*>:
4157 [ 0-9a-f]+: 016a 0b50 slt at,t2,t3
4158 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4159 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4160 [ 0-9a-f]+: 0c00 nop
4161 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4162 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4163 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4164
4165 [0-9a-f]+ <.*>:
4166 [ 0-9a-f]+: 400a fffe bltz t2,[0-9a-f]+ <.*>
4167 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4168 [ 0-9a-f]+: 0c00 nop
4169 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4170 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4171 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4172
4173 [0-9a-f]+ <.*>:
4174 [ 0-9a-f]+: 408a fffe blez t2,[0-9a-f]+ <.*>
4175 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4176 [ 0-9a-f]+: 0c00 nop
4177 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4178 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4179 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4180
4181 [0-9a-f]+ <.*>:
4182 [ 0-9a-f]+: 902a 0002 slti at,t2,2
4183 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4184 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4185 [ 0-9a-f]+: 0c00 nop
4186 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4187 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4188 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4189
4190 [0-9a-f]+ <.*>:
4191 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*>
4192 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4193 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4194 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4195 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4196 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4197 [ 0-9a-f]+: b440 fffe bne zero,v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4198 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4199 [ 0-9a-f]+: 0c00 nop
4200 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4201 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4202 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4203
4204 [0-9a-f]+ <.*>:
4205 [ 0-9a-f]+: 0062 0b90 sltu at,v0,v1
4206 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4207 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4208 [ 0-9a-f]+: 0c00 nop
4209 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4210 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4211 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4212
4213 [0-9a-f]+ <.*>:
4214 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*>
4215 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4216 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4217 [ 0-9a-f]+: 9402 fffe beqz v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4218 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4219 [ 0-9a-f]+: 0c00 nop
4220 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4221 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4222 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4223
4224 [0-9a-f]+ <.*>:
4225 [ 0-9a-f]+: b022 0002 sltiu at,v0,2
4226 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4227 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4228 [ 0-9a-f]+: 0c00 nop
4229 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4230 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4231 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4232
4233 [0-9a-f]+ <.*>:
4234 [ 0-9a-f]+: 4002 fffe bltz v0,[0-9a-f]+ <.*>
4235 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4236 [ 0-9a-f]+: 0c00 nop
4237 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4238 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4239 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4240
4241 [0-9a-f]+ <.*>:
4242 [ 0-9a-f]+: 4002 fffe bltz v0,[0-9a-f]+ <.*>
4243 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4244 [ 0-9a-f]+: 0c00 nop
4245 [ 0-9a-f]+: 4060 fffe bal [0-9a-f]+ <.*\+0x[0-9a-f]+>
4246 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4247 [ 0-9a-f]+: 00a4 1950 addu v1,a0,a1
4248
4249 [0-9a-f]+ <.*>:
4250 [ 0-9a-f]+: 4082 fffe blez v0,[0-9a-f]+ <.*>
4251 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4252 [ 0-9a-f]+: 0c00 nop
4253 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4254 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4255 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4256
4257 [0-9a-f]+ <.*>:
4258 [ 0-9a-f]+: 4042 fffe bgez v0,[0-9a-f]+ <.*>
4259 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4260 [ 0-9a-f]+: 0c00 nop
4261 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4262 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4263 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4264
4265 [0-9a-f]+ <.*>:
4266 [ 0-9a-f]+: 012a 0b50 slt at,t2,t1
4267 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4268 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4269 [ 0-9a-f]+: 0c00 nop
4270 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4271 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4272 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4273
4274 [0-9a-f]+ <.*>:
4275 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*>
4276 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4277 [ 0-9a-f]+: 0c00 nop
4278 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4279 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4280 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4281
4282 [0-9a-f]+ <.*>:
4283 [ 0-9a-f]+: 4009 fffe bltz t1,[0-9a-f]+ <.*>
4284 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4285 [ 0-9a-f]+: 0c00 nop
4286 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4287 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4288 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4289
4290 [0-9a-f]+ <.*>:
4291 [ 0-9a-f]+: 4089 fffe blez t1,[0-9a-f]+ <.*>
4292 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4293 [ 0-9a-f]+: 0c00 nop
4294 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4295 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4296 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4297
4298 [0-9a-f]+ <.*>:
4299 [ 0-9a-f]+: 9029 0002 slti at,t1,2
4300 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4301 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4302 [ 0-9a-f]+: 0c00 nop
4303 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4304 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4305 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4306
4307 [0-9a-f]+ <.*>:
4308 [ 0-9a-f]+: 41a1 8000 lui at,0x8000
4309 [ 0-9a-f]+: 5021 0001 ori at,at,0x1
4310 [ 0-9a-f]+: 0029 0b50 slt at,t1,at
4311 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4312 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4313 [ 0-9a-f]+: 0c00 nop
4314 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4315 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4316 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4317
4318 [0-9a-f]+ <.*>:
4319 [ 0-9a-f]+: 9409 fffe beqz t1,[0-9a-f]+ <.*>
4320 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4321 [ 0-9a-f]+: 0c00 nop
4322 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4323 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4324 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4325
4326 [0-9a-f]+ <.*>:
4327 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*>
4328 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4329 [ 0-9a-f]+: 0c00 nop
4330 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4331 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4332 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4333
4334 [0-9a-f]+ <.*>:
4335 [ 0-9a-f]+: 012a 0b90 sltu at,t2,t1
4336 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4337 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4338 [ 0-9a-f]+: 0c00 nop
4339 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4340 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4341 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4342
4343 [0-9a-f]+ <.*>:
4344 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*>
4345 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4346 [ 0-9a-f]+: 0c00 nop
4347 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4348 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4349 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4350
4351 [0-9a-f]+ <.*>:
4352 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*>
4353 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4354 [ 0-9a-f]+: 0c00 nop
4355 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4356 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4357 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4358
4359 [0-9a-f]+ <.*>:
4360 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*>
4361 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4362 [ 0-9a-f]+: 0c00 nop
4363 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4364 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4365 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4366
4367 [0-9a-f]+ <.*>:
4368 [ 0-9a-f]+: 9409 fffe beqz t1,[0-9a-f]+ <.*>
4369 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4370 [ 0-9a-f]+: 0c00 nop
4371 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4372 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4373 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4374
4375 [0-9a-f]+ <.*>:
4376 [ 0-9a-f]+: b029 0002 sltiu at,t1,2
4377 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4378 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4379 [ 0-9a-f]+: 0c00 nop
4380 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4381 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4382 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4383
4384 [0-9a-f]+ <.*>:
4385 [ 0-9a-f]+: 4089 fffe blez t1,[0-9a-f]+ <.*>
4386 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4387 [ 0-9a-f]+: 0c00 nop
4388 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4389 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4390 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4391
4392 [0-9a-f]+ <.*>:
4393 [ 0-9a-f]+: 40c9 fffe bgtz t1,[0-9a-f]+ <.*>
4394 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4395 [ 0-9a-f]+: 0c00 nop
4396 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4397 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4398 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4399
4400 [0-9a-f]+ <.*>:
4401 [ 0-9a-f]+: 400a fffe bltz t2,[0-9a-f]+ <.*>
4402 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4403 [ 0-9a-f]+: 0c00 nop
4404 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4405 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4406 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4407
4408 [0-9a-f]+ <.*>:
4409 [ 0-9a-f]+: 012a 0b50 slt at,t2,t1
4410 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4411 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4412 [ 0-9a-f]+: 0c00 nop
4413 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4414 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4415 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4416
4417 [0-9a-f]+ <.*>:
4418 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*>
4419 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4420 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4421 [ 0-9a-f]+: 4049 fffe bgez t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4422 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4423 [ 0-9a-f]+: 0c00 nop
4424 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4425 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4426 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4427
4428 [0-9a-f]+ <.*>:
4429 [ 0-9a-f]+: 40c9 fffe bgtz t1,[0-9a-f]+ <.*>
4430 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4431 [ 0-9a-f]+: 0c00 nop
4432 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4433 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4434 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4435
4436 [0-9a-f]+ <.*>:
4437 [ 0-9a-f]+: 9029 0002 slti at,t1,2
4438 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4439 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4440 [ 0-9a-f]+: 0c00 nop
4441 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4442 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4443 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4444
4445 [0-9a-f]+ <.*>:
4446 [ 0-9a-f]+: b409 fffe bnez t1,[0-9a-f]+ <.*>
4447 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4448 [ 0-9a-f]+: 0c00 nop
4449 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4450 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4451 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4452
4453 [0-9a-f]+ <.*>:
4454 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*>
4455 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4456 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4457 [ 0-9a-f]+: 012a 0b90 sltu at,t2,t1
4458 [ 0-9a-f]+: b401 fffe bnez at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4459 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4460 [ 0-9a-f]+: 0c00 nop
4461 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4462 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4463 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4464
4465 [0-9a-f]+ <.*>:
4466 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*>
4467 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4468 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4469 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4470 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4471 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4472 [ 0-9a-f]+: b409 fffe bnez t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4473 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4474 [ 0-9a-f]+: 0c00 nop
4475 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4476 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4477 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4478
4479 [0-9a-f]+ <.*>:
4480 [ 0-9a-f]+: b029 0002 sltiu at,t1,2
4481 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4482 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4483 [ 0-9a-f]+: 0c00 nop
4484 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4485 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4486 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4487
4488 [0-9a-f]+ <.*>:
4489 [ 0-9a-f]+: 40c9 fffe bgtz t1,[0-9a-f]+ <.*>
4490 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4491 [ 0-9a-f]+: 0c00 nop
4492 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4493 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4494 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4495
4496 [0-9a-f]+ <.*>:
4497 [ 0-9a-f]+: 4049 fffe bgez t1,[0-9a-f]+ <.*>
4498 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4499 [ 0-9a-f]+: 0c00 nop
4500 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4501 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4502 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4503
4504 [0-9a-f]+ <.*>:
4505 [ 0-9a-f]+: 408a fffe blez t2,[0-9a-f]+ <.*>
4506 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4507 [ 0-9a-f]+: 0c00 nop
4508 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4509 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4510 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4511
4512 [0-9a-f]+ <.*>:
4513 [ 0-9a-f]+: 0149 0b50 slt at,t1,t2
4514 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4515 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4516 [ 0-9a-f]+: 0c00 nop
4517 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4518 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4519 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4520
4521 [0-9a-f]+ <.*>:
4522 [ 0-9a-f]+: 4049 fffe bgez t1,[0-9a-f]+ <.*>
4523 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4524 [ 0-9a-f]+: 0c00 nop
4525 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4526 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4527 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4528
4529 [0-9a-f]+ <.*>:
4530 [ 0-9a-f]+: 40c9 fffe bgtz t1,[0-9a-f]+ <.*>
4531 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4532 [ 0-9a-f]+: 0c00 nop
4533 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4534 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4535 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4536
4537 [0-9a-f]+ <.*>:
4538 [ 0-9a-f]+: 9029 0002 slti at,t1,2
4539 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4540 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4541 [ 0-9a-f]+: 0c00 nop
4542 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4543 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4544 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4545
4546 [0-9a-f]+ <.*>:
4547 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*>
4548 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4549 [ 0-9a-f]+: 0c00 nop
4550 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4551 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4552 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4553
4554 [0-9a-f]+ <.*>:
4555 [ 0-9a-f]+: 9540 fffe beq zero,t2,[0-9a-f]+ <.*>
4556 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4557 [ 0-9a-f]+: 0c00 nop
4558 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4559 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4560 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4561
4562 [0-9a-f]+ <.*>:
4563 [ 0-9a-f]+: 0149 0b90 sltu at,t1,t2
4564 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4565 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4566 [ 0-9a-f]+: 0c00 nop
4567 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4568 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4569 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4570
4571 [0-9a-f]+ <.*>:
4572 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*>
4573 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4574 [ 0-9a-f]+: 0c00 nop
4575 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4576 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4577 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4578
4579 [0-9a-f]+ <.*>:
4580 [ 0-9a-f]+: b409 fffe bnez t1,[0-9a-f]+ <.*>
4581 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4582 [ 0-9a-f]+: 0c00 nop
4583 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4584 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4585 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4586
4587 [0-9a-f]+ <.*>:
4588 [ 0-9a-f]+: b029 0002 sltiu at,t1,2
4589 [ 0-9a-f]+: 9401 fffe beqz at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4590 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4591 [ 0-9a-f]+: 0c00 nop
4592 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4593 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4594 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4595
4596 [0-9a-f]+ <.*>:
4597 [ 0-9a-f]+: 4049 fffe bgez t1,[0-9a-f]+ <.*>
4598 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4599 [ 0-9a-f]+: 0c00 nop
4600 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4601 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4602 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4603
4604 [0-9a-f]+ <.*>:
4605 [ 0-9a-f]+: 4049 fffe bgez t1,[0-9a-f]+ <.*>
4606 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4607 [ 0-9a-f]+: 0c00 nop
4608 [ 0-9a-f]+: 4060 fffe bal [0-9a-f]+ <.*\+0x[0-9a-f]+>
4609 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4610 [ 0-9a-f]+: 00a4 1950 addu v1,a0,a1
4611
4612 [0-9a-f]+ <.*>:
4613 [ 0-9a-f]+: 9409 fffe beqz t1,[0-9a-f]+ <.*>
4614 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4615 [ 0-9a-f]+: 0c00 nop
4616 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4617 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4618 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4619
4620 [0-9a-f]+ <.*>:
4621 [ 0-9a-f]+: 9549 fffe beq t1,t2,[0-9a-f]+ <.*>
4622 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4623 [ 0-9a-f]+: 0c00 nop
4624 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4625 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4626 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4627
4628 [0-9a-f]+ <.*>:
4629 [ 0-9a-f]+: 9409 fffe beqz t1,[0-9a-f]+ <.*>
4630 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4631 [ 0-9a-f]+: 0c00 nop
4632 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4633 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4634 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4635
4636 [0-9a-f]+ <.*>:
4637 [ 0-9a-f]+: 3020 0001 li at,1
4638 [ 0-9a-f]+: 9429 fffe beq t1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
4639 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
4640 [ 0-9a-f]+: 0c00 nop
4641 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
4642 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
4643 [ 0-9a-f]+: 05d8 addu v1,a0,a1
4644
4645 [0-9a-f]+ <.*>:
4646 [ 0-9a-f]+: 6d01 addiu v0,sp,0
4647 [ 0-9a-f]+: 6d03 addiu v0,sp,4
4648 [ 0-9a-f]+: 6d05 addiu v0,sp,8
4649 [ 0-9a-f]+: 6d07 addiu v0,sp,12
4650 [ 0-9a-f]+: 6d09 addiu v0,sp,16
4651 [ 0-9a-f]+: 6d7f addiu v0,sp,252
4652 [ 0-9a-f]+: 6dff addiu v1,sp,252
4653 [ 0-9a-f]+: 6e7f addiu a0,sp,252
4654 [ 0-9a-f]+: 6eff addiu a1,sp,252
4655 [ 0-9a-f]+: 6f7f addiu a2,sp,252
4656 [ 0-9a-f]+: 6fff addiu a3,sp,252
4657 [ 0-9a-f]+: 6c7f addiu s0,sp,252
4658 [ 0-9a-f]+: 6cff addiu s1,sp,252
4659 [ 0-9a-f]+: 6d2e addiu v0,v0,-1
4660 [ 0-9a-f]+: 6d3e addiu v0,v1,-1
4661 [ 0-9a-f]+: 6d4e addiu v0,a0,-1
4662 [ 0-9a-f]+: 6d5e addiu v0,a1,-1
4663 [ 0-9a-f]+: 6d6e addiu v0,a2,-1
4664 [ 0-9a-f]+: 6d7e addiu v0,a3,-1
4665 [ 0-9a-f]+: 6d0e addiu v0,s0,-1
4666 [ 0-9a-f]+: 6d1e addiu v0,s1,-1
4667 [ 0-9a-f]+: 6d9e addiu v1,s1,-1
4668 [ 0-9a-f]+: 6e1e addiu a0,s1,-1
4669 [ 0-9a-f]+: 6e9e addiu a1,s1,-1
4670 [ 0-9a-f]+: 6f1e addiu a2,s1,-1
4671 [ 0-9a-f]+: 6f9e addiu a3,s1,-1
4672 [ 0-9a-f]+: 6c1e addiu s0,s1,-1
4673 [ 0-9a-f]+: 6c9e addiu s1,s1,-1
4674 [ 0-9a-f]+: 6c90 addiu s1,s1,1
4675 [ 0-9a-f]+: 6c92 addiu s1,s1,4
4676 [ 0-9a-f]+: 6c94 addiu s1,s1,8
4677 [ 0-9a-f]+: 6c96 addiu s1,s1,12
4678 [ 0-9a-f]+: 6c98 addiu s1,s1,16
4679 [ 0-9a-f]+: 6c9a addiu s1,s1,20
4680 [ 0-9a-f]+: 6c9c addiu s1,s1,24
4681 [ 0-9a-f]+: 4c05 addiu sp,sp,8
4682 [ 0-9a-f]+: 4c07 addiu sp,sp,12
4683 [ 0-9a-f]+: 4dfd addiu sp,sp,1016
4684 [ 0-9a-f]+: 4dff addiu sp,sp,1020
4685 [ 0-9a-f]+: 4c01 addiu sp,sp,1024
4686 [ 0-9a-f]+: 4c03 addiu sp,sp,1028
4687 [ 0-9a-f]+: 4ffb addiu sp,sp,-12
4688 [ 0-9a-f]+: 4ff9 addiu sp,sp,-16
4689 [ 0-9a-f]+: 4e03 addiu sp,sp,-1020
4690 [ 0-9a-f]+: 4e01 addiu sp,sp,-1024
4691 [ 0-9a-f]+: 4fff addiu sp,sp,-1028
4692 [ 0-9a-f]+: 4ffd addiu sp,sp,-1032
4693 [ 0-9a-f]+: 4c00 addiu zero,zero,0
4694 [ 0-9a-f]+: 4c40 addiu v0,v0,0
4695 [ 0-9a-f]+: 4c60 addiu v1,v1,0
4696 [ 0-9a-f]+: 4fc0 addiu s8,s8,0
4697 [ 0-9a-f]+: 4fe0 addiu ra,ra,0
4698 [ 0-9a-f]+: 4fe2 addiu ra,ra,1
4699 [ 0-9a-f]+: 4fe4 addiu ra,ra,2
4700 [ 0-9a-f]+: 4fe6 addiu ra,ra,3
4701 [ 0-9a-f]+: 4fee addiu ra,ra,7
4702 [ 0-9a-f]+: 4ff4 addiu ra,ra,-6
4703 [ 0-9a-f]+: 4ff2 addiu ra,ra,-7
4704 [ 0-9a-f]+: 4ff0 addiu ra,ra,-8
4705 [ 0-9a-f]+: f860 0004 sw v1,4\(zero\)
4706 [ 0-9a-f]+: f880 0008 sw a0,8\(zero\)
4707 [ 0-9a-f]+: f860 0004 sw v1,4\(zero\)
4708 [ 0-9a-f]+: f880 0008 sw a0,8\(zero\)
4709 [ 0-9a-f]+: f860 7fff sw v1,32767\(zero\)
4710 [ 0-9a-f]+: f880 8003 sw a0,-32765\(zero\)
4711 [ 0-9a-f]+: f860 8000 sw v1,-32768\(zero\)
4712 [ 0-9a-f]+: f880 8004 sw a0,-32764\(zero\)
4713 [ 0-9a-f]+: 41a1 0001 lui at,0x1
4714 [ 0-9a-f]+: f861 ffff sw v1,-1\(at\)
4715 [ 0-9a-f]+: f881 0003 sw a0,3\(at\)
4716 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
4717 [ 0-9a-f]+: f861 0000 sw v1,0\(at\)
4718 [ 0-9a-f]+: f881 0004 sw a0,4\(at\)
4719 [ 0-9a-f]+: 41a1 0000 lui at,0x0
4720 [ 0-9a-f]+: f861 8000 sw v1,-32768\(at\)
4721 [ 0-9a-f]+: f881 8004 sw a0,-32764\(at\)
4722 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
4723 [ 0-9a-f]+: f861 0001 sw v1,1\(at\)
4724 [ 0-9a-f]+: f881 0005 sw a0,5\(at\)
4725 [ 0-9a-f]+: 41a1 0000 lui at,0x0
4726 [ 0-9a-f]+: f861 8001 sw v1,-32767\(at\)
4727 [ 0-9a-f]+: f881 8005 sw a0,-32763\(at\)
4728 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
4729 [ 0-9a-f]+: f861 0000 sw v1,0\(at\)
4730 [ 0-9a-f]+: f881 0004 sw a0,4\(at\)
4731 [ 0-9a-f]+: 41a1 0000 lui at,0x0
4732 [ 0-9a-f]+: f861 ffff sw v1,-1\(at\)
4733 [ 0-9a-f]+: f881 0003 sw a0,3\(at\)
4734 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
4735 [ 0-9a-f]+: f861 5678 sw v1,22136\(at\)
4736 [ 0-9a-f]+: f881 567c sw a0,22140\(at\)
4737 [ 0-9a-f]+: f864 0000 sw v1,0\(a0\)
4738 [ 0-9a-f]+: f884 0004 sw a0,4\(a0\)
4739 [ 0-9a-f]+: f864 0000 sw v1,0\(a0\)
4740 [ 0-9a-f]+: f884 0004 sw a0,4\(a0\)
4741 [ 0-9a-f]+: f864 7fff sw v1,32767\(a0\)
4742 [ 0-9a-f]+: f884 8003 sw a0,-32765\(a0\)
4743 [ 0-9a-f]+: f864 8000 sw v1,-32768\(a0\)
4744 [ 0-9a-f]+: f884 8004 sw a0,-32764\(a0\)
4745 [ 0-9a-f]+: 41a1 0001 lui at,0x1
4746 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4747 [ 0-9a-f]+: f861 ffff sw v1,-1\(at\)
4748 [ 0-9a-f]+: f881 0003 sw a0,3\(at\)
4749 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
4750 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4751 [ 0-9a-f]+: f861 0000 sw v1,0\(at\)
4752 [ 0-9a-f]+: f881 0004 sw a0,4\(at\)
4753 [ 0-9a-f]+: 41a1 0000 lui at,0x0
4754 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4755 [ 0-9a-f]+: f861 8000 sw v1,-32768\(at\)
4756 [ 0-9a-f]+: f881 8004 sw a0,-32764\(at\)
4757 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
4758 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4759 [ 0-9a-f]+: f861 0001 sw v1,1\(at\)
4760 [ 0-9a-f]+: f881 0005 sw a0,5\(at\)
4761 [ 0-9a-f]+: 41a1 0000 lui at,0x0
4762 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4763 [ 0-9a-f]+: f861 8001 sw v1,-32767\(at\)
4764 [ 0-9a-f]+: f881 8005 sw a0,-32763\(at\)
4765 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
4766 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4767 [ 0-9a-f]+: f861 0000 sw v1,0\(at\)
4768 [ 0-9a-f]+: f881 0004 sw a0,4\(at\)
4769 [ 0-9a-f]+: 41a1 0000 lui at,0x0
4770 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4771 [ 0-9a-f]+: f861 ffff sw v1,-1\(at\)
4772 [ 0-9a-f]+: f881 0003 sw a0,3\(at\)
4773 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
4774 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4775 [ 0-9a-f]+: f861 5678 sw v1,22136\(at\)
4776 [ 0-9a-f]+: f881 567c sw a0,22140\(at\)
4777 [ 0-9a-f]+: fc60 0004 lw v1,4\(zero\)
4778 [ 0-9a-f]+: fc80 0008 lw a0,8\(zero\)
4779 [ 0-9a-f]+: fc60 0004 lw v1,4\(zero\)
4780 [ 0-9a-f]+: fc80 0008 lw a0,8\(zero\)
4781 [ 0-9a-f]+: fc60 7fff lw v1,32767\(zero\)
4782 [ 0-9a-f]+: fc80 8003 lw a0,-32765\(zero\)
4783 [ 0-9a-f]+: fc60 8000 lw v1,-32768\(zero\)
4784 [ 0-9a-f]+: fc80 8004 lw a0,-32764\(zero\)
4785 [ 0-9a-f]+: 41a1 0001 lui at,0x1
4786 [ 0-9a-f]+: fc61 ffff lw v1,-1\(at\)
4787 [ 0-9a-f]+: fc81 0003 lw a0,3\(at\)
4788 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
4789 [ 0-9a-f]+: fc61 0000 lw v1,0\(at\)
4790 [ 0-9a-f]+: fc81 0004 lw a0,4\(at\)
4791 [ 0-9a-f]+: 41a1 0000 lui at,0x0
4792 [ 0-9a-f]+: fc61 8000 lw v1,-32768\(at\)
4793 [ 0-9a-f]+: fc81 8004 lw a0,-32764\(at\)
4794 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
4795 [ 0-9a-f]+: fc61 0001 lw v1,1\(at\)
4796 [ 0-9a-f]+: fc81 0005 lw a0,5\(at\)
4797 [ 0-9a-f]+: 41a1 0000 lui at,0x0
4798 [ 0-9a-f]+: fc61 8001 lw v1,-32767\(at\)
4799 [ 0-9a-f]+: fc81 8005 lw a0,-32763\(at\)
4800 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
4801 [ 0-9a-f]+: fc61 0000 lw v1,0\(at\)
4802 [ 0-9a-f]+: fc81 0004 lw a0,4\(at\)
4803 [ 0-9a-f]+: 41a1 0000 lui at,0x0
4804 [ 0-9a-f]+: fc61 ffff lw v1,-1\(at\)
4805 [ 0-9a-f]+: fc81 0003 lw a0,3\(at\)
4806 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
4807 [ 0-9a-f]+: fc61 5678 lw v1,22136\(at\)
4808 [ 0-9a-f]+: fc81 567c lw a0,22140\(at\)
4809 [ 0-9a-f]+: fc64 0000 lw v1,0\(a0\)
4810 [ 0-9a-f]+: fc84 0004 lw a0,4\(a0\)
4811 [ 0-9a-f]+: fc64 0000 lw v1,0\(a0\)
4812 [ 0-9a-f]+: fc84 0004 lw a0,4\(a0\)
4813 [ 0-9a-f]+: fc64 7fff lw v1,32767\(a0\)
4814 [ 0-9a-f]+: fc84 8003 lw a0,-32765\(a0\)
4815 [ 0-9a-f]+: fc64 8000 lw v1,-32768\(a0\)
4816 [ 0-9a-f]+: fc84 8004 lw a0,-32764\(a0\)
4817 [ 0-9a-f]+: 41a1 0001 lui at,0x1
4818 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4819 [ 0-9a-f]+: fc61 ffff lw v1,-1\(at\)
4820 [ 0-9a-f]+: fc81 0003 lw a0,3\(at\)
4821 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
4822 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4823 [ 0-9a-f]+: fc61 0000 lw v1,0\(at\)
4824 [ 0-9a-f]+: fc81 0004 lw a0,4\(at\)
4825 [ 0-9a-f]+: 41a1 0000 lui at,0x0
4826 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4827 [ 0-9a-f]+: fc61 8000 lw v1,-32768\(at\)
4828 [ 0-9a-f]+: fc81 8004 lw a0,-32764\(at\)
4829 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
4830 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4831 [ 0-9a-f]+: fc61 0001 lw v1,1\(at\)
4832 [ 0-9a-f]+: fc81 0005 lw a0,5\(at\)
4833 [ 0-9a-f]+: 41a1 0000 lui at,0x0
4834 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4835 [ 0-9a-f]+: fc61 8001 lw v1,-32767\(at\)
4836 [ 0-9a-f]+: fc81 8005 lw a0,-32763\(at\)
4837 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
4838 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4839 [ 0-9a-f]+: fc61 0000 lw v1,0\(at\)
4840 [ 0-9a-f]+: fc81 0004 lw a0,4\(at\)
4841 [ 0-9a-f]+: 41a1 0000 lui at,0x0
4842 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4843 [ 0-9a-f]+: fc61 ffff lw v1,-1\(at\)
4844 [ 0-9a-f]+: fc81 0003 lw a0,3\(at\)
4845 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
4846 [ 0-9a-f]+: 0024 0950 addu at,a0,at
4847 [ 0-9a-f]+: fc61 5678 lw v1,22136\(at\)
4848 [ 0-9a-f]+: fc81 567c lw a0,22140\(at\)
4849 [ 0-9a-f]+: 4700 jraddiusp 0
4850 [ 0-9a-f]+: 4701 jraddiusp 4
4851 [ 0-9a-f]+: 4702 jraddiusp 8
4852 [ 0-9a-f]+: 4703 jraddiusp 12
4853 [ 0-9a-f]+: 4704 jraddiusp 16
4854 [ 0-9a-f]+: 4705 jraddiusp 20
4855 [ 0-9a-f]+: 4706 jraddiusp 24
4856 [ 0-9a-f]+: 4707 jraddiusp 28
4857 [ 0-9a-f]+: 4708 jraddiusp 32
4858 [ 0-9a-f]+: 4709 jraddiusp 36
4859 [ 0-9a-f]+: 470a jraddiusp 40
4860 [ 0-9a-f]+: 471e jraddiusp 120
4861 [ 0-9a-f]+: 471f jraddiusp 124
4862 [ 0-9a-f]+: 2060 2000 ldc2 \$3,0\(zero\)
4863 [ 0-9a-f]+: 2060 2000 ldc2 \$3,0\(zero\)
4864 [ 0-9a-f]+: 2060 2004 ldc2 \$3,4\(zero\)
4865 [ 0-9a-f]+: 2060 2004 ldc2 \$3,4\(zero\)
4866 [ 0-9a-f]+: 2064 2000 ldc2 \$3,0\(a0\)
4867 [ 0-9a-f]+: 2064 2000 ldc2 \$3,0\(a0\)
4868 [ 0-9a-f]+: 5020 8000 li at,0x8000
4869 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4870 [ 0-9a-f]+: 2061 2fff ldc2 \$3,-1\(at\)
4871 [ 0-9a-f]+: 3020 8000 li at,-32768
4872 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4873 [ 0-9a-f]+: 2061 2000 ldc2 \$3,0\(at\)
4874 [ 0-9a-f]+: 41a1 0001 lui at,0x1
4875 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4876 [ 0-9a-f]+: 2061 2fff ldc2 \$3,-1\(at\)
4877 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
4878 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4879 [ 0-9a-f]+: 2061 2000 ldc2 \$3,0\(at\)
4880 [ 0-9a-f]+: 3020 8000 li at,-32768
4881 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4882 [ 0-9a-f]+: 2061 2000 ldc2 \$3,0\(at\)
4883 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
4884 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4885 [ 0-9a-f]+: 2061 2001 ldc2 \$3,1\(at\)
4886 [ 0-9a-f]+: 3020 8000 li at,-32768
4887 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4888 [ 0-9a-f]+: 2061 2001 ldc2 \$3,1\(at\)
4889 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
4890 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4891 [ 0-9a-f]+: 2061 2000 ldc2 \$3,0\(at\)
4892 [ 0-9a-f]+: 2064 2fff ldc2 \$3,-1\(a0\)
4893 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
4894 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
4895 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4896 [ 0-9a-f]+: 2061 2678 ldc2 \$3,1656\(at\)
4897 [ 0-9a-f]+: 2060 0000 lwc2 \$3,0\(zero\)
4898 [ 0-9a-f]+: 2060 0000 lwc2 \$3,0\(zero\)
4899 [ 0-9a-f]+: 2060 0004 lwc2 \$3,4\(zero\)
4900 [ 0-9a-f]+: 2060 0004 lwc2 \$3,4\(zero\)
4901 [ 0-9a-f]+: 2064 0000 lwc2 \$3,0\(a0\)
4902 [ 0-9a-f]+: 2064 0000 lwc2 \$3,0\(a0\)
4903 [ 0-9a-f]+: 5020 8000 li at,0x8000
4904 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4905 [ 0-9a-f]+: 2061 0fff lwc2 \$3,-1\(at\)
4906 [ 0-9a-f]+: 3020 8000 li at,-32768
4907 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4908 [ 0-9a-f]+: 2061 0000 lwc2 \$3,0\(at\)
4909 [ 0-9a-f]+: 41a1 0001 lui at,0x1
4910 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4911 [ 0-9a-f]+: 2061 0fff lwc2 \$3,-1\(at\)
4912 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
4913 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4914 [ 0-9a-f]+: 2061 0000 lwc2 \$3,0\(at\)
4915 [ 0-9a-f]+: 3020 8000 li at,-32768
4916 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4917 [ 0-9a-f]+: 2061 0000 lwc2 \$3,0\(at\)
4918 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
4919 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4920 [ 0-9a-f]+: 2061 0001 lwc2 \$3,1\(at\)
4921 [ 0-9a-f]+: 3020 8000 li at,-32768
4922 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4923 [ 0-9a-f]+: 2061 0001 lwc2 \$3,1\(at\)
4924 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
4925 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4926 [ 0-9a-f]+: 2061 0000 lwc2 \$3,0\(at\)
4927 [ 0-9a-f]+: 2064 0fff lwc2 \$3,-1\(a0\)
4928 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
4929 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
4930 [ 0-9a-f]+: 0081 0950 addu at,at,a0
4931 [ 0-9a-f]+: 2061 0678 lwc2 \$3,1656\(at\)
4932 [ 0-9a-f]+: 00a0 4d3c mfc2 a1,\$0
4933 [ 0-9a-f]+: 00a1 4d3c mfc2 a1,\$1
4934 [ 0-9a-f]+: 00a2 4d3c mfc2 a1,\$2
4935 [ 0-9a-f]+: 00a3 4d3c mfc2 a1,\$3
4936 [ 0-9a-f]+: 00a4 4d3c mfc2 a1,\$4
4937 [ 0-9a-f]+: 00a5 4d3c mfc2 a1,\$5
4938 [ 0-9a-f]+: 00a6 4d3c mfc2 a1,\$6
4939 [ 0-9a-f]+: 00a7 4d3c mfc2 a1,\$7
4940 [ 0-9a-f]+: 00a8 4d3c mfc2 a1,\$8
4941 [ 0-9a-f]+: 00a9 4d3c mfc2 a1,\$9
4942 [ 0-9a-f]+: 00aa 4d3c mfc2 a1,\$10
4943 [ 0-9a-f]+: 00ab 4d3c mfc2 a1,\$11
4944 [ 0-9a-f]+: 00ac 4d3c mfc2 a1,\$12
4945 [ 0-9a-f]+: 00ad 4d3c mfc2 a1,\$13
4946 [ 0-9a-f]+: 00ae 4d3c mfc2 a1,\$14
4947 [ 0-9a-f]+: 00af 4d3c mfc2 a1,\$15
4948 [ 0-9a-f]+: 00b0 4d3c mfc2 a1,\$16
4949 [ 0-9a-f]+: 00b1 4d3c mfc2 a1,\$17
4950 [ 0-9a-f]+: 00b2 4d3c mfc2 a1,\$18
4951 [ 0-9a-f]+: 00b3 4d3c mfc2 a1,\$19
4952 [ 0-9a-f]+: 00b4 4d3c mfc2 a1,\$20
4953 [ 0-9a-f]+: 00b5 4d3c mfc2 a1,\$21
4954 [ 0-9a-f]+: 00b6 4d3c mfc2 a1,\$22
4955 [ 0-9a-f]+: 00b7 4d3c mfc2 a1,\$23
4956 [ 0-9a-f]+: 00b8 4d3c mfc2 a1,\$24
4957 [ 0-9a-f]+: 00b9 4d3c mfc2 a1,\$25
4958 [ 0-9a-f]+: 00ba 4d3c mfc2 a1,\$26
4959 [ 0-9a-f]+: 00bb 4d3c mfc2 a1,\$27
4960 [ 0-9a-f]+: 00bc 4d3c mfc2 a1,\$28
4961 [ 0-9a-f]+: 00bd 4d3c mfc2 a1,\$29
4962 [ 0-9a-f]+: 00be 4d3c mfc2 a1,\$30
4963 [ 0-9a-f]+: 00bf 4d3c mfc2 a1,\$31
4964 [ 0-9a-f]+: 00a0 8d3c mfhc2 a1,\$0
4965 [ 0-9a-f]+: 00a1 8d3c mfhc2 a1,\$1
4966 [ 0-9a-f]+: 00a2 8d3c mfhc2 a1,\$2
4967 [ 0-9a-f]+: 00a3 8d3c mfhc2 a1,\$3
4968 [ 0-9a-f]+: 00a4 8d3c mfhc2 a1,\$4
4969 [ 0-9a-f]+: 00a5 8d3c mfhc2 a1,\$5
4970 [ 0-9a-f]+: 00a6 8d3c mfhc2 a1,\$6
4971 [ 0-9a-f]+: 00a7 8d3c mfhc2 a1,\$7
4972 [ 0-9a-f]+: 00a8 8d3c mfhc2 a1,\$8
4973 [ 0-9a-f]+: 00a9 8d3c mfhc2 a1,\$9
4974 [ 0-9a-f]+: 00aa 8d3c mfhc2 a1,\$10
4975 [ 0-9a-f]+: 00ab 8d3c mfhc2 a1,\$11
4976 [ 0-9a-f]+: 00ac 8d3c mfhc2 a1,\$12
4977 [ 0-9a-f]+: 00ad 8d3c mfhc2 a1,\$13
4978 [ 0-9a-f]+: 00ae 8d3c mfhc2 a1,\$14
4979 [ 0-9a-f]+: 00af 8d3c mfhc2 a1,\$15
4980 [ 0-9a-f]+: 00b0 8d3c mfhc2 a1,\$16
4981 [ 0-9a-f]+: 00b1 8d3c mfhc2 a1,\$17
4982 [ 0-9a-f]+: 00b2 8d3c mfhc2 a1,\$18
4983 [ 0-9a-f]+: 00b3 8d3c mfhc2 a1,\$19
4984 [ 0-9a-f]+: 00b4 8d3c mfhc2 a1,\$20
4985 [ 0-9a-f]+: 00b5 8d3c mfhc2 a1,\$21
4986 [ 0-9a-f]+: 00b6 8d3c mfhc2 a1,\$22
4987 [ 0-9a-f]+: 00b7 8d3c mfhc2 a1,\$23
4988 [ 0-9a-f]+: 00b8 8d3c mfhc2 a1,\$24
4989 [ 0-9a-f]+: 00b9 8d3c mfhc2 a1,\$25
4990 [ 0-9a-f]+: 00ba 8d3c mfhc2 a1,\$26
4991 [ 0-9a-f]+: 00bb 8d3c mfhc2 a1,\$27
4992 [ 0-9a-f]+: 00bc 8d3c mfhc2 a1,\$28
4993 [ 0-9a-f]+: 00bd 8d3c mfhc2 a1,\$29
4994 [ 0-9a-f]+: 00be 8d3c mfhc2 a1,\$30
4995 [ 0-9a-f]+: 00bf 8d3c mfhc2 a1,\$31
4996 [ 0-9a-f]+: 00a0 5d3c mtc2 a1,\$0
4997 [ 0-9a-f]+: 00a1 5d3c mtc2 a1,\$1
4998 [ 0-9a-f]+: 00a2 5d3c mtc2 a1,\$2
4999 [ 0-9a-f]+: 00a3 5d3c mtc2 a1,\$3
5000 [ 0-9a-f]+: 00a4 5d3c mtc2 a1,\$4
5001 [ 0-9a-f]+: 00a5 5d3c mtc2 a1,\$5
5002 [ 0-9a-f]+: 00a6 5d3c mtc2 a1,\$6
5003 [ 0-9a-f]+: 00a7 5d3c mtc2 a1,\$7
5004 [ 0-9a-f]+: 00a8 5d3c mtc2 a1,\$8
5005 [ 0-9a-f]+: 00a9 5d3c mtc2 a1,\$9
5006 [ 0-9a-f]+: 00aa 5d3c mtc2 a1,\$10
5007 [ 0-9a-f]+: 00ab 5d3c mtc2 a1,\$11
5008 [ 0-9a-f]+: 00ac 5d3c mtc2 a1,\$12
5009 [ 0-9a-f]+: 00ad 5d3c mtc2 a1,\$13
5010 [ 0-9a-f]+: 00ae 5d3c mtc2 a1,\$14
5011 [ 0-9a-f]+: 00af 5d3c mtc2 a1,\$15
5012 [ 0-9a-f]+: 00b0 5d3c mtc2 a1,\$16
5013 [ 0-9a-f]+: 00b1 5d3c mtc2 a1,\$17
5014 [ 0-9a-f]+: 00b2 5d3c mtc2 a1,\$18
5015 [ 0-9a-f]+: 00b3 5d3c mtc2 a1,\$19
5016 [ 0-9a-f]+: 00b4 5d3c mtc2 a1,\$20
5017 [ 0-9a-f]+: 00b5 5d3c mtc2 a1,\$21
5018 [ 0-9a-f]+: 00b6 5d3c mtc2 a1,\$22
5019 [ 0-9a-f]+: 00b7 5d3c mtc2 a1,\$23
5020 [ 0-9a-f]+: 00b8 5d3c mtc2 a1,\$24
5021 [ 0-9a-f]+: 00b9 5d3c mtc2 a1,\$25
5022 [ 0-9a-f]+: 00ba 5d3c mtc2 a1,\$26
5023 [ 0-9a-f]+: 00bb 5d3c mtc2 a1,\$27
5024 [ 0-9a-f]+: 00bc 5d3c mtc2 a1,\$28
5025 [ 0-9a-f]+: 00bd 5d3c mtc2 a1,\$29
5026 [ 0-9a-f]+: 00be 5d3c mtc2 a1,\$30
5027 [ 0-9a-f]+: 00bf 5d3c mtc2 a1,\$31
5028 [ 0-9a-f]+: 00a0 9d3c mthc2 a1,\$0
5029 [ 0-9a-f]+: 00a1 9d3c mthc2 a1,\$1
5030 [ 0-9a-f]+: 00a2 9d3c mthc2 a1,\$2
5031 [ 0-9a-f]+: 00a3 9d3c mthc2 a1,\$3
5032 [ 0-9a-f]+: 00a4 9d3c mthc2 a1,\$4
5033 [ 0-9a-f]+: 00a5 9d3c mthc2 a1,\$5
5034 [ 0-9a-f]+: 00a6 9d3c mthc2 a1,\$6
5035 [ 0-9a-f]+: 00a7 9d3c mthc2 a1,\$7
5036 [ 0-9a-f]+: 00a8 9d3c mthc2 a1,\$8
5037 [ 0-9a-f]+: 00a9 9d3c mthc2 a1,\$9
5038 [ 0-9a-f]+: 00aa 9d3c mthc2 a1,\$10
5039 [ 0-9a-f]+: 00ab 9d3c mthc2 a1,\$11
5040 [ 0-9a-f]+: 00ac 9d3c mthc2 a1,\$12
5041 [ 0-9a-f]+: 00ad 9d3c mthc2 a1,\$13
5042 [ 0-9a-f]+: 00ae 9d3c mthc2 a1,\$14
5043 [ 0-9a-f]+: 00af 9d3c mthc2 a1,\$15
5044 [ 0-9a-f]+: 00b0 9d3c mthc2 a1,\$16
5045 [ 0-9a-f]+: 00b1 9d3c mthc2 a1,\$17
5046 [ 0-9a-f]+: 00b2 9d3c mthc2 a1,\$18
5047 [ 0-9a-f]+: 00b3 9d3c mthc2 a1,\$19
5048 [ 0-9a-f]+: 00b4 9d3c mthc2 a1,\$20
5049 [ 0-9a-f]+: 00b5 9d3c mthc2 a1,\$21
5050 [ 0-9a-f]+: 00b6 9d3c mthc2 a1,\$22
5051 [ 0-9a-f]+: 00b7 9d3c mthc2 a1,\$23
5052 [ 0-9a-f]+: 00b8 9d3c mthc2 a1,\$24
5053 [ 0-9a-f]+: 00b9 9d3c mthc2 a1,\$25
5054 [ 0-9a-f]+: 00ba 9d3c mthc2 a1,\$26
5055 [ 0-9a-f]+: 00bb 9d3c mthc2 a1,\$27
5056 [ 0-9a-f]+: 00bc 9d3c mthc2 a1,\$28
5057 [ 0-9a-f]+: 00bd 9d3c mthc2 a1,\$29
5058 [ 0-9a-f]+: 00be 9d3c mthc2 a1,\$30
5059 [ 0-9a-f]+: 00bf 9d3c mthc2 a1,\$31
5060 [ 0-9a-f]+: 2060 a000 sdc2 \$3,0\(zero\)
5061 [ 0-9a-f]+: 2060 a000 sdc2 \$3,0\(zero\)
5062 [ 0-9a-f]+: 2060 a004 sdc2 \$3,4\(zero\)
5063 [ 0-9a-f]+: 2060 a004 sdc2 \$3,4\(zero\)
5064 [ 0-9a-f]+: 2064 a000 sdc2 \$3,0\(a0\)
5065 [ 0-9a-f]+: 2064 a000 sdc2 \$3,0\(a0\)
5066 [ 0-9a-f]+: 5020 8000 li at,0x8000
5067 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5068 [ 0-9a-f]+: 2061 afff sdc2 \$3,-1\(at\)
5069 [ 0-9a-f]+: 3020 8000 li at,-32768
5070 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5071 [ 0-9a-f]+: 2061 a000 sdc2 \$3,0\(at\)
5072 [ 0-9a-f]+: 41a1 0001 lui at,0x1
5073 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5074 [ 0-9a-f]+: 2061 afff sdc2 \$3,-1\(at\)
5075 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
5076 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5077 [ 0-9a-f]+: 2061 a000 sdc2 \$3,0\(at\)
5078 [ 0-9a-f]+: 3020 8000 li at,-32768
5079 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5080 [ 0-9a-f]+: 2061 a000 sdc2 \$3,0\(at\)
5081 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
5082 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5083 [ 0-9a-f]+: 2061 a001 sdc2 \$3,1\(at\)
5084 [ 0-9a-f]+: 3020 8000 li at,-32768
5085 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5086 [ 0-9a-f]+: 2061 a001 sdc2 \$3,1\(at\)
5087 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
5088 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5089 [ 0-9a-f]+: 2061 a000 sdc2 \$3,0\(at\)
5090 [ 0-9a-f]+: 2064 afff sdc2 \$3,-1\(a0\)
5091 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
5092 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
5093 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5094 [ 0-9a-f]+: 2061 a678 sdc2 \$3,1656\(at\)
5095 [ 0-9a-f]+: 2060 8000 swc2 \$3,0\(zero\)
5096 [ 0-9a-f]+: 2060 8000 swc2 \$3,0\(zero\)
5097 [ 0-9a-f]+: 2060 8004 swc2 \$3,4\(zero\)
5098 [ 0-9a-f]+: 2060 8004 swc2 \$3,4\(zero\)
5099 [ 0-9a-f]+: 2064 8000 swc2 \$3,0\(a0\)
5100 [ 0-9a-f]+: 2064 8000 swc2 \$3,0\(a0\)
5101 [ 0-9a-f]+: 5020 8000 li at,0x8000
5102 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5103 [ 0-9a-f]+: 2061 8fff swc2 \$3,-1\(at\)
5104 [ 0-9a-f]+: 3020 8000 li at,-32768
5105 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5106 [ 0-9a-f]+: 2061 8000 swc2 \$3,0\(at\)
5107 [ 0-9a-f]+: 41a1 0001 lui at,0x1
5108 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5109 [ 0-9a-f]+: 2061 8fff swc2 \$3,-1\(at\)
5110 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
5111 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5112 [ 0-9a-f]+: 2061 8000 swc2 \$3,0\(at\)
5113 [ 0-9a-f]+: 3020 8000 li at,-32768
5114 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5115 [ 0-9a-f]+: 2061 8000 swc2 \$3,0\(at\)
5116 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
5117 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5118 [ 0-9a-f]+: 2061 8001 swc2 \$3,1\(at\)
5119 [ 0-9a-f]+: 3020 8000 li at,-32768
5120 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5121 [ 0-9a-f]+: 2061 8001 swc2 \$3,1\(at\)
5122 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
5123 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5124 [ 0-9a-f]+: 2061 8000 swc2 \$3,0\(at\)
5125 [ 0-9a-f]+: 2064 8fff swc2 \$3,-1\(a0\)
5126 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
5127 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
5128 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5129 [ 0-9a-f]+: 2061 8678 swc2 \$3,1656\(at\)
5130 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5131 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5132 [ 0-9a-f]+: 2001 6000 cache 0x0,0\(at\)
5133 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5134 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5135 [ 0-9a-f]+: 2041 1000 lwp v0,0\(at\)
5136 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5137 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5138 [ 0-9a-f]+: 2041 9000 swp v0,0\(at\)
5139 [ 0-9a-f]+: 3043 0000 addiu v0,v1,0
5140 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5141 [ 0-9a-f]+: 6042 3000 ll v0,0\(v0\)
5142 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5143 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5144 [ 0-9a-f]+: 6041 b000 sc v0,0\(at\)
5145 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5146 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5147 [ 0-9a-f]+: 6041 0000 lwl v0,0\(at\)
5148 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5149 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5150 [ 0-9a-f]+: 6041 1000 lwr v0,0\(at\)
5151 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5152 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5153 [ 0-9a-f]+: 6041 8000 swl v0,0\(at\)
5154 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5155 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5156 [ 0-9a-f]+: 6041 9000 swr v0,0\(at\)
5157 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5158 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5159 [ 0-9a-f]+: 2021 5000 lwm s0,0\(at\)
5160 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5161 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5162 [ 0-9a-f]+: 2021 d000 swm s0,0\(at\)
5163 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5164 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5165 [ 0-9a-f]+: 2201 0000 lwc2 \$16,0\(at\)
5166 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5167 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5168 [ 0-9a-f]+: 2201 8000 swc2 \$16,0\(at\)
5169 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5170 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5171 [ 0-9a-f]+: 6041 0000 lwl v0,0\(at\)
5172 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5173 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5174 [ 0-9a-f]+: 6041 1000 lwr v0,0\(at\)
5175 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5176 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5177 [ 0-9a-f]+: 6041 8000 swl v0,0\(at\)
5178 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
5179 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
5180 [ 0-9a-f]+: 6041 9000 swr v0,0\(at\)
5181 [ 0-9a-f]+: 03ff db7c sdbbp 0x3ff
5182 [ 0-9a-f]+: 03ff 937c wait 0x3ff
5183 [ 0-9a-f]+: 03ff 8b7c syscall 0x3ff
5184 [ 0-9a-f]+: 03ff fffa cop2 0x7fffff
5185
5186 [0-9a-f]+ <fp_test>:
5187 [ 0-9a-f]+: 5400 01a0 prefx 0x0,zero\(zero\)
5188 [ 0-9a-f]+: 5402 01a0 prefx 0x0,zero\(v0\)
5189 [ 0-9a-f]+: 541f 01a0 prefx 0x0,zero\(ra\)
5190 [ 0-9a-f]+: 545f 01a0 prefx 0x0,v0\(ra\)
5191 [ 0-9a-f]+: 57ff 01a0 prefx 0x0,ra\(ra\)
5192 [ 0-9a-f]+: 57ff 09a0 prefx 0x1,ra\(ra\)
5193 [ 0-9a-f]+: 57ff 11a0 prefx 0x2,ra\(ra\)
5194 [ 0-9a-f]+: 57ff f9a0 prefx 0x1f,ra\(ra\)
5195 [ 0-9a-f]+: 5401 037b abs\.s \$f0,\$f1
5196 [ 0-9a-f]+: 57df 037b abs\.s \$f30,\$f31
5197 [ 0-9a-f]+: 5442 037b abs\.s \$f2,\$f2
5198 [ 0-9a-f]+: 5442 037b abs\.s \$f2,\$f2
5199 [ 0-9a-f]+: 5401 237b abs\.d \$f0,\$f1
5200 [ 0-9a-f]+: 57df 237b abs\.d \$f30,\$f31
5201 [ 0-9a-f]+: 5442 237b abs\.d \$f2,\$f2
5202 [ 0-9a-f]+: 5442 237b abs\.d \$f2,\$f2
5203 [ 0-9a-f]+: 5401 437b abs\.ps \$f0,\$f1
5204 [ 0-9a-f]+: 57df 437b abs\.ps \$f30,\$f31
5205 [ 0-9a-f]+: 5442 437b abs\.ps \$f2,\$f2
5206 [ 0-9a-f]+: 5442 437b abs\.ps \$f2,\$f2
5207 [ 0-9a-f]+: 5441 0030 add\.s \$f0,\$f1,\$f2
5208 [ 0-9a-f]+: 57fe e830 add\.s \$f29,\$f30,\$f31
5209 [ 0-9a-f]+: 57dd e830 add\.s \$f29,\$f29,\$f30
5210 [ 0-9a-f]+: 57dd e830 add\.s \$f29,\$f29,\$f30
5211 [ 0-9a-f]+: 5441 0130 add\.d \$f0,\$f1,\$f2
5212 [ 0-9a-f]+: 57fe e930 add\.d \$f29,\$f30,\$f31
5213 [ 0-9a-f]+: 57dd e930 add\.d \$f29,\$f29,\$f30
5214 [ 0-9a-f]+: 57dd e930 add\.d \$f29,\$f29,\$f30
5215 [ 0-9a-f]+: 5441 0230 add\.ps \$f0,\$f1,\$f2
5216 [ 0-9a-f]+: 57fe ea30 add\.ps \$f29,\$f30,\$f31
5217 [ 0-9a-f]+: 57dd ea30 add\.ps \$f29,\$f29,\$f30
5218 [ 0-9a-f]+: 57dd ea30 add\.ps \$f29,\$f29,\$f30
5219 [ 0-9a-f]+: 5441 0019 alnv\.ps \$f0,\$f1,\$f2,zero
5220 [ 0-9a-f]+: 5441 0099 alnv\.ps \$f0,\$f1,\$f2,v0
5221 [ 0-9a-f]+: 5441 07d9 alnv\.ps \$f0,\$f1,\$f2,ra
5222 [ 0-9a-f]+: 57fe efd9 alnv\.ps \$f29,\$f30,\$f31,ra
5223 [ 0-9a-f]+: 57fd efd9 alnv\.ps \$f29,\$f29,\$f31,ra
5224 [ 0-9a-f]+: 4380 fffe bc1f [0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5225 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5226 [ 0-9a-f]+: 0c00 nop
5227 [ 0-9a-f]+: 4380 fffe bc1f [0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5228 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5229 [ 0-9a-f]+: 0c00 nop
5230 [ 0-9a-f]+: 4384 fffe bc1f \$fcc1,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5231 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5232 [ 0-9a-f]+: 0c00 nop
5233 [ 0-9a-f]+: 4388 fffe bc1f \$fcc2,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5234 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5235 [ 0-9a-f]+: 0c00 nop
5236 [ 0-9a-f]+: 438c fffe bc1f \$fcc3,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5237 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5238 [ 0-9a-f]+: 0c00 nop
5239 [ 0-9a-f]+: 4390 fffe bc1f \$fcc4,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5240 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5241 [ 0-9a-f]+: 0c00 nop
5242 [ 0-9a-f]+: 4394 fffe bc1f \$fcc5,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5243 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5244 [ 0-9a-f]+: 0c00 nop
5245 [ 0-9a-f]+: 4398 fffe bc1f \$fcc6,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5246 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5247 [ 0-9a-f]+: 0c00 nop
5248 [ 0-9a-f]+: 439c fffe bc1f \$fcc7,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5249 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5250 [ 0-9a-f]+: 0c00 nop
5251 [ 0-9a-f]+: 43a0 fffe bc1t [0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5252 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5253 [ 0-9a-f]+: 0c00 nop
5254 [ 0-9a-f]+: 43a0 fffe bc1t [0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5255 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5256 [ 0-9a-f]+: 0c00 nop
5257 [ 0-9a-f]+: 43a4 fffe bc1t \$fcc1,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5258 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5259 [ 0-9a-f]+: 0c00 nop
5260 [ 0-9a-f]+: 43a8 fffe bc1t \$fcc2,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5261 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5262 [ 0-9a-f]+: 0c00 nop
5263 [ 0-9a-f]+: 43ac fffe bc1t \$fcc3,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5264 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5265 [ 0-9a-f]+: 0c00 nop
5266 [ 0-9a-f]+: 43b0 fffe bc1t \$fcc4,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5267 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5268 [ 0-9a-f]+: 0c00 nop
5269 [ 0-9a-f]+: 43b4 fffe bc1t \$fcc5,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5270 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5271 [ 0-9a-f]+: 0c00 nop
5272 [ 0-9a-f]+: 43b8 fffe bc1t \$fcc6,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5273 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5274 [ 0-9a-f]+: 0c00 nop
5275 [ 0-9a-f]+: 43bc fffe bc1t \$fcc7,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
5276 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 fp_test
5277 [ 0-9a-f]+: 0c00 nop
5278 [ 0-9a-f]+: 5420 043c c\.f\.d \$f0,\$f1
5279 [ 0-9a-f]+: 57fe 043c c\.f\.d \$f30,\$f31
5280 [ 0-9a-f]+: 57fe 043c c\.f\.d \$f30,\$f31
5281 [ 0-9a-f]+: 57fe 243c c\.f\.d \$fcc1,\$f30,\$f31
5282 [ 0-9a-f]+: 57fe e43c c\.f\.d \$fcc7,\$f30,\$f31
5283 [ 0-9a-f]+: 5420 003c c\.f\.s \$f0,\$f1
5284 [ 0-9a-f]+: 57fe 003c c\.f\.s \$f30,\$f31
5285 [ 0-9a-f]+: 57fe 003c c\.f\.s \$f30,\$f31
5286 [ 0-9a-f]+: 57fe 203c c\.f\.s \$fcc1,\$f30,\$f31
5287 [ 0-9a-f]+: 57fe e03c c\.f\.s \$fcc7,\$f30,\$f31
5288 [ 0-9a-f]+: 5420 083c c\.f\.ps \$f0,\$f1
5289 [ 0-9a-f]+: 57fe 083c c\.f\.ps \$f30,\$f31
5290 [ 0-9a-f]+: 57fe 083c c\.f\.ps \$f30,\$f31
5291 [ 0-9a-f]+: 57fe 483c c\.f\.ps \$fcc2,\$f30,\$f31
5292 [ 0-9a-f]+: 57fe c83c c\.f\.ps \$fcc6,\$f30,\$f31
5293 [ 0-9a-f]+: 5420 047c c\.un\.d \$f0,\$f1
5294 [ 0-9a-f]+: 57fe 047c c\.un\.d \$f30,\$f31
5295 [ 0-9a-f]+: 57fe 047c c\.un\.d \$f30,\$f31
5296 [ 0-9a-f]+: 57fe 247c c\.un\.d \$fcc1,\$f30,\$f31
5297 [ 0-9a-f]+: 57fe e47c c\.un\.d \$fcc7,\$f30,\$f31
5298 [ 0-9a-f]+: 5420 007c c\.un\.s \$f0,\$f1
5299 [ 0-9a-f]+: 57fe 007c c\.un\.s \$f30,\$f31
5300 [ 0-9a-f]+: 57fe 007c c\.un\.s \$f30,\$f31
5301 [ 0-9a-f]+: 57fe 207c c\.un\.s \$fcc1,\$f30,\$f31
5302 [ 0-9a-f]+: 57fe e07c c\.un\.s \$fcc7,\$f30,\$f31
5303 [ 0-9a-f]+: 5420 087c c\.un\.ps \$f0,\$f1
5304 [ 0-9a-f]+: 57fe 087c c\.un\.ps \$f30,\$f31
5305 [ 0-9a-f]+: 57fe 087c c\.un\.ps \$f30,\$f31
5306 [ 0-9a-f]+: 57fe 487c c\.un\.ps \$fcc2,\$f30,\$f31
5307 [ 0-9a-f]+: 57fe c87c c\.un\.ps \$fcc6,\$f30,\$f31
5308 [ 0-9a-f]+: 5420 04bc c\.eq\.d \$f0,\$f1
5309 [ 0-9a-f]+: 57fe 04bc c\.eq\.d \$f30,\$f31
5310 [ 0-9a-f]+: 57fe 04bc c\.eq\.d \$f30,\$f31
5311 [ 0-9a-f]+: 57fe 24bc c\.eq\.d \$fcc1,\$f30,\$f31
5312 [ 0-9a-f]+: 57fe e4bc c\.eq\.d \$fcc7,\$f30,\$f31
5313 [ 0-9a-f]+: 5420 00bc c\.eq\.s \$f0,\$f1
5314 [ 0-9a-f]+: 57fe 00bc c\.eq\.s \$f30,\$f31
5315 [ 0-9a-f]+: 57fe 00bc c\.eq\.s \$f30,\$f31
5316 [ 0-9a-f]+: 57fe 20bc c\.eq\.s \$fcc1,\$f30,\$f31
5317 [ 0-9a-f]+: 57fe e0bc c\.eq\.s \$fcc7,\$f30,\$f31
5318 [ 0-9a-f]+: 5420 08bc c\.eq\.ps \$f0,\$f1
5319 [ 0-9a-f]+: 57fe 08bc c\.eq\.ps \$f30,\$f31
5320 [ 0-9a-f]+: 57fe 08bc c\.eq\.ps \$f30,\$f31
5321 [ 0-9a-f]+: 57fe 48bc c\.eq\.ps \$fcc2,\$f30,\$f31
5322 [ 0-9a-f]+: 57fe c8bc c\.eq\.ps \$fcc6,\$f30,\$f31
5323 [ 0-9a-f]+: 5420 04fc c\.ueq\.d \$f0,\$f1
5324 [ 0-9a-f]+: 57fe 04fc c\.ueq\.d \$f30,\$f31
5325 [ 0-9a-f]+: 57fe 04fc c\.ueq\.d \$f30,\$f31
5326 [ 0-9a-f]+: 57fe 24fc c\.ueq\.d \$fcc1,\$f30,\$f31
5327 [ 0-9a-f]+: 57fe e4fc c\.ueq\.d \$fcc7,\$f30,\$f31
5328 [ 0-9a-f]+: 5420 00fc c\.ueq\.s \$f0,\$f1
5329 [ 0-9a-f]+: 57fe 00fc c\.ueq\.s \$f30,\$f31
5330 [ 0-9a-f]+: 57fe 00fc c\.ueq\.s \$f30,\$f31
5331 [ 0-9a-f]+: 57fe 20fc c\.ueq\.s \$fcc1,\$f30,\$f31
5332 [ 0-9a-f]+: 57fe e0fc c\.ueq\.s \$fcc7,\$f30,\$f31
5333 [ 0-9a-f]+: 5420 08fc c\.ueq\.ps \$f0,\$f1
5334 [ 0-9a-f]+: 57fe 08fc c\.ueq\.ps \$f30,\$f31
5335 [ 0-9a-f]+: 57fe 08fc c\.ueq\.ps \$f30,\$f31
5336 [ 0-9a-f]+: 57fe 48fc c\.ueq\.ps \$fcc2,\$f30,\$f31
5337 [ 0-9a-f]+: 57fe c8fc c\.ueq\.ps \$fcc6,\$f30,\$f31
5338 [ 0-9a-f]+: 5420 053c c\.olt\.d \$f0,\$f1
5339 [ 0-9a-f]+: 57fe 053c c\.olt\.d \$f30,\$f31
5340 [ 0-9a-f]+: 57fe 053c c\.olt\.d \$f30,\$f31
5341 [ 0-9a-f]+: 57fe 253c c\.olt\.d \$fcc1,\$f30,\$f31
5342 [ 0-9a-f]+: 57fe e53c c\.olt\.d \$fcc7,\$f30,\$f31
5343 [ 0-9a-f]+: 5420 013c c\.olt\.s \$f0,\$f1
5344 [ 0-9a-f]+: 57fe 013c c\.olt\.s \$f30,\$f31
5345 [ 0-9a-f]+: 57fe 013c c\.olt\.s \$f30,\$f31
5346 [ 0-9a-f]+: 57fe 213c c\.olt\.s \$fcc1,\$f30,\$f31
5347 [ 0-9a-f]+: 57fe e13c c\.olt\.s \$fcc7,\$f30,\$f31
5348 [ 0-9a-f]+: 5420 093c c\.olt\.ps \$f0,\$f1
5349 [ 0-9a-f]+: 57fe 093c c\.olt\.ps \$f30,\$f31
5350 [ 0-9a-f]+: 57fe 093c c\.olt\.ps \$f30,\$f31
5351 [ 0-9a-f]+: 57fe 493c c\.olt\.ps \$fcc2,\$f30,\$f31
5352 [ 0-9a-f]+: 57fe c93c c\.olt\.ps \$fcc6,\$f30,\$f31
5353 [ 0-9a-f]+: 5420 057c c\.ult\.d \$f0,\$f1
5354 [ 0-9a-f]+: 57fe 057c c\.ult\.d \$f30,\$f31
5355 [ 0-9a-f]+: 57fe 057c c\.ult\.d \$f30,\$f31
5356 [ 0-9a-f]+: 57fe 257c c\.ult\.d \$fcc1,\$f30,\$f31
5357 [ 0-9a-f]+: 57fe e57c c\.ult\.d \$fcc7,\$f30,\$f31
5358 [ 0-9a-f]+: 5420 017c c\.ult\.s \$f0,\$f1
5359 [ 0-9a-f]+: 57fe 017c c\.ult\.s \$f30,\$f31
5360 [ 0-9a-f]+: 57fe 017c c\.ult\.s \$f30,\$f31
5361 [ 0-9a-f]+: 57fe 217c c\.ult\.s \$fcc1,\$f30,\$f31
5362 [ 0-9a-f]+: 57fe e17c c\.ult\.s \$fcc7,\$f30,\$f31
5363 [ 0-9a-f]+: 5420 097c c\.ult\.ps \$f0,\$f1
5364 [ 0-9a-f]+: 57fe 097c c\.ult\.ps \$f30,\$f31
5365 [ 0-9a-f]+: 57fe 097c c\.ult\.ps \$f30,\$f31
5366 [ 0-9a-f]+: 57fe 497c c\.ult\.ps \$fcc2,\$f30,\$f31
5367 [ 0-9a-f]+: 57fe c97c c\.ult\.ps \$fcc6,\$f30,\$f31
5368 [ 0-9a-f]+: 5420 05bc c\.ole\.d \$f0,\$f1
5369 [ 0-9a-f]+: 57fe 05bc c\.ole\.d \$f30,\$f31
5370 [ 0-9a-f]+: 57fe 05bc c\.ole\.d \$f30,\$f31
5371 [ 0-9a-f]+: 57fe 25bc c\.ole\.d \$fcc1,\$f30,\$f31
5372 [ 0-9a-f]+: 57fe e5bc c\.ole\.d \$fcc7,\$f30,\$f31
5373 [ 0-9a-f]+: 5420 01bc c\.ole\.s \$f0,\$f1
5374 [ 0-9a-f]+: 57fe 01bc c\.ole\.s \$f30,\$f31
5375 [ 0-9a-f]+: 57fe 01bc c\.ole\.s \$f30,\$f31
5376 [ 0-9a-f]+: 57fe 21bc c\.ole\.s \$fcc1,\$f30,\$f31
5377 [ 0-9a-f]+: 57fe e1bc c\.ole\.s \$fcc7,\$f30,\$f31
5378 [ 0-9a-f]+: 5420 09bc c\.ole\.ps \$f0,\$f1
5379 [ 0-9a-f]+: 57fe 09bc c\.ole\.ps \$f30,\$f31
5380 [ 0-9a-f]+: 57fe 09bc c\.ole\.ps \$f30,\$f31
5381 [ 0-9a-f]+: 57fe 49bc c\.ole\.ps \$fcc2,\$f30,\$f31
5382 [ 0-9a-f]+: 57fe c9bc c\.ole\.ps \$fcc6,\$f30,\$f31
5383 [ 0-9a-f]+: 5420 05fc c\.ule\.d \$f0,\$f1
5384 [ 0-9a-f]+: 57fe 05fc c\.ule\.d \$f30,\$f31
5385 [ 0-9a-f]+: 57fe 05fc c\.ule\.d \$f30,\$f31
5386 [ 0-9a-f]+: 57fe 25fc c\.ule\.d \$fcc1,\$f30,\$f31
5387 [ 0-9a-f]+: 57fe e5fc c\.ule\.d \$fcc7,\$f30,\$f31
5388 [ 0-9a-f]+: 5420 01fc c\.ule\.s \$f0,\$f1
5389 [ 0-9a-f]+: 57fe 01fc c\.ule\.s \$f30,\$f31
5390 [ 0-9a-f]+: 57fe 01fc c\.ule\.s \$f30,\$f31
5391 [ 0-9a-f]+: 57fe 21fc c\.ule\.s \$fcc1,\$f30,\$f31
5392 [ 0-9a-f]+: 57fe e1fc c\.ule\.s \$fcc7,\$f30,\$f31
5393 [ 0-9a-f]+: 5420 09fc c\.ule\.ps \$f0,\$f1
5394 [ 0-9a-f]+: 57fe 09fc c\.ule\.ps \$f30,\$f31
5395 [ 0-9a-f]+: 57fe 09fc c\.ule\.ps \$f30,\$f31
5396 [ 0-9a-f]+: 57fe 49fc c\.ule\.ps \$fcc2,\$f30,\$f31
5397 [ 0-9a-f]+: 57fe c9fc c\.ule\.ps \$fcc6,\$f30,\$f31
5398 [ 0-9a-f]+: 5420 063c c\.sf\.d \$f0,\$f1
5399 [ 0-9a-f]+: 57fe 063c c\.sf\.d \$f30,\$f31
5400 [ 0-9a-f]+: 57fe 063c c\.sf\.d \$f30,\$f31
5401 [ 0-9a-f]+: 57fe 263c c\.sf\.d \$fcc1,\$f30,\$f31
5402 [ 0-9a-f]+: 57fe e63c c\.sf\.d \$fcc7,\$f30,\$f31
5403 [ 0-9a-f]+: 5420 023c c\.sf\.s \$f0,\$f1
5404 [ 0-9a-f]+: 57fe 023c c\.sf\.s \$f30,\$f31
5405 [ 0-9a-f]+: 57fe 023c c\.sf\.s \$f30,\$f31
5406 [ 0-9a-f]+: 57fe 223c c\.sf\.s \$fcc1,\$f30,\$f31
5407 [ 0-9a-f]+: 57fe e23c c\.sf\.s \$fcc7,\$f30,\$f31
5408 [ 0-9a-f]+: 5420 0a3c c\.sf\.ps \$f0,\$f1
5409 [ 0-9a-f]+: 57fe 0a3c c\.sf\.ps \$f30,\$f31
5410 [ 0-9a-f]+: 57fe 0a3c c\.sf\.ps \$f30,\$f31
5411 [ 0-9a-f]+: 57fe 4a3c c\.sf\.ps \$fcc2,\$f30,\$f31
5412 [ 0-9a-f]+: 57fe ca3c c\.sf\.ps \$fcc6,\$f30,\$f31
5413 [ 0-9a-f]+: 5420 067c c\.ngle\.d \$f0,\$f1
5414 [ 0-9a-f]+: 57fe 067c c\.ngle\.d \$f30,\$f31
5415 [ 0-9a-f]+: 57fe 067c c\.ngle\.d \$f30,\$f31
5416 [ 0-9a-f]+: 57fe 267c c\.ngle\.d \$fcc1,\$f30,\$f31
5417 [ 0-9a-f]+: 57fe e67c c\.ngle\.d \$fcc7,\$f30,\$f31
5418 [ 0-9a-f]+: 5420 027c c\.ngle\.s \$f0,\$f1
5419 [ 0-9a-f]+: 57fe 027c c\.ngle\.s \$f30,\$f31
5420 [ 0-9a-f]+: 57fe 027c c\.ngle\.s \$f30,\$f31
5421 [ 0-9a-f]+: 57fe 227c c\.ngle\.s \$fcc1,\$f30,\$f31
5422 [ 0-9a-f]+: 57fe e27c c\.ngle\.s \$fcc7,\$f30,\$f31
5423 [ 0-9a-f]+: 5420 0a7c c\.ngle\.ps \$f0,\$f1
5424 [ 0-9a-f]+: 57fe 0a7c c\.ngle\.ps \$f30,\$f31
5425 [ 0-9a-f]+: 57fe 0a7c c\.ngle\.ps \$f30,\$f31
5426 [ 0-9a-f]+: 57fe 4a7c c\.ngle\.ps \$fcc2,\$f30,\$f31
5427 [ 0-9a-f]+: 57fe ca7c c\.ngle\.ps \$fcc6,\$f30,\$f31
5428 [ 0-9a-f]+: 5420 06bc c\.seq\.d \$f0,\$f1
5429 [ 0-9a-f]+: 57fe 06bc c\.seq\.d \$f30,\$f31
5430 [ 0-9a-f]+: 57fe 06bc c\.seq\.d \$f30,\$f31
5431 [ 0-9a-f]+: 57fe 26bc c\.seq\.d \$fcc1,\$f30,\$f31
5432 [ 0-9a-f]+: 57fe e6bc c\.seq\.d \$fcc7,\$f30,\$f31
5433 [ 0-9a-f]+: 5420 02bc c\.seq\.s \$f0,\$f1
5434 [ 0-9a-f]+: 57fe 02bc c\.seq\.s \$f30,\$f31
5435 [ 0-9a-f]+: 57fe 02bc c\.seq\.s \$f30,\$f31
5436 [ 0-9a-f]+: 57fe 22bc c\.seq\.s \$fcc1,\$f30,\$f31
5437 [ 0-9a-f]+: 57fe e2bc c\.seq\.s \$fcc7,\$f30,\$f31
5438 [ 0-9a-f]+: 5420 0abc c\.seq\.ps \$f0,\$f1
5439 [ 0-9a-f]+: 57fe 0abc c\.seq\.ps \$f30,\$f31
5440 [ 0-9a-f]+: 57fe 0abc c\.seq\.ps \$f30,\$f31
5441 [ 0-9a-f]+: 57fe 4abc c\.seq\.ps \$fcc2,\$f30,\$f31
5442 [ 0-9a-f]+: 57fe cabc c\.seq\.ps \$fcc6,\$f30,\$f31
5443 [ 0-9a-f]+: 5420 06fc c\.ngl\.d \$f0,\$f1
5444 [ 0-9a-f]+: 57fe 06fc c\.ngl\.d \$f30,\$f31
5445 [ 0-9a-f]+: 57fe 06fc c\.ngl\.d \$f30,\$f31
5446 [ 0-9a-f]+: 57fe 26fc c\.ngl\.d \$fcc1,\$f30,\$f31
5447 [ 0-9a-f]+: 57fe e6fc c\.ngl\.d \$fcc7,\$f30,\$f31
5448 [ 0-9a-f]+: 5420 02fc c\.ngl\.s \$f0,\$f1
5449 [ 0-9a-f]+: 57fe 02fc c\.ngl\.s \$f30,\$f31
5450 [ 0-9a-f]+: 57fe 02fc c\.ngl\.s \$f30,\$f31
5451 [ 0-9a-f]+: 57fe 22fc c\.ngl\.s \$fcc1,\$f30,\$f31
5452 [ 0-9a-f]+: 57fe e2fc c\.ngl\.s \$fcc7,\$f30,\$f31
5453 [ 0-9a-f]+: 5420 0afc c\.ngl\.ps \$f0,\$f1
5454 [ 0-9a-f]+: 57fe 0afc c\.ngl\.ps \$f30,\$f31
5455 [ 0-9a-f]+: 57fe 0afc c\.ngl\.ps \$f30,\$f31
5456 [ 0-9a-f]+: 57fe 4afc c\.ngl\.ps \$fcc2,\$f30,\$f31
5457 [ 0-9a-f]+: 57fe cafc c\.ngl\.ps \$fcc6,\$f30,\$f31
5458 [ 0-9a-f]+: 5420 073c c\.lt\.d \$f0,\$f1
5459 [ 0-9a-f]+: 57fe 073c c\.lt\.d \$f30,\$f31
5460 [ 0-9a-f]+: 57fe 073c c\.lt\.d \$f30,\$f31
5461 [ 0-9a-f]+: 57fe 273c c\.lt\.d \$fcc1,\$f30,\$f31
5462 [ 0-9a-f]+: 57fe e73c c\.lt\.d \$fcc7,\$f30,\$f31
5463 [ 0-9a-f]+: 5420 033c c\.lt\.s \$f0,\$f1
5464 [ 0-9a-f]+: 57fe 033c c\.lt\.s \$f30,\$f31
5465 [ 0-9a-f]+: 57fe 033c c\.lt\.s \$f30,\$f31
5466 [ 0-9a-f]+: 57fe 233c c\.lt\.s \$fcc1,\$f30,\$f31
5467 [ 0-9a-f]+: 57fe e33c c\.lt\.s \$fcc7,\$f30,\$f31
5468 [ 0-9a-f]+: 5420 0b3c c\.lt\.ps \$f0,\$f1
5469 [ 0-9a-f]+: 57fe 0b3c c\.lt\.ps \$f30,\$f31
5470 [ 0-9a-f]+: 57fe 0b3c c\.lt\.ps \$f30,\$f31
5471 [ 0-9a-f]+: 57fe 4b3c c\.lt\.ps \$fcc2,\$f30,\$f31
5472 [ 0-9a-f]+: 57fe cb3c c\.lt\.ps \$fcc6,\$f30,\$f31
5473 [ 0-9a-f]+: 5420 077c c\.nge\.d \$f0,\$f1
5474 [ 0-9a-f]+: 57fe 077c c\.nge\.d \$f30,\$f31
5475 [ 0-9a-f]+: 57fe 077c c\.nge\.d \$f30,\$f31
5476 [ 0-9a-f]+: 57fe 277c c\.nge\.d \$fcc1,\$f30,\$f31
5477 [ 0-9a-f]+: 57fe e77c c\.nge\.d \$fcc7,\$f30,\$f31
5478 [ 0-9a-f]+: 5420 037c c\.nge\.s \$f0,\$f1
5479 [ 0-9a-f]+: 57fe 037c c\.nge\.s \$f30,\$f31
5480 [ 0-9a-f]+: 57fe 037c c\.nge\.s \$f30,\$f31
5481 [ 0-9a-f]+: 57fe 237c c\.nge\.s \$fcc1,\$f30,\$f31
5482 [ 0-9a-f]+: 57fe e37c c\.nge\.s \$fcc7,\$f30,\$f31
5483 [ 0-9a-f]+: 5420 0b7c c\.nge\.ps \$f0,\$f1
5484 [ 0-9a-f]+: 57fe 0b7c c\.nge\.ps \$f30,\$f31
5485 [ 0-9a-f]+: 57fe 0b7c c\.nge\.ps \$f30,\$f31
5486 [ 0-9a-f]+: 57fe 4b7c c\.nge\.ps \$fcc2,\$f30,\$f31
5487 [ 0-9a-f]+: 57fe cb7c c\.nge\.ps \$fcc6,\$f30,\$f31
5488 [ 0-9a-f]+: 5420 07bc c\.le\.d \$f0,\$f1
5489 [ 0-9a-f]+: 57fe 07bc c\.le\.d \$f30,\$f31
5490 [ 0-9a-f]+: 57fe 07bc c\.le\.d \$f30,\$f31
5491 [ 0-9a-f]+: 57fe 27bc c\.le\.d \$fcc1,\$f30,\$f31
5492 [ 0-9a-f]+: 57fe e7bc c\.le\.d \$fcc7,\$f30,\$f31
5493 [ 0-9a-f]+: 5420 03bc c\.le\.s \$f0,\$f1
5494 [ 0-9a-f]+: 57fe 03bc c\.le\.s \$f30,\$f31
5495 [ 0-9a-f]+: 57fe 03bc c\.le\.s \$f30,\$f31
5496 [ 0-9a-f]+: 57fe 23bc c\.le\.s \$fcc1,\$f30,\$f31
5497 [ 0-9a-f]+: 57fe e3bc c\.le\.s \$fcc7,\$f30,\$f31
5498 [ 0-9a-f]+: 5420 0bbc c\.le\.ps \$f0,\$f1
5499 [ 0-9a-f]+: 57fe 0bbc c\.le\.ps \$f30,\$f31
5500 [ 0-9a-f]+: 57fe 0bbc c\.le\.ps \$f30,\$f31
5501 [ 0-9a-f]+: 57fe 4bbc c\.le\.ps \$fcc2,\$f30,\$f31
5502 [ 0-9a-f]+: 57fe cbbc c\.le\.ps \$fcc6,\$f30,\$f31
5503 [ 0-9a-f]+: 5420 07fc c\.ngt\.d \$f0,\$f1
5504 [ 0-9a-f]+: 57fe 07fc c\.ngt\.d \$f30,\$f31
5505 [ 0-9a-f]+: 57fe 07fc c\.ngt\.d \$f30,\$f31
5506 [ 0-9a-f]+: 57fe 27fc c\.ngt\.d \$fcc1,\$f30,\$f31
5507 [ 0-9a-f]+: 57fe e7fc c\.ngt\.d \$fcc7,\$f30,\$f31
5508 [ 0-9a-f]+: 5420 03fc c\.ngt\.s \$f0,\$f1
5509 [ 0-9a-f]+: 57fe 03fc c\.ngt\.s \$f30,\$f31
5510 [ 0-9a-f]+: 57fe 03fc c\.ngt\.s \$f30,\$f31
5511 [ 0-9a-f]+: 57fe 23fc c\.ngt\.s \$fcc1,\$f30,\$f31
5512 [ 0-9a-f]+: 57fe e3fc c\.ngt\.s \$fcc7,\$f30,\$f31
5513 [ 0-9a-f]+: 5420 0bfc c\.ngt\.ps \$f0,\$f1
5514 [ 0-9a-f]+: 57fe 0bfc c\.ngt\.ps \$f30,\$f31
5515 [ 0-9a-f]+: 57fe 0bfc c\.ngt\.ps \$f30,\$f31
5516 [ 0-9a-f]+: 57fe 4bfc c\.ngt\.ps \$fcc2,\$f30,\$f31
5517 [ 0-9a-f]+: 57fe cbfc c\.ngt\.ps \$fcc6,\$f30,\$f31
5518 [ 0-9a-f]+: 5401 533b ceil\.l\.d \$f0,\$f1
5519 [ 0-9a-f]+: 57df 533b ceil\.l\.d \$f30,\$f31
5520 [ 0-9a-f]+: 5442 533b ceil\.l\.d \$f2,\$f2
5521 [ 0-9a-f]+: 5401 133b ceil\.l\.s \$f0,\$f1
5522 [ 0-9a-f]+: 57df 133b ceil\.l\.s \$f30,\$f31
5523 [ 0-9a-f]+: 5442 133b ceil\.l\.s \$f2,\$f2
5524 [ 0-9a-f]+: 5401 5b3b ceil\.w\.d \$f0,\$f1
5525 [ 0-9a-f]+: 57df 5b3b ceil\.w\.d \$f30,\$f31
5526 [ 0-9a-f]+: 5442 5b3b ceil\.w\.d \$f2,\$f2
5527 [ 0-9a-f]+: 5401 1b3b ceil\.w\.s \$f0,\$f1
5528 [ 0-9a-f]+: 57df 1b3b ceil\.w\.s \$f30,\$f31
5529 [ 0-9a-f]+: 5442 1b3b ceil\.w\.s \$f2,\$f2
5530 [ 0-9a-f]+: 54a0 103b cfc1 a1,\$0
5531 [ 0-9a-f]+: 54a1 103b cfc1 a1,\$1
5532 [ 0-9a-f]+: 54a2 103b cfc1 a1,\$2
5533 [ 0-9a-f]+: 54a3 103b cfc1 a1,\$3
5534 [ 0-9a-f]+: 54a4 103b cfc1 a1,\$4
5535 [ 0-9a-f]+: 54a5 103b cfc1 a1,\$5
5536 [ 0-9a-f]+: 54a6 103b cfc1 a1,\$6
5537 [ 0-9a-f]+: 54a7 103b cfc1 a1,\$7
5538 [ 0-9a-f]+: 54a8 103b cfc1 a1,\$8
5539 [ 0-9a-f]+: 54a9 103b cfc1 a1,\$9
5540 [ 0-9a-f]+: 54aa 103b cfc1 a1,\$10
5541 [ 0-9a-f]+: 54ab 103b cfc1 a1,\$11
5542 [ 0-9a-f]+: 54ac 103b cfc1 a1,\$12
5543 [ 0-9a-f]+: 54ad 103b cfc1 a1,\$13
5544 [ 0-9a-f]+: 54ae 103b cfc1 a1,\$14
5545 [ 0-9a-f]+: 54af 103b cfc1 a1,\$15
5546 [ 0-9a-f]+: 54b0 103b cfc1 a1,\$16
5547 [ 0-9a-f]+: 54b1 103b cfc1 a1,\$17
5548 [ 0-9a-f]+: 54b2 103b cfc1 a1,\$18
5549 [ 0-9a-f]+: 54b3 103b cfc1 a1,\$19
5550 [ 0-9a-f]+: 54b4 103b cfc1 a1,\$20
5551 [ 0-9a-f]+: 54b5 103b cfc1 a1,\$21
5552 [ 0-9a-f]+: 54b6 103b cfc1 a1,\$22
5553 [ 0-9a-f]+: 54b7 103b cfc1 a1,\$23
5554 [ 0-9a-f]+: 54b8 103b cfc1 a1,\$24
5555 [ 0-9a-f]+: 54b9 103b cfc1 a1,\$25
5556 [ 0-9a-f]+: 54ba 103b cfc1 a1,\$26
5557 [ 0-9a-f]+: 54bb 103b cfc1 a1,\$27
5558 [ 0-9a-f]+: 54bc 103b cfc1 a1,\$28
5559 [ 0-9a-f]+: 54bd 103b cfc1 a1,\$29
5560 [ 0-9a-f]+: 54be 103b cfc1 a1,\$30
5561 [ 0-9a-f]+: 54bf 103b cfc1 a1,\$31
5562 [ 0-9a-f]+: 54a0 103b cfc1 a1,\$0
5563 [ 0-9a-f]+: 54a1 103b cfc1 a1,\$1
5564 [ 0-9a-f]+: 54a2 103b cfc1 a1,\$2
5565 [ 0-9a-f]+: 54a3 103b cfc1 a1,\$3
5566 [ 0-9a-f]+: 54a4 103b cfc1 a1,\$4
5567 [ 0-9a-f]+: 54a5 103b cfc1 a1,\$5
5568 [ 0-9a-f]+: 54a6 103b cfc1 a1,\$6
5569 [ 0-9a-f]+: 54a7 103b cfc1 a1,\$7
5570 [ 0-9a-f]+: 54a8 103b cfc1 a1,\$8
5571 [ 0-9a-f]+: 54a9 103b cfc1 a1,\$9
5572 [ 0-9a-f]+: 54aa 103b cfc1 a1,\$10
5573 [ 0-9a-f]+: 54ab 103b cfc1 a1,\$11
5574 [ 0-9a-f]+: 54ac 103b cfc1 a1,\$12
5575 [ 0-9a-f]+: 54ad 103b cfc1 a1,\$13
5576 [ 0-9a-f]+: 54ae 103b cfc1 a1,\$14
5577 [ 0-9a-f]+: 54af 103b cfc1 a1,\$15
5578 [ 0-9a-f]+: 54b0 103b cfc1 a1,\$16
5579 [ 0-9a-f]+: 54b1 103b cfc1 a1,\$17
5580 [ 0-9a-f]+: 54b2 103b cfc1 a1,\$18
5581 [ 0-9a-f]+: 54b3 103b cfc1 a1,\$19
5582 [ 0-9a-f]+: 54b4 103b cfc1 a1,\$20
5583 [ 0-9a-f]+: 54b5 103b cfc1 a1,\$21
5584 [ 0-9a-f]+: 54b6 103b cfc1 a1,\$22
5585 [ 0-9a-f]+: 54b7 103b cfc1 a1,\$23
5586 [ 0-9a-f]+: 54b8 103b cfc1 a1,\$24
5587 [ 0-9a-f]+: 54b9 103b cfc1 a1,\$25
5588 [ 0-9a-f]+: 54ba 103b cfc1 a1,\$26
5589 [ 0-9a-f]+: 54bb 103b cfc1 a1,\$27
5590 [ 0-9a-f]+: 54bc 103b cfc1 a1,\$28
5591 [ 0-9a-f]+: 54bd 103b cfc1 a1,\$29
5592 [ 0-9a-f]+: 54be 103b cfc1 a1,\$30
5593 [ 0-9a-f]+: 54bf 103b cfc1 a1,\$31
5594 [ 0-9a-f]+: 00a0 cd3c cfc2 a1,\$0
5595 [ 0-9a-f]+: 00a1 cd3c cfc2 a1,\$1
5596 [ 0-9a-f]+: 00a2 cd3c cfc2 a1,\$2
5597 [ 0-9a-f]+: 00a3 cd3c cfc2 a1,\$3
5598 [ 0-9a-f]+: 00a4 cd3c cfc2 a1,\$4
5599 [ 0-9a-f]+: 00a5 cd3c cfc2 a1,\$5
5600 [ 0-9a-f]+: 00a6 cd3c cfc2 a1,\$6
5601 [ 0-9a-f]+: 00a7 cd3c cfc2 a1,\$7
5602 [ 0-9a-f]+: 00a8 cd3c cfc2 a1,\$8
5603 [ 0-9a-f]+: 00a9 cd3c cfc2 a1,\$9
5604 [ 0-9a-f]+: 00aa cd3c cfc2 a1,\$10
5605 [ 0-9a-f]+: 00ab cd3c cfc2 a1,\$11
5606 [ 0-9a-f]+: 00ac cd3c cfc2 a1,\$12
5607 [ 0-9a-f]+: 00ad cd3c cfc2 a1,\$13
5608 [ 0-9a-f]+: 00ae cd3c cfc2 a1,\$14
5609 [ 0-9a-f]+: 00af cd3c cfc2 a1,\$15
5610 [ 0-9a-f]+: 00b0 cd3c cfc2 a1,\$16
5611 [ 0-9a-f]+: 00b1 cd3c cfc2 a1,\$17
5612 [ 0-9a-f]+: 00b2 cd3c cfc2 a1,\$18
5613 [ 0-9a-f]+: 00b3 cd3c cfc2 a1,\$19
5614 [ 0-9a-f]+: 00b4 cd3c cfc2 a1,\$20
5615 [ 0-9a-f]+: 00b5 cd3c cfc2 a1,\$21
5616 [ 0-9a-f]+: 00b6 cd3c cfc2 a1,\$22
5617 [ 0-9a-f]+: 00b7 cd3c cfc2 a1,\$23
5618 [ 0-9a-f]+: 00b8 cd3c cfc2 a1,\$24
5619 [ 0-9a-f]+: 00b9 cd3c cfc2 a1,\$25
5620 [ 0-9a-f]+: 00ba cd3c cfc2 a1,\$26
5621 [ 0-9a-f]+: 00bb cd3c cfc2 a1,\$27
5622 [ 0-9a-f]+: 00bc cd3c cfc2 a1,\$28
5623 [ 0-9a-f]+: 00bd cd3c cfc2 a1,\$29
5624 [ 0-9a-f]+: 00be cd3c cfc2 a1,\$30
5625 [ 0-9a-f]+: 00bf cd3c cfc2 a1,\$31
5626 [ 0-9a-f]+: 54a0 183b ctc1 a1,\$0
5627 [ 0-9a-f]+: 54a1 183b ctc1 a1,\$1
5628 [ 0-9a-f]+: 54a2 183b ctc1 a1,\$2
5629 [ 0-9a-f]+: 54a3 183b ctc1 a1,\$3
5630 [ 0-9a-f]+: 54a4 183b ctc1 a1,\$4
5631 [ 0-9a-f]+: 54a5 183b ctc1 a1,\$5
5632 [ 0-9a-f]+: 54a6 183b ctc1 a1,\$6
5633 [ 0-9a-f]+: 54a7 183b ctc1 a1,\$7
5634 [ 0-9a-f]+: 54a8 183b ctc1 a1,\$8
5635 [ 0-9a-f]+: 54a9 183b ctc1 a1,\$9
5636 [ 0-9a-f]+: 54aa 183b ctc1 a1,\$10
5637 [ 0-9a-f]+: 54ab 183b ctc1 a1,\$11
5638 [ 0-9a-f]+: 54ac 183b ctc1 a1,\$12
5639 [ 0-9a-f]+: 54ad 183b ctc1 a1,\$13
5640 [ 0-9a-f]+: 54ae 183b ctc1 a1,\$14
5641 [ 0-9a-f]+: 54af 183b ctc1 a1,\$15
5642 [ 0-9a-f]+: 54b0 183b ctc1 a1,\$16
5643 [ 0-9a-f]+: 54b1 183b ctc1 a1,\$17
5644 [ 0-9a-f]+: 54b2 183b ctc1 a1,\$18
5645 [ 0-9a-f]+: 54b3 183b ctc1 a1,\$19
5646 [ 0-9a-f]+: 54b4 183b ctc1 a1,\$20
5647 [ 0-9a-f]+: 54b5 183b ctc1 a1,\$21
5648 [ 0-9a-f]+: 54b6 183b ctc1 a1,\$22
5649 [ 0-9a-f]+: 54b7 183b ctc1 a1,\$23
5650 [ 0-9a-f]+: 54b8 183b ctc1 a1,\$24
5651 [ 0-9a-f]+: 54b9 183b ctc1 a1,\$25
5652 [ 0-9a-f]+: 54ba 183b ctc1 a1,\$26
5653 [ 0-9a-f]+: 54bb 183b ctc1 a1,\$27
5654 [ 0-9a-f]+: 54bc 183b ctc1 a1,\$28
5655 [ 0-9a-f]+: 54bd 183b ctc1 a1,\$29
5656 [ 0-9a-f]+: 54be 183b ctc1 a1,\$30
5657 [ 0-9a-f]+: 54bf 183b ctc1 a1,\$31
5658 [ 0-9a-f]+: 54a0 183b ctc1 a1,\$0
5659 [ 0-9a-f]+: 54a1 183b ctc1 a1,\$1
5660 [ 0-9a-f]+: 54a2 183b ctc1 a1,\$2
5661 [ 0-9a-f]+: 54a3 183b ctc1 a1,\$3
5662 [ 0-9a-f]+: 54a4 183b ctc1 a1,\$4
5663 [ 0-9a-f]+: 54a5 183b ctc1 a1,\$5
5664 [ 0-9a-f]+: 54a6 183b ctc1 a1,\$6
5665 [ 0-9a-f]+: 54a7 183b ctc1 a1,\$7
5666 [ 0-9a-f]+: 54a8 183b ctc1 a1,\$8
5667 [ 0-9a-f]+: 54a9 183b ctc1 a1,\$9
5668 [ 0-9a-f]+: 54aa 183b ctc1 a1,\$10
5669 [ 0-9a-f]+: 54ab 183b ctc1 a1,\$11
5670 [ 0-9a-f]+: 54ac 183b ctc1 a1,\$12
5671 [ 0-9a-f]+: 54ad 183b ctc1 a1,\$13
5672 [ 0-9a-f]+: 54ae 183b ctc1 a1,\$14
5673 [ 0-9a-f]+: 54af 183b ctc1 a1,\$15
5674 [ 0-9a-f]+: 54b0 183b ctc1 a1,\$16
5675 [ 0-9a-f]+: 54b1 183b ctc1 a1,\$17
5676 [ 0-9a-f]+: 54b2 183b ctc1 a1,\$18
5677 [ 0-9a-f]+: 54b3 183b ctc1 a1,\$19
5678 [ 0-9a-f]+: 54b4 183b ctc1 a1,\$20
5679 [ 0-9a-f]+: 54b5 183b ctc1 a1,\$21
5680 [ 0-9a-f]+: 54b6 183b ctc1 a1,\$22
5681 [ 0-9a-f]+: 54b7 183b ctc1 a1,\$23
5682 [ 0-9a-f]+: 54b8 183b ctc1 a1,\$24
5683 [ 0-9a-f]+: 54b9 183b ctc1 a1,\$25
5684 [ 0-9a-f]+: 54ba 183b ctc1 a1,\$26
5685 [ 0-9a-f]+: 54bb 183b ctc1 a1,\$27
5686 [ 0-9a-f]+: 54bc 183b ctc1 a1,\$28
5687 [ 0-9a-f]+: 54bd 183b ctc1 a1,\$29
5688 [ 0-9a-f]+: 54be 183b ctc1 a1,\$30
5689 [ 0-9a-f]+: 54bf 183b ctc1 a1,\$31
5690 [ 0-9a-f]+: 00a0 dd3c ctc2 a1,\$0
5691 [ 0-9a-f]+: 00a1 dd3c ctc2 a1,\$1
5692 [ 0-9a-f]+: 00a2 dd3c ctc2 a1,\$2
5693 [ 0-9a-f]+: 00a3 dd3c ctc2 a1,\$3
5694 [ 0-9a-f]+: 00a4 dd3c ctc2 a1,\$4
5695 [ 0-9a-f]+: 00a5 dd3c ctc2 a1,\$5
5696 [ 0-9a-f]+: 00a6 dd3c ctc2 a1,\$6
5697 [ 0-9a-f]+: 00a7 dd3c ctc2 a1,\$7
5698 [ 0-9a-f]+: 00a8 dd3c ctc2 a1,\$8
5699 [ 0-9a-f]+: 00a9 dd3c ctc2 a1,\$9
5700 [ 0-9a-f]+: 00aa dd3c ctc2 a1,\$10
5701 [ 0-9a-f]+: 00ab dd3c ctc2 a1,\$11
5702 [ 0-9a-f]+: 00ac dd3c ctc2 a1,\$12
5703 [ 0-9a-f]+: 00ad dd3c ctc2 a1,\$13
5704 [ 0-9a-f]+: 00ae dd3c ctc2 a1,\$14
5705 [ 0-9a-f]+: 00af dd3c ctc2 a1,\$15
5706 [ 0-9a-f]+: 00b0 dd3c ctc2 a1,\$16
5707 [ 0-9a-f]+: 00b1 dd3c ctc2 a1,\$17
5708 [ 0-9a-f]+: 00b2 dd3c ctc2 a1,\$18
5709 [ 0-9a-f]+: 00b3 dd3c ctc2 a1,\$19
5710 [ 0-9a-f]+: 00b4 dd3c ctc2 a1,\$20
5711 [ 0-9a-f]+: 00b5 dd3c ctc2 a1,\$21
5712 [ 0-9a-f]+: 00b6 dd3c ctc2 a1,\$22
5713 [ 0-9a-f]+: 00b7 dd3c ctc2 a1,\$23
5714 [ 0-9a-f]+: 00b8 dd3c ctc2 a1,\$24
5715 [ 0-9a-f]+: 00b9 dd3c ctc2 a1,\$25
5716 [ 0-9a-f]+: 00ba dd3c ctc2 a1,\$26
5717 [ 0-9a-f]+: 00bb dd3c ctc2 a1,\$27
5718 [ 0-9a-f]+: 00bc dd3c ctc2 a1,\$28
5719 [ 0-9a-f]+: 00bd dd3c ctc2 a1,\$29
5720 [ 0-9a-f]+: 00be dd3c ctc2 a1,\$30
5721 [ 0-9a-f]+: 00bf dd3c ctc2 a1,\$31
5722 [ 0-9a-f]+: 5401 537b cvt\.d\.l \$f0,\$f1
5723 [ 0-9a-f]+: 57df 537b cvt\.d\.l \$f30,\$f31
5724 [ 0-9a-f]+: 5442 537b cvt\.d\.l \$f2,\$f2
5725 [ 0-9a-f]+: 5401 137b cvt\.d\.s \$f0,\$f1
5726 [ 0-9a-f]+: 57df 137b cvt\.d\.s \$f30,\$f31
5727 [ 0-9a-f]+: 5442 137b cvt\.d\.s \$f2,\$f2
5728 [ 0-9a-f]+: 5401 337b cvt\.d\.w \$f0,\$f1
5729 [ 0-9a-f]+: 57df 337b cvt\.d\.w \$f30,\$f31
5730 [ 0-9a-f]+: 5442 337b cvt\.d\.w \$f2,\$f2
5731 [ 0-9a-f]+: 5401 013b cvt\.l\.s \$f0,\$f1
5732 [ 0-9a-f]+: 57df 013b cvt\.l\.s \$f30,\$f31
5733 [ 0-9a-f]+: 5442 013b cvt\.l\.s \$f2,\$f2
5734 [ 0-9a-f]+: 5401 413b cvt\.l\.d \$f0,\$f1
5735 [ 0-9a-f]+: 57df 413b cvt\.l\.d \$f30,\$f31
5736 [ 0-9a-f]+: 5442 413b cvt\.l\.d \$f2,\$f2
5737 [ 0-9a-f]+: 5401 5b7b cvt\.s\.l \$f0,\$f1
5738 [ 0-9a-f]+: 57df 5b7b cvt\.s\.l \$f30,\$f31
5739 [ 0-9a-f]+: 5442 5b7b cvt\.s\.l \$f2,\$f2
5740 [ 0-9a-f]+: 5401 1b7b cvt\.s\.d \$f0,\$f1
5741 [ 0-9a-f]+: 57df 1b7b cvt\.s\.d \$f30,\$f31
5742 [ 0-9a-f]+: 5442 1b7b cvt\.s\.d \$f2,\$f2
5743 [ 0-9a-f]+: 5401 3b7b cvt\.s\.w \$f0,\$f1
5744 [ 0-9a-f]+: 57df 3b7b cvt\.s\.w \$f30,\$f31
5745 [ 0-9a-f]+: 5442 3b7b cvt\.s\.w \$f2,\$f2
5746 [ 0-9a-f]+: 5401 213b cvt\.s\.pl \$f0,\$f1
5747 [ 0-9a-f]+: 57df 213b cvt\.s\.pl \$f30,\$f31
5748 [ 0-9a-f]+: 5442 213b cvt\.s\.pl \$f2,\$f2
5749 [ 0-9a-f]+: 5401 293b cvt\.s\.pu \$f0,\$f1
5750 [ 0-9a-f]+: 57df 293b cvt\.s\.pu \$f30,\$f31
5751 [ 0-9a-f]+: 5442 293b cvt\.s\.pu \$f2,\$f2
5752 [ 0-9a-f]+: 5401 093b cvt\.w\.s \$f0,\$f1
5753 [ 0-9a-f]+: 57df 093b cvt\.w\.s \$f30,\$f31
5754 [ 0-9a-f]+: 5442 093b cvt\.w\.s \$f2,\$f2
5755 [ 0-9a-f]+: 5401 493b cvt\.w\.d \$f0,\$f1
5756 [ 0-9a-f]+: 57df 493b cvt\.w\.d \$f30,\$f31
5757 [ 0-9a-f]+: 5442 493b cvt\.w\.d \$f2,\$f2
5758 [ 0-9a-f]+: 5441 0180 cvt\.ps\.s \$f0,\$f1,\$f2
5759 [ 0-9a-f]+: 57fe e980 cvt\.ps\.s \$f29,\$f30,\$f31
5760 [ 0-9a-f]+: 57fd e980 cvt\.ps\.s \$f29,\$f29,\$f31
5761 [ 0-9a-f]+: 57fd e980 cvt\.ps\.s \$f29,\$f29,\$f31
5762 [ 0-9a-f]+: 5441 01f0 div\.d \$f0,\$f1,\$f2
5763 [ 0-9a-f]+: 57fe e9f0 div\.d \$f29,\$f30,\$f31
5764 [ 0-9a-f]+: 57dd e9f0 div\.d \$f29,\$f29,\$f30
5765 [ 0-9a-f]+: 57dd e9f0 div\.d \$f29,\$f29,\$f30
5766 [ 0-9a-f]+: 5441 00f0 div\.s \$f0,\$f1,\$f2
5767 [ 0-9a-f]+: 57fe e8f0 div\.s \$f29,\$f30,\$f31
5768 [ 0-9a-f]+: 57dd e8f0 div\.s \$f29,\$f29,\$f30
5769 [ 0-9a-f]+: 57dd e8f0 div\.s \$f29,\$f29,\$f30
5770 [ 0-9a-f]+: 5401 433b floor\.l\.d \$f0,\$f1
5771 [ 0-9a-f]+: 57df 433b floor\.l\.d \$f30,\$f31
5772 [ 0-9a-f]+: 5442 433b floor\.l\.d \$f2,\$f2
5773 [ 0-9a-f]+: 5401 033b floor\.l\.s \$f0,\$f1
5774 [ 0-9a-f]+: 57df 033b floor\.l\.s \$f30,\$f31
5775 [ 0-9a-f]+: 5442 033b floor\.l\.s \$f2,\$f2
5776 [ 0-9a-f]+: 5401 4b3b floor\.w\.d \$f0,\$f1
5777 [ 0-9a-f]+: 57df 4b3b floor\.w\.d \$f30,\$f31
5778 [ 0-9a-f]+: 5442 4b3b floor\.w\.d \$f2,\$f2
5779 [ 0-9a-f]+: 5401 0b3b floor\.w\.s \$f0,\$f1
5780 [ 0-9a-f]+: 57df 0b3b floor\.w\.s \$f30,\$f31
5781 [ 0-9a-f]+: 5442 0b3b floor\.w\.s \$f2,\$f2
5782 [ 0-9a-f]+: bc60 0000 ldc1 \$f3,0\(zero\)
5783 [ 0-9a-f]+: bc60 0000 ldc1 \$f3,0\(zero\)
5784 [ 0-9a-f]+: bc60 0004 ldc1 \$f3,4\(zero\)
5785 [ 0-9a-f]+: bc60 0004 ldc1 \$f3,4\(zero\)
5786 [ 0-9a-f]+: bc64 0000 ldc1 \$f3,0\(a0\)
5787 [ 0-9a-f]+: bc64 0000 ldc1 \$f3,0\(a0\)
5788 [ 0-9a-f]+: bc64 7fff ldc1 \$f3,32767\(a0\)
5789 [ 0-9a-f]+: bc64 8000 ldc1 \$f3,-32768\(a0\)
5790 [ 0-9a-f]+: 41a1 0001 lui at,0x1
5791 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5792 [ 0-9a-f]+: bc61 ffff ldc1 \$f3,-1\(at\)
5793 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
5794 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5795 [ 0-9a-f]+: bc61 0000 ldc1 \$f3,0\(at\)
5796 [ 0-9a-f]+: bc64 8000 ldc1 \$f3,-32768\(a0\)
5797 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
5798 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5799 [ 0-9a-f]+: bc61 0001 ldc1 \$f3,1\(at\)
5800 [ 0-9a-f]+: bc64 8001 ldc1 \$f3,-32767\(a0\)
5801 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
5802 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5803 [ 0-9a-f]+: bc61 0000 ldc1 \$f3,0\(at\)
5804 [ 0-9a-f]+: bc64 ffff ldc1 \$f3,-1\(a0\)
5805 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
5806 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5807 [ 0-9a-f]+: bc61 5678 ldc1 \$f3,22136\(at\)
5808 [ 0-9a-f]+: bc60 0000 ldc1 \$f3,0\(zero\)
5809 [ 0-9a-f]+: bc60 0000 ldc1 \$f3,0\(zero\)
5810 [ 0-9a-f]+: bc60 0004 ldc1 \$f3,4\(zero\)
5811 [ 0-9a-f]+: bc60 0004 ldc1 \$f3,4\(zero\)
5812 [ 0-9a-f]+: bc64 0000 ldc1 \$f3,0\(a0\)
5813 [ 0-9a-f]+: bc64 0000 ldc1 \$f3,0\(a0\)
5814 [ 0-9a-f]+: bc64 7fff ldc1 \$f3,32767\(a0\)
5815 [ 0-9a-f]+: bc64 8000 ldc1 \$f3,-32768\(a0\)
5816 [ 0-9a-f]+: 41a1 0001 lui at,0x1
5817 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5818 [ 0-9a-f]+: bc61 ffff ldc1 \$f3,-1\(at\)
5819 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
5820 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5821 [ 0-9a-f]+: bc61 0000 ldc1 \$f3,0\(at\)
5822 [ 0-9a-f]+: bc64 8000 ldc1 \$f3,-32768\(a0\)
5823 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
5824 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5825 [ 0-9a-f]+: bc61 0001 ldc1 \$f3,1\(at\)
5826 [ 0-9a-f]+: bc64 8001 ldc1 \$f3,-32767\(a0\)
5827 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
5828 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5829 [ 0-9a-f]+: bc61 0000 ldc1 \$f3,0\(at\)
5830 [ 0-9a-f]+: bc64 ffff ldc1 \$f3,-1\(a0\)
5831 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
5832 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5833 [ 0-9a-f]+: bc61 5678 ldc1 \$f3,22136\(at\)
5834 [ 0-9a-f]+: bc60 0000 ldc1 \$f3,0\(zero\)
5835 [ 0-9a-f]+: bc60 0000 ldc1 \$f3,0\(zero\)
5836 [ 0-9a-f]+: bc60 0004 ldc1 \$f3,4\(zero\)
5837 [ 0-9a-f]+: bc60 0004 ldc1 \$f3,4\(zero\)
5838 [ 0-9a-f]+: bc64 0000 ldc1 \$f3,0\(a0\)
5839 [ 0-9a-f]+: bc64 0000 ldc1 \$f3,0\(a0\)
5840 [ 0-9a-f]+: bc64 7fff ldc1 \$f3,32767\(a0\)
5841 [ 0-9a-f]+: bc64 8000 ldc1 \$f3,-32768\(a0\)
5842 [ 0-9a-f]+: 5400 00c8 ldxc1 \$f0,zero\(zero\)
5843 [ 0-9a-f]+: 5402 00c8 ldxc1 \$f0,zero\(v0\)
5844 [ 0-9a-f]+: 541f 00c8 ldxc1 \$f0,zero\(ra\)
5845 [ 0-9a-f]+: 545f 00c8 ldxc1 \$f0,v0\(ra\)
5846 [ 0-9a-f]+: 57ff 00c8 ldxc1 \$f0,ra\(ra\)
5847 [ 0-9a-f]+: 57ff 08c8 ldxc1 \$f1,ra\(ra\)
5848 [ 0-9a-f]+: 57ff 10c8 ldxc1 \$f2,ra\(ra\)
5849 [ 0-9a-f]+: 57ff f8c8 ldxc1 \$f31,ra\(ra\)
5850 [ 0-9a-f]+: 5400 0148 luxc1 \$f0,zero\(zero\)
5851 [ 0-9a-f]+: 5402 0148 luxc1 \$f0,zero\(v0\)
5852 [ 0-9a-f]+: 541f 0148 luxc1 \$f0,zero\(ra\)
5853 [ 0-9a-f]+: 545f 0148 luxc1 \$f0,v0\(ra\)
5854 [ 0-9a-f]+: 57ff 0148 luxc1 \$f0,ra\(ra\)
5855 [ 0-9a-f]+: 57ff 0948 luxc1 \$f1,ra\(ra\)
5856 [ 0-9a-f]+: 57ff 1148 luxc1 \$f2,ra\(ra\)
5857 [ 0-9a-f]+: 57ff f948 luxc1 \$f31,ra\(ra\)
5858 [ 0-9a-f]+: 9c60 0000 lwc1 \$f3,0\(zero\)
5859 [ 0-9a-f]+: 9c60 0000 lwc1 \$f3,0\(zero\)
5860 [ 0-9a-f]+: 9c60 0004 lwc1 \$f3,4\(zero\)
5861 [ 0-9a-f]+: 9c60 0004 lwc1 \$f3,4\(zero\)
5862 [ 0-9a-f]+: 9c64 0000 lwc1 \$f3,0\(a0\)
5863 [ 0-9a-f]+: 9c64 0000 lwc1 \$f3,0\(a0\)
5864 [ 0-9a-f]+: 9c64 7fff lwc1 \$f3,32767\(a0\)
5865 [ 0-9a-f]+: 9c64 8000 lwc1 \$f3,-32768\(a0\)
5866 [ 0-9a-f]+: 41a1 0001 lui at,0x1
5867 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5868 [ 0-9a-f]+: 9c61 ffff lwc1 \$f3,-1\(at\)
5869 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
5870 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5871 [ 0-9a-f]+: 9c61 0000 lwc1 \$f3,0\(at\)
5872 [ 0-9a-f]+: 9c64 8000 lwc1 \$f3,-32768\(a0\)
5873 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
5874 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5875 [ 0-9a-f]+: 9c61 0001 lwc1 \$f3,1\(at\)
5876 [ 0-9a-f]+: 9c64 8001 lwc1 \$f3,-32767\(a0\)
5877 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
5878 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5879 [ 0-9a-f]+: 9c61 0000 lwc1 \$f3,0\(at\)
5880 [ 0-9a-f]+: 9c64 ffff lwc1 \$f3,-1\(a0\)
5881 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
5882 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5883 [ 0-9a-f]+: 9c61 5678 lwc1 \$f3,22136\(at\)
5884 [ 0-9a-f]+: 9c60 0000 lwc1 \$f3,0\(zero\)
5885 [ 0-9a-f]+: 9c60 0000 lwc1 \$f3,0\(zero\)
5886 [ 0-9a-f]+: 9c60 0004 lwc1 \$f3,4\(zero\)
5887 [ 0-9a-f]+: 9c60 0004 lwc1 \$f3,4\(zero\)
5888 [ 0-9a-f]+: 9c64 0000 lwc1 \$f3,0\(a0\)
5889 [ 0-9a-f]+: 9c64 0000 lwc1 \$f3,0\(a0\)
5890 [ 0-9a-f]+: 9c64 7fff lwc1 \$f3,32767\(a0\)
5891 [ 0-9a-f]+: 9c64 8000 lwc1 \$f3,-32768\(a0\)
5892 [ 0-9a-f]+: 41a1 0001 lui at,0x1
5893 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5894 [ 0-9a-f]+: 9c61 ffff lwc1 \$f3,-1\(at\)
5895 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
5896 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5897 [ 0-9a-f]+: 9c61 0000 lwc1 \$f3,0\(at\)
5898 [ 0-9a-f]+: 9c64 8000 lwc1 \$f3,-32768\(a0\)
5899 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
5900 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5901 [ 0-9a-f]+: 9c61 0001 lwc1 \$f3,1\(at\)
5902 [ 0-9a-f]+: 9c64 8001 lwc1 \$f3,-32767\(a0\)
5903 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
5904 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5905 [ 0-9a-f]+: 9c61 0000 lwc1 \$f3,0\(at\)
5906 [ 0-9a-f]+: 9c64 ffff lwc1 \$f3,-1\(a0\)
5907 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
5908 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5909 [ 0-9a-f]+: 9c61 5678 lwc1 \$f3,22136\(at\)
5910 [ 0-9a-f]+: 9c60 0000 lwc1 \$f3,0\(zero\)
5911 [ 0-9a-f]+: 9c60 0000 lwc1 \$f3,0\(zero\)
5912 [ 0-9a-f]+: 9c60 0004 lwc1 \$f3,4\(zero\)
5913 [ 0-9a-f]+: 9c60 0004 lwc1 \$f3,4\(zero\)
5914 [ 0-9a-f]+: 9c64 0000 lwc1 \$f3,0\(a0\)
5915 [ 0-9a-f]+: 9c64 0000 lwc1 \$f3,0\(a0\)
5916 [ 0-9a-f]+: 9c64 7fff lwc1 \$f3,32767\(a0\)
5917 [ 0-9a-f]+: 9c64 8000 lwc1 \$f3,-32768\(a0\)
5918 [ 0-9a-f]+: 41a1 0001 lui at,0x1
5919 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5920 [ 0-9a-f]+: 9c61 ffff lwc1 \$f3,-1\(at\)
5921 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
5922 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5923 [ 0-9a-f]+: 9c61 0000 lwc1 \$f3,0\(at\)
5924 [ 0-9a-f]+: 9c64 8000 lwc1 \$f3,-32768\(a0\)
5925 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
5926 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5927 [ 0-9a-f]+: 9c61 0001 lwc1 \$f3,1\(at\)
5928 [ 0-9a-f]+: 9c64 8001 lwc1 \$f3,-32767\(a0\)
5929 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
5930 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5931 [ 0-9a-f]+: 9c61 0000 lwc1 \$f3,0\(at\)
5932 [ 0-9a-f]+: 9c64 ffff lwc1 \$f3,-1\(a0\)
5933 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
5934 [ 0-9a-f]+: 0081 0950 addu at,at,a0
5935 [ 0-9a-f]+: 9c61 5678 lwc1 \$f3,22136\(at\)
5936 [ 0-9a-f]+: 5400 0048 lwxc1 \$f0,zero\(zero\)
5937 [ 0-9a-f]+: 5402 0048 lwxc1 \$f0,zero\(v0\)
5938 [ 0-9a-f]+: 541f 0048 lwxc1 \$f0,zero\(ra\)
5939 [ 0-9a-f]+: 545f 0048 lwxc1 \$f0,v0\(ra\)
5940 [ 0-9a-f]+: 57ff 0048 lwxc1 \$f0,ra\(ra\)
5941 [ 0-9a-f]+: 57ff 0848 lwxc1 \$f1,ra\(ra\)
5942 [ 0-9a-f]+: 57ff 1048 lwxc1 \$f2,ra\(ra\)
5943 [ 0-9a-f]+: 57ff f848 lwxc1 \$f31,ra\(ra\)
5944 [ 0-9a-f]+: 5462 0049 madd\.d \$f0,\$f1,\$f2,\$f3
5945 [ 0-9a-f]+: 57fe e749 madd\.d \$f28,\$f29,\$f30,\$f31
5946 [ 0-9a-f]+: 5462 0041 madd\.s \$f0,\$f1,\$f2,\$f3
5947 [ 0-9a-f]+: 57fe e741 madd\.s \$f28,\$f29,\$f30,\$f31
5948 [ 0-9a-f]+: 5462 0051 madd\.ps \$f0,\$f1,\$f2,\$f3
5949 [ 0-9a-f]+: 57fe e751 madd\.ps \$f28,\$f29,\$f30,\$f31
5950 [ 0-9a-f]+: 54a0 203b mfc1 a1,\$f0
5951 [ 0-9a-f]+: 54a1 203b mfc1 a1,\$f1
5952 [ 0-9a-f]+: 54a2 203b mfc1 a1,\$f2
5953 [ 0-9a-f]+: 54a3 203b mfc1 a1,\$f3
5954 [ 0-9a-f]+: 54a4 203b mfc1 a1,\$f4
5955 [ 0-9a-f]+: 54a5 203b mfc1 a1,\$f5
5956 [ 0-9a-f]+: 54a6 203b mfc1 a1,\$f6
5957 [ 0-9a-f]+: 54a7 203b mfc1 a1,\$f7
5958 [ 0-9a-f]+: 54a8 203b mfc1 a1,\$f8
5959 [ 0-9a-f]+: 54a9 203b mfc1 a1,\$f9
5960 [ 0-9a-f]+: 54aa 203b mfc1 a1,\$f10
5961 [ 0-9a-f]+: 54ab 203b mfc1 a1,\$f11
5962 [ 0-9a-f]+: 54ac 203b mfc1 a1,\$f12
5963 [ 0-9a-f]+: 54ad 203b mfc1 a1,\$f13
5964 [ 0-9a-f]+: 54ae 203b mfc1 a1,\$f14
5965 [ 0-9a-f]+: 54af 203b mfc1 a1,\$f15
5966 [ 0-9a-f]+: 54b0 203b mfc1 a1,\$f16
5967 [ 0-9a-f]+: 54b1 203b mfc1 a1,\$f17
5968 [ 0-9a-f]+: 54b2 203b mfc1 a1,\$f18
5969 [ 0-9a-f]+: 54b3 203b mfc1 a1,\$f19
5970 [ 0-9a-f]+: 54b4 203b mfc1 a1,\$f20
5971 [ 0-9a-f]+: 54b5 203b mfc1 a1,\$f21
5972 [ 0-9a-f]+: 54b6 203b mfc1 a1,\$f22
5973 [ 0-9a-f]+: 54b7 203b mfc1 a1,\$f23
5974 [ 0-9a-f]+: 54b8 203b mfc1 a1,\$f24
5975 [ 0-9a-f]+: 54b9 203b mfc1 a1,\$f25
5976 [ 0-9a-f]+: 54ba 203b mfc1 a1,\$f26
5977 [ 0-9a-f]+: 54bb 203b mfc1 a1,\$f27
5978 [ 0-9a-f]+: 54bc 203b mfc1 a1,\$f28
5979 [ 0-9a-f]+: 54bd 203b mfc1 a1,\$f29
5980 [ 0-9a-f]+: 54be 203b mfc1 a1,\$f30
5981 [ 0-9a-f]+: 54bf 203b mfc1 a1,\$f31
5982 [ 0-9a-f]+: 54a0 203b mfc1 a1,\$f0
5983 [ 0-9a-f]+: 54a1 203b mfc1 a1,\$f1
5984 [ 0-9a-f]+: 54a2 203b mfc1 a1,\$f2
5985 [ 0-9a-f]+: 54a3 203b mfc1 a1,\$f3
5986 [ 0-9a-f]+: 54a4 203b mfc1 a1,\$f4
5987 [ 0-9a-f]+: 54a5 203b mfc1 a1,\$f5
5988 [ 0-9a-f]+: 54a6 203b mfc1 a1,\$f6
5989 [ 0-9a-f]+: 54a7 203b mfc1 a1,\$f7
5990 [ 0-9a-f]+: 54a8 203b mfc1 a1,\$f8
5991 [ 0-9a-f]+: 54a9 203b mfc1 a1,\$f9
5992 [ 0-9a-f]+: 54aa 203b mfc1 a1,\$f10
5993 [ 0-9a-f]+: 54ab 203b mfc1 a1,\$f11
5994 [ 0-9a-f]+: 54ac 203b mfc1 a1,\$f12
5995 [ 0-9a-f]+: 54ad 203b mfc1 a1,\$f13
5996 [ 0-9a-f]+: 54ae 203b mfc1 a1,\$f14
5997 [ 0-9a-f]+: 54af 203b mfc1 a1,\$f15
5998 [ 0-9a-f]+: 54b0 203b mfc1 a1,\$f16
5999 [ 0-9a-f]+: 54b1 203b mfc1 a1,\$f17
6000 [ 0-9a-f]+: 54b2 203b mfc1 a1,\$f18
6001 [ 0-9a-f]+: 54b3 203b mfc1 a1,\$f19
6002 [ 0-9a-f]+: 54b4 203b mfc1 a1,\$f20
6003 [ 0-9a-f]+: 54b5 203b mfc1 a1,\$f21
6004 [ 0-9a-f]+: 54b6 203b mfc1 a1,\$f22
6005 [ 0-9a-f]+: 54b7 203b mfc1 a1,\$f23
6006 [ 0-9a-f]+: 54b8 203b mfc1 a1,\$f24
6007 [ 0-9a-f]+: 54b9 203b mfc1 a1,\$f25
6008 [ 0-9a-f]+: 54ba 203b mfc1 a1,\$f26
6009 [ 0-9a-f]+: 54bb 203b mfc1 a1,\$f27
6010 [ 0-9a-f]+: 54bc 203b mfc1 a1,\$f28
6011 [ 0-9a-f]+: 54bd 203b mfc1 a1,\$f29
6012 [ 0-9a-f]+: 54be 203b mfc1 a1,\$f30
6013 [ 0-9a-f]+: 54bf 203b mfc1 a1,\$f31
6014 [ 0-9a-f]+: 54a0 303b mfhc1 a1,\$f0
6015 [ 0-9a-f]+: 54a1 303b mfhc1 a1,\$f1
6016 [ 0-9a-f]+: 54a2 303b mfhc1 a1,\$f2
6017 [ 0-9a-f]+: 54a3 303b mfhc1 a1,\$f3
6018 [ 0-9a-f]+: 54a4 303b mfhc1 a1,\$f4
6019 [ 0-9a-f]+: 54a5 303b mfhc1 a1,\$f5
6020 [ 0-9a-f]+: 54a6 303b mfhc1 a1,\$f6
6021 [ 0-9a-f]+: 54a7 303b mfhc1 a1,\$f7
6022 [ 0-9a-f]+: 54a8 303b mfhc1 a1,\$f8
6023 [ 0-9a-f]+: 54a9 303b mfhc1 a1,\$f9
6024 [ 0-9a-f]+: 54aa 303b mfhc1 a1,\$f10
6025 [ 0-9a-f]+: 54ab 303b mfhc1 a1,\$f11
6026 [ 0-9a-f]+: 54ac 303b mfhc1 a1,\$f12
6027 [ 0-9a-f]+: 54ad 303b mfhc1 a1,\$f13
6028 [ 0-9a-f]+: 54ae 303b mfhc1 a1,\$f14
6029 [ 0-9a-f]+: 54af 303b mfhc1 a1,\$f15
6030 [ 0-9a-f]+: 54b0 303b mfhc1 a1,\$f16
6031 [ 0-9a-f]+: 54b1 303b mfhc1 a1,\$f17
6032 [ 0-9a-f]+: 54b2 303b mfhc1 a1,\$f18
6033 [ 0-9a-f]+: 54b3 303b mfhc1 a1,\$f19
6034 [ 0-9a-f]+: 54b4 303b mfhc1 a1,\$f20
6035 [ 0-9a-f]+: 54b5 303b mfhc1 a1,\$f21
6036 [ 0-9a-f]+: 54b6 303b mfhc1 a1,\$f22
6037 [ 0-9a-f]+: 54b7 303b mfhc1 a1,\$f23
6038 [ 0-9a-f]+: 54b8 303b mfhc1 a1,\$f24
6039 [ 0-9a-f]+: 54b9 303b mfhc1 a1,\$f25
6040 [ 0-9a-f]+: 54ba 303b mfhc1 a1,\$f26
6041 [ 0-9a-f]+: 54bb 303b mfhc1 a1,\$f27
6042 [ 0-9a-f]+: 54bc 303b mfhc1 a1,\$f28
6043 [ 0-9a-f]+: 54bd 303b mfhc1 a1,\$f29
6044 [ 0-9a-f]+: 54be 303b mfhc1 a1,\$f30
6045 [ 0-9a-f]+: 54bf 303b mfhc1 a1,\$f31
6046 [ 0-9a-f]+: 54a0 303b mfhc1 a1,\$f0
6047 [ 0-9a-f]+: 54a1 303b mfhc1 a1,\$f1
6048 [ 0-9a-f]+: 54a2 303b mfhc1 a1,\$f2
6049 [ 0-9a-f]+: 54a3 303b mfhc1 a1,\$f3
6050 [ 0-9a-f]+: 54a4 303b mfhc1 a1,\$f4
6051 [ 0-9a-f]+: 54a5 303b mfhc1 a1,\$f5
6052 [ 0-9a-f]+: 54a6 303b mfhc1 a1,\$f6
6053 [ 0-9a-f]+: 54a7 303b mfhc1 a1,\$f7
6054 [ 0-9a-f]+: 54a8 303b mfhc1 a1,\$f8
6055 [ 0-9a-f]+: 54a9 303b mfhc1 a1,\$f9
6056 [ 0-9a-f]+: 54aa 303b mfhc1 a1,\$f10
6057 [ 0-9a-f]+: 54ab 303b mfhc1 a1,\$f11
6058 [ 0-9a-f]+: 54ac 303b mfhc1 a1,\$f12
6059 [ 0-9a-f]+: 54ad 303b mfhc1 a1,\$f13
6060 [ 0-9a-f]+: 54ae 303b mfhc1 a1,\$f14
6061 [ 0-9a-f]+: 54af 303b mfhc1 a1,\$f15
6062 [ 0-9a-f]+: 54b0 303b mfhc1 a1,\$f16
6063 [ 0-9a-f]+: 54b1 303b mfhc1 a1,\$f17
6064 [ 0-9a-f]+: 54b2 303b mfhc1 a1,\$f18
6065 [ 0-9a-f]+: 54b3 303b mfhc1 a1,\$f19
6066 [ 0-9a-f]+: 54b4 303b mfhc1 a1,\$f20
6067 [ 0-9a-f]+: 54b5 303b mfhc1 a1,\$f21
6068 [ 0-9a-f]+: 54b6 303b mfhc1 a1,\$f22
6069 [ 0-9a-f]+: 54b7 303b mfhc1 a1,\$f23
6070 [ 0-9a-f]+: 54b8 303b mfhc1 a1,\$f24
6071 [ 0-9a-f]+: 54b9 303b mfhc1 a1,\$f25
6072 [ 0-9a-f]+: 54ba 303b mfhc1 a1,\$f26
6073 [ 0-9a-f]+: 54bb 303b mfhc1 a1,\$f27
6074 [ 0-9a-f]+: 54bc 303b mfhc1 a1,\$f28
6075 [ 0-9a-f]+: 54bd 303b mfhc1 a1,\$f29
6076 [ 0-9a-f]+: 54be 303b mfhc1 a1,\$f30
6077 [ 0-9a-f]+: 54bf 303b mfhc1 a1,\$f31
6078 [ 0-9a-f]+: 5401 207b mov\.d \$f0,\$f1
6079 [ 0-9a-f]+: 57df 207b mov\.d \$f30,\$f31
6080 [ 0-9a-f]+: 5401 007b mov\.s \$f0,\$f1
6081 [ 0-9a-f]+: 57df 007b mov\.s \$f30,\$f31
6082 [ 0-9a-f]+: 5401 407b mov\.ps \$f0,\$f1
6083 [ 0-9a-f]+: 57df 407b mov\.ps \$f30,\$f31
6084 [ 0-9a-f]+: 5443 0220 movf\.d \$f2,\$f3,\$fcc0
6085 [ 0-9a-f]+: 5443 2220 movf\.d \$f2,\$f3,\$fcc1
6086 [ 0-9a-f]+: 5443 4220 movf\.d \$f2,\$f3,\$fcc2
6087 [ 0-9a-f]+: 5443 6220 movf\.d \$f2,\$f3,\$fcc3
6088 [ 0-9a-f]+: 5443 8220 movf\.d \$f2,\$f3,\$fcc4
6089 [ 0-9a-f]+: 5443 a220 movf\.d \$f2,\$f3,\$fcc5
6090 [ 0-9a-f]+: 5443 c220 movf\.d \$f2,\$f3,\$fcc6
6091 [ 0-9a-f]+: 5443 e220 movf\.d \$f2,\$f3,\$fcc7
6092 [ 0-9a-f]+: 57df e220 movf\.d \$f30,\$f31,\$fcc7
6093 [ 0-9a-f]+: 5443 0020 movf\.s \$f2,\$f3,\$fcc0
6094 [ 0-9a-f]+: 5443 2020 movf\.s \$f2,\$f3,\$fcc1
6095 [ 0-9a-f]+: 5443 4020 movf\.s \$f2,\$f3,\$fcc2
6096 [ 0-9a-f]+: 5443 6020 movf\.s \$f2,\$f3,\$fcc3
6097 [ 0-9a-f]+: 5443 8020 movf\.s \$f2,\$f3,\$fcc4
6098 [ 0-9a-f]+: 5443 a020 movf\.s \$f2,\$f3,\$fcc5
6099 [ 0-9a-f]+: 5443 c020 movf\.s \$f2,\$f3,\$fcc6
6100 [ 0-9a-f]+: 5443 e020 movf\.s \$f2,\$f3,\$fcc7
6101 [ 0-9a-f]+: 57df e020 movf\.s \$f30,\$f31,\$fcc7
6102 [ 0-9a-f]+: 5443 0420 movf\.ps \$f2,\$f3,\$fcc0
6103 [ 0-9a-f]+: 5443 4420 movf\.ps \$f2,\$f3,\$fcc2
6104 [ 0-9a-f]+: 5443 8420 movf\.ps \$f2,\$f3,\$fcc4
6105 [ 0-9a-f]+: 5443 c420 movf\.ps \$f2,\$f3,\$fcc6
6106 [ 0-9a-f]+: 5443 c420 movf\.ps \$f2,\$f3,\$fcc6
6107 [ 0-9a-f]+: 57df c420 movf\.ps \$f30,\$f31,\$fcc6
6108 [ 0-9a-f]+: 5403 1138 movn\.d \$f2,\$f3,zero
6109 [ 0-9a-f]+: 57e3 1138 movn\.d \$f2,\$f3,ra
6110 [ 0-9a-f]+: 5403 1038 movn\.s \$f2,\$f3,zero
6111 [ 0-9a-f]+: 57e3 1038 movn\.s \$f2,\$f3,ra
6112 [ 0-9a-f]+: 5403 1238 movn\.ps \$f2,\$f3,zero
6113 [ 0-9a-f]+: 57e3 1238 movn\.ps \$f2,\$f3,ra
6114 [ 0-9a-f]+: 5443 0460 movt\.ps \$f2,\$f3,\$fcc0
6115 [ 0-9a-f]+: 5443 4460 movt\.ps \$f2,\$f3,\$fcc2
6116 [ 0-9a-f]+: 5443 8460 movt\.ps \$f2,\$f3,\$fcc4
6117 [ 0-9a-f]+: 5443 c460 movt\.ps \$f2,\$f3,\$fcc6
6118 [ 0-9a-f]+: 5443 c460 movt\.ps \$f2,\$f3,\$fcc6
6119 [ 0-9a-f]+: 57df c460 movt\.ps \$f30,\$f31,\$fcc6
6120 [ 0-9a-f]+: 5403 1178 movz\.d \$f2,\$f3,zero
6121 [ 0-9a-f]+: 57e3 1178 movz\.d \$f2,\$f3,ra
6122 [ 0-9a-f]+: 5403 1078 movz\.s \$f2,\$f3,zero
6123 [ 0-9a-f]+: 57e3 1078 movz\.s \$f2,\$f3,ra
6124 [ 0-9a-f]+: 5403 1278 movz\.ps \$f2,\$f3,zero
6125 [ 0-9a-f]+: 57e3 1278 movz\.ps \$f2,\$f3,ra
6126 [ 0-9a-f]+: 5462 0069 msub\.d \$f0,\$f1,\$f2,\$f3
6127 [ 0-9a-f]+: 57fe e769 msub\.d \$f28,\$f29,\$f30,\$f31
6128 [ 0-9a-f]+: 5462 0061 msub\.s \$f0,\$f1,\$f2,\$f3
6129 [ 0-9a-f]+: 57fe e761 msub\.s \$f28,\$f29,\$f30,\$f31
6130 [ 0-9a-f]+: 5462 0071 msub\.ps \$f0,\$f1,\$f2,\$f3
6131 [ 0-9a-f]+: 57fe e771 msub\.ps \$f28,\$f29,\$f30,\$f31
6132 [ 0-9a-f]+: 54a0 283b mtc1 a1,\$f0
6133 [ 0-9a-f]+: 54a1 283b mtc1 a1,\$f1
6134 [ 0-9a-f]+: 54a2 283b mtc1 a1,\$f2
6135 [ 0-9a-f]+: 54a3 283b mtc1 a1,\$f3
6136 [ 0-9a-f]+: 54a4 283b mtc1 a1,\$f4
6137 [ 0-9a-f]+: 54a5 283b mtc1 a1,\$f5
6138 [ 0-9a-f]+: 54a6 283b mtc1 a1,\$f6
6139 [ 0-9a-f]+: 54a7 283b mtc1 a1,\$f7
6140 [ 0-9a-f]+: 54a8 283b mtc1 a1,\$f8
6141 [ 0-9a-f]+: 54a9 283b mtc1 a1,\$f9
6142 [ 0-9a-f]+: 54aa 283b mtc1 a1,\$f10
6143 [ 0-9a-f]+: 54ab 283b mtc1 a1,\$f11
6144 [ 0-9a-f]+: 54ac 283b mtc1 a1,\$f12
6145 [ 0-9a-f]+: 54ad 283b mtc1 a1,\$f13
6146 [ 0-9a-f]+: 54ae 283b mtc1 a1,\$f14
6147 [ 0-9a-f]+: 54af 283b mtc1 a1,\$f15
6148 [ 0-9a-f]+: 54b0 283b mtc1 a1,\$f16
6149 [ 0-9a-f]+: 54b1 283b mtc1 a1,\$f17
6150 [ 0-9a-f]+: 54b2 283b mtc1 a1,\$f18
6151 [ 0-9a-f]+: 54b3 283b mtc1 a1,\$f19
6152 [ 0-9a-f]+: 54b4 283b mtc1 a1,\$f20
6153 [ 0-9a-f]+: 54b5 283b mtc1 a1,\$f21
6154 [ 0-9a-f]+: 54b6 283b mtc1 a1,\$f22
6155 [ 0-9a-f]+: 54b7 283b mtc1 a1,\$f23
6156 [ 0-9a-f]+: 54b8 283b mtc1 a1,\$f24
6157 [ 0-9a-f]+: 54b9 283b mtc1 a1,\$f25
6158 [ 0-9a-f]+: 54ba 283b mtc1 a1,\$f26
6159 [ 0-9a-f]+: 54bb 283b mtc1 a1,\$f27
6160 [ 0-9a-f]+: 54bc 283b mtc1 a1,\$f28
6161 [ 0-9a-f]+: 54bd 283b mtc1 a1,\$f29
6162 [ 0-9a-f]+: 54be 283b mtc1 a1,\$f30
6163 [ 0-9a-f]+: 54bf 283b mtc1 a1,\$f31
6164 [ 0-9a-f]+: 54a0 283b mtc1 a1,\$f0
6165 [ 0-9a-f]+: 54a1 283b mtc1 a1,\$f1
6166 [ 0-9a-f]+: 54a2 283b mtc1 a1,\$f2
6167 [ 0-9a-f]+: 54a3 283b mtc1 a1,\$f3
6168 [ 0-9a-f]+: 54a4 283b mtc1 a1,\$f4
6169 [ 0-9a-f]+: 54a5 283b mtc1 a1,\$f5
6170 [ 0-9a-f]+: 54a6 283b mtc1 a1,\$f6
6171 [ 0-9a-f]+: 54a7 283b mtc1 a1,\$f7
6172 [ 0-9a-f]+: 54a8 283b mtc1 a1,\$f8
6173 [ 0-9a-f]+: 54a9 283b mtc1 a1,\$f9
6174 [ 0-9a-f]+: 54aa 283b mtc1 a1,\$f10
6175 [ 0-9a-f]+: 54ab 283b mtc1 a1,\$f11
6176 [ 0-9a-f]+: 54ac 283b mtc1 a1,\$f12
6177 [ 0-9a-f]+: 54ad 283b mtc1 a1,\$f13
6178 [ 0-9a-f]+: 54ae 283b mtc1 a1,\$f14
6179 [ 0-9a-f]+: 54af 283b mtc1 a1,\$f15
6180 [ 0-9a-f]+: 54b0 283b mtc1 a1,\$f16
6181 [ 0-9a-f]+: 54b1 283b mtc1 a1,\$f17
6182 [ 0-9a-f]+: 54b2 283b mtc1 a1,\$f18
6183 [ 0-9a-f]+: 54b3 283b mtc1 a1,\$f19
6184 [ 0-9a-f]+: 54b4 283b mtc1 a1,\$f20
6185 [ 0-9a-f]+: 54b5 283b mtc1 a1,\$f21
6186 [ 0-9a-f]+: 54b6 283b mtc1 a1,\$f22
6187 [ 0-9a-f]+: 54b7 283b mtc1 a1,\$f23
6188 [ 0-9a-f]+: 54b8 283b mtc1 a1,\$f24
6189 [ 0-9a-f]+: 54b9 283b mtc1 a1,\$f25
6190 [ 0-9a-f]+: 54ba 283b mtc1 a1,\$f26
6191 [ 0-9a-f]+: 54bb 283b mtc1 a1,\$f27
6192 [ 0-9a-f]+: 54bc 283b mtc1 a1,\$f28
6193 [ 0-9a-f]+: 54bd 283b mtc1 a1,\$f29
6194 [ 0-9a-f]+: 54be 283b mtc1 a1,\$f30
6195 [ 0-9a-f]+: 54bf 283b mtc1 a1,\$f31
6196 [ 0-9a-f]+: 54a0 383b mthc1 a1,\$f0
6197 [ 0-9a-f]+: 54a1 383b mthc1 a1,\$f1
6198 [ 0-9a-f]+: 54a2 383b mthc1 a1,\$f2
6199 [ 0-9a-f]+: 54a3 383b mthc1 a1,\$f3
6200 [ 0-9a-f]+: 54a4 383b mthc1 a1,\$f4
6201 [ 0-9a-f]+: 54a5 383b mthc1 a1,\$f5
6202 [ 0-9a-f]+: 54a6 383b mthc1 a1,\$f6
6203 [ 0-9a-f]+: 54a7 383b mthc1 a1,\$f7
6204 [ 0-9a-f]+: 54a8 383b mthc1 a1,\$f8
6205 [ 0-9a-f]+: 54a9 383b mthc1 a1,\$f9
6206 [ 0-9a-f]+: 54aa 383b mthc1 a1,\$f10
6207 [ 0-9a-f]+: 54ab 383b mthc1 a1,\$f11
6208 [ 0-9a-f]+: 54ac 383b mthc1 a1,\$f12
6209 [ 0-9a-f]+: 54ad 383b mthc1 a1,\$f13
6210 [ 0-9a-f]+: 54ae 383b mthc1 a1,\$f14
6211 [ 0-9a-f]+: 54af 383b mthc1 a1,\$f15
6212 [ 0-9a-f]+: 54b0 383b mthc1 a1,\$f16
6213 [ 0-9a-f]+: 54b1 383b mthc1 a1,\$f17
6214 [ 0-9a-f]+: 54b2 383b mthc1 a1,\$f18
6215 [ 0-9a-f]+: 54b3 383b mthc1 a1,\$f19
6216 [ 0-9a-f]+: 54b4 383b mthc1 a1,\$f20
6217 [ 0-9a-f]+: 54b5 383b mthc1 a1,\$f21
6218 [ 0-9a-f]+: 54b6 383b mthc1 a1,\$f22
6219 [ 0-9a-f]+: 54b7 383b mthc1 a1,\$f23
6220 [ 0-9a-f]+: 54b8 383b mthc1 a1,\$f24
6221 [ 0-9a-f]+: 54b9 383b mthc1 a1,\$f25
6222 [ 0-9a-f]+: 54ba 383b mthc1 a1,\$f26
6223 [ 0-9a-f]+: 54bb 383b mthc1 a1,\$f27
6224 [ 0-9a-f]+: 54bc 383b mthc1 a1,\$f28
6225 [ 0-9a-f]+: 54bd 383b mthc1 a1,\$f29
6226 [ 0-9a-f]+: 54be 383b mthc1 a1,\$f30
6227 [ 0-9a-f]+: 54bf 383b mthc1 a1,\$f31
6228 [ 0-9a-f]+: 54a0 383b mthc1 a1,\$f0
6229 [ 0-9a-f]+: 54a1 383b mthc1 a1,\$f1
6230 [ 0-9a-f]+: 54a2 383b mthc1 a1,\$f2
6231 [ 0-9a-f]+: 54a3 383b mthc1 a1,\$f3
6232 [ 0-9a-f]+: 54a4 383b mthc1 a1,\$f4
6233 [ 0-9a-f]+: 54a5 383b mthc1 a1,\$f5
6234 [ 0-9a-f]+: 54a6 383b mthc1 a1,\$f6
6235 [ 0-9a-f]+: 54a7 383b mthc1 a1,\$f7
6236 [ 0-9a-f]+: 54a8 383b mthc1 a1,\$f8
6237 [ 0-9a-f]+: 54a9 383b mthc1 a1,\$f9
6238 [ 0-9a-f]+: 54aa 383b mthc1 a1,\$f10
6239 [ 0-9a-f]+: 54ab 383b mthc1 a1,\$f11
6240 [ 0-9a-f]+: 54ac 383b mthc1 a1,\$f12
6241 [ 0-9a-f]+: 54ad 383b mthc1 a1,\$f13
6242 [ 0-9a-f]+: 54ae 383b mthc1 a1,\$f14
6243 [ 0-9a-f]+: 54af 383b mthc1 a1,\$f15
6244 [ 0-9a-f]+: 54b0 383b mthc1 a1,\$f16
6245 [ 0-9a-f]+: 54b1 383b mthc1 a1,\$f17
6246 [ 0-9a-f]+: 54b2 383b mthc1 a1,\$f18
6247 [ 0-9a-f]+: 54b3 383b mthc1 a1,\$f19
6248 [ 0-9a-f]+: 54b4 383b mthc1 a1,\$f20
6249 [ 0-9a-f]+: 54b5 383b mthc1 a1,\$f21
6250 [ 0-9a-f]+: 54b6 383b mthc1 a1,\$f22
6251 [ 0-9a-f]+: 54b7 383b mthc1 a1,\$f23
6252 [ 0-9a-f]+: 54b8 383b mthc1 a1,\$f24
6253 [ 0-9a-f]+: 54b9 383b mthc1 a1,\$f25
6254 [ 0-9a-f]+: 54ba 383b mthc1 a1,\$f26
6255 [ 0-9a-f]+: 54bb 383b mthc1 a1,\$f27
6256 [ 0-9a-f]+: 54bc 383b mthc1 a1,\$f28
6257 [ 0-9a-f]+: 54bd 383b mthc1 a1,\$f29
6258 [ 0-9a-f]+: 54be 383b mthc1 a1,\$f30
6259 [ 0-9a-f]+: 54bf 383b mthc1 a1,\$f31
6260 [ 0-9a-f]+: 5441 00b0 mul\.s \$f0,\$f1,\$f2
6261 [ 0-9a-f]+: 57fe e8b0 mul\.s \$f29,\$f30,\$f31
6262 [ 0-9a-f]+: 57dd e8b0 mul\.s \$f29,\$f29,\$f30
6263 [ 0-9a-f]+: 57dd e8b0 mul\.s \$f29,\$f29,\$f30
6264 [ 0-9a-f]+: 5441 01b0 mul\.d \$f0,\$f1,\$f2
6265 [ 0-9a-f]+: 57fe e9b0 mul\.d \$f29,\$f30,\$f31
6266 [ 0-9a-f]+: 57dd e9b0 mul\.d \$f29,\$f29,\$f30
6267 [ 0-9a-f]+: 57dd e9b0 mul\.d \$f29,\$f29,\$f30
6268 [ 0-9a-f]+: 5441 02b0 mul\.ps \$f0,\$f1,\$f2
6269 [ 0-9a-f]+: 57fe eab0 mul\.ps \$f29,\$f30,\$f31
6270 [ 0-9a-f]+: 57dd eab0 mul\.ps \$f29,\$f29,\$f30
6271 [ 0-9a-f]+: 57dd eab0 mul\.ps \$f29,\$f29,\$f30
6272 [ 0-9a-f]+: 5401 0b7b neg\.s \$f0,\$f1
6273 [ 0-9a-f]+: 57df 0b7b neg\.s \$f30,\$f31
6274 [ 0-9a-f]+: 5442 0b7b neg\.s \$f2,\$f2
6275 [ 0-9a-f]+: 5442 0b7b neg\.s \$f2,\$f2
6276 [ 0-9a-f]+: 5401 2b7b neg\.d \$f0,\$f1
6277 [ 0-9a-f]+: 57df 2b7b neg\.d \$f30,\$f31
6278 [ 0-9a-f]+: 5442 2b7b neg\.d \$f2,\$f2
6279 [ 0-9a-f]+: 5442 2b7b neg\.d \$f2,\$f2
6280 [ 0-9a-f]+: 5401 4b7b neg\.ps \$f0,\$f1
6281 [ 0-9a-f]+: 57df 4b7b neg\.ps \$f30,\$f31
6282 [ 0-9a-f]+: 5442 4b7b neg\.ps \$f2,\$f2
6283 [ 0-9a-f]+: 5442 4b7b neg\.ps \$f2,\$f2
6284 [ 0-9a-f]+: 5462 004a nmadd\.d \$f0,\$f1,\$f2,\$f3
6285 [ 0-9a-f]+: 57fe e74a nmadd\.d \$f28,\$f29,\$f30,\$f31
6286 [ 0-9a-f]+: 5462 0042 nmadd\.s \$f0,\$f1,\$f2,\$f3
6287 [ 0-9a-f]+: 57fe e742 nmadd\.s \$f28,\$f29,\$f30,\$f31
6288 [ 0-9a-f]+: 5462 0052 nmadd\.ps \$f0,\$f1,\$f2,\$f3
6289 [ 0-9a-f]+: 57fe e752 nmadd\.ps \$f28,\$f29,\$f30,\$f31
6290 [ 0-9a-f]+: 5462 006a nmsub\.d \$f0,\$f1,\$f2,\$f3
6291 [ 0-9a-f]+: 57fe e76a nmsub\.d \$f28,\$f29,\$f30,\$f31
6292 [ 0-9a-f]+: 5462 0062 nmsub\.s \$f0,\$f1,\$f2,\$f3
6293 [ 0-9a-f]+: 57fe e762 nmsub\.s \$f28,\$f29,\$f30,\$f31
6294 [ 0-9a-f]+: 5462 0072 nmsub\.ps \$f0,\$f1,\$f2,\$f3
6295 [ 0-9a-f]+: 57fe e772 nmsub\.ps \$f28,\$f29,\$f30,\$f31
6296 [ 0-9a-f]+: 5441 0080 pll\.ps \$f0,\$f1,\$f2
6297 [ 0-9a-f]+: 57fe e880 pll\.ps \$f29,\$f30,\$f31
6298 [ 0-9a-f]+: 57dd e880 pll\.ps \$f29,\$f29,\$f30
6299 [ 0-9a-f]+: 57dd e880 pll\.ps \$f29,\$f29,\$f30
6300 [ 0-9a-f]+: 5441 00c0 plu\.ps \$f0,\$f1,\$f2
6301 [ 0-9a-f]+: 57fe e8c0 plu\.ps \$f29,\$f30,\$f31
6302 [ 0-9a-f]+: 57dd e8c0 plu\.ps \$f29,\$f29,\$f30
6303 [ 0-9a-f]+: 57dd e8c0 plu\.ps \$f29,\$f29,\$f30
6304 [ 0-9a-f]+: 5441 0100 pul\.ps \$f0,\$f1,\$f2
6305 [ 0-9a-f]+: 57fe e900 pul\.ps \$f29,\$f30,\$f31
6306 [ 0-9a-f]+: 57dd e900 pul\.ps \$f29,\$f29,\$f30
6307 [ 0-9a-f]+: 57dd e900 pul\.ps \$f29,\$f29,\$f30
6308 [ 0-9a-f]+: 5441 0140 puu\.ps \$f0,\$f1,\$f2
6309 [ 0-9a-f]+: 57fe e940 puu\.ps \$f29,\$f30,\$f31
6310 [ 0-9a-f]+: 57dd e940 puu\.ps \$f29,\$f29,\$f30
6311 [ 0-9a-f]+: 57dd e940 puu\.ps \$f29,\$f29,\$f30
6312 [ 0-9a-f]+: 5401 123b recip\.s \$f0,\$f1
6313 [ 0-9a-f]+: 57df 123b recip\.s \$f30,\$f31
6314 [ 0-9a-f]+: 5442 123b recip\.s \$f2,\$f2
6315 [ 0-9a-f]+: 5401 523b recip\.d \$f0,\$f1
6316 [ 0-9a-f]+: 57df 523b recip\.d \$f30,\$f31
6317 [ 0-9a-f]+: 5442 523b recip\.d \$f2,\$f2
6318 [ 0-9a-f]+: 5401 333b round\.l\.s \$f0,\$f1
6319 [ 0-9a-f]+: 57df 333b round\.l\.s \$f30,\$f31
6320 [ 0-9a-f]+: 5442 333b round\.l\.s \$f2,\$f2
6321 [ 0-9a-f]+: 5401 733b round\.l\.d \$f0,\$f1
6322 [ 0-9a-f]+: 57df 733b round\.l\.d \$f30,\$f31
6323 [ 0-9a-f]+: 5442 733b round\.l\.d \$f2,\$f2
6324 [ 0-9a-f]+: 5401 3b3b round\.w\.s \$f0,\$f1
6325 [ 0-9a-f]+: 57df 3b3b round\.w\.s \$f30,\$f31
6326 [ 0-9a-f]+: 5442 3b3b round\.w\.s \$f2,\$f2
6327 [ 0-9a-f]+: 5401 7b3b round\.w\.d \$f0,\$f1
6328 [ 0-9a-f]+: 57df 7b3b round\.w\.d \$f30,\$f31
6329 [ 0-9a-f]+: 5442 7b3b round\.w\.d \$f2,\$f2
6330 [ 0-9a-f]+: 5401 023b rsqrt\.s \$f0,\$f1
6331 [ 0-9a-f]+: 57df 023b rsqrt\.s \$f30,\$f31
6332 [ 0-9a-f]+: 5442 023b rsqrt\.s \$f2,\$f2
6333 [ 0-9a-f]+: 5401 423b rsqrt\.d \$f0,\$f1
6334 [ 0-9a-f]+: 57df 423b rsqrt\.d \$f30,\$f31
6335 [ 0-9a-f]+: 5442 423b rsqrt\.d \$f2,\$f2
6336 [ 0-9a-f]+: b860 0000 sdc1 \$f3,0\(zero\)
6337 [ 0-9a-f]+: b860 0000 sdc1 \$f3,0\(zero\)
6338 [ 0-9a-f]+: b860 0004 sdc1 \$f3,4\(zero\)
6339 [ 0-9a-f]+: b860 0004 sdc1 \$f3,4\(zero\)
6340 [ 0-9a-f]+: b864 0000 sdc1 \$f3,0\(a0\)
6341 [ 0-9a-f]+: b864 0000 sdc1 \$f3,0\(a0\)
6342 [ 0-9a-f]+: b864 7fff sdc1 \$f3,32767\(a0\)
6343 [ 0-9a-f]+: b864 8000 sdc1 \$f3,-32768\(a0\)
6344 [ 0-9a-f]+: 41a1 0001 lui at,0x1
6345 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6346 [ 0-9a-f]+: b861 ffff sdc1 \$f3,-1\(at\)
6347 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
6348 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6349 [ 0-9a-f]+: b861 0000 sdc1 \$f3,0\(at\)
6350 [ 0-9a-f]+: b864 8000 sdc1 \$f3,-32768\(a0\)
6351 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
6352 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6353 [ 0-9a-f]+: b861 0001 sdc1 \$f3,1\(at\)
6354 [ 0-9a-f]+: b864 8001 sdc1 \$f3,-32767\(a0\)
6355 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
6356 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6357 [ 0-9a-f]+: b861 0000 sdc1 \$f3,0\(at\)
6358 [ 0-9a-f]+: b864 ffff sdc1 \$f3,-1\(a0\)
6359 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
6360 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6361 [ 0-9a-f]+: b861 5678 sdc1 \$f3,22136\(at\)
6362 [ 0-9a-f]+: b860 0000 sdc1 \$f3,0\(zero\)
6363 [ 0-9a-f]+: b860 0000 sdc1 \$f3,0\(zero\)
6364 [ 0-9a-f]+: b860 0004 sdc1 \$f3,4\(zero\)
6365 [ 0-9a-f]+: b860 0004 sdc1 \$f3,4\(zero\)
6366 [ 0-9a-f]+: b864 0000 sdc1 \$f3,0\(a0\)
6367 [ 0-9a-f]+: b864 0000 sdc1 \$f3,0\(a0\)
6368 [ 0-9a-f]+: b864 7fff sdc1 \$f3,32767\(a0\)
6369 [ 0-9a-f]+: b864 8000 sdc1 \$f3,-32768\(a0\)
6370 [ 0-9a-f]+: 41a1 0001 lui at,0x1
6371 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6372 [ 0-9a-f]+: b861 ffff sdc1 \$f3,-1\(at\)
6373 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
6374 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6375 [ 0-9a-f]+: b861 0000 sdc1 \$f3,0\(at\)
6376 [ 0-9a-f]+: b864 8000 sdc1 \$f3,-32768\(a0\)
6377 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
6378 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6379 [ 0-9a-f]+: b861 0001 sdc1 \$f3,1\(at\)
6380 [ 0-9a-f]+: b864 8001 sdc1 \$f3,-32767\(a0\)
6381 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
6382 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6383 [ 0-9a-f]+: b861 0000 sdc1 \$f3,0\(at\)
6384 [ 0-9a-f]+: b864 ffff sdc1 \$f3,-1\(a0\)
6385 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
6386 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6387 [ 0-9a-f]+: b861 5678 sdc1 \$f3,22136\(at\)
6388 [ 0-9a-f]+: b860 0000 sdc1 \$f3,0\(zero\)
6389 [ 0-9a-f]+: b860 0000 sdc1 \$f3,0\(zero\)
6390 [ 0-9a-f]+: b860 0004 sdc1 \$f3,4\(zero\)
6391 [ 0-9a-f]+: b860 0004 sdc1 \$f3,4\(zero\)
6392 [ 0-9a-f]+: b864 0000 sdc1 \$f3,0\(a0\)
6393 [ 0-9a-f]+: b864 0000 sdc1 \$f3,0\(a0\)
6394 [ 0-9a-f]+: b864 7fff sdc1 \$f3,32767\(a0\)
6395 [ 0-9a-f]+: b864 8000 sdc1 \$f3,-32768\(a0\)
6396 [ 0-9a-f]+: 5400 0108 sdxc1 \$f0,zero\(zero\)
6397 [ 0-9a-f]+: 5402 0108 sdxc1 \$f0,zero\(v0\)
6398 [ 0-9a-f]+: 541f 0108 sdxc1 \$f0,zero\(ra\)
6399 [ 0-9a-f]+: 545f 0108 sdxc1 \$f0,v0\(ra\)
6400 [ 0-9a-f]+: 57ff 0108 sdxc1 \$f0,ra\(ra\)
6401 [ 0-9a-f]+: 57ff 0908 sdxc1 \$f1,ra\(ra\)
6402 [ 0-9a-f]+: 57ff 1108 sdxc1 \$f2,ra\(ra\)
6403 [ 0-9a-f]+: 57ff f908 sdxc1 \$f31,ra\(ra\)
6404 [ 0-9a-f]+: 5401 0a3b sqrt\.s \$f0,\$f1
6405 [ 0-9a-f]+: 57df 0a3b sqrt\.s \$f30,\$f31
6406 [ 0-9a-f]+: 5442 0a3b sqrt\.s \$f2,\$f2
6407 [ 0-9a-f]+: 5401 4a3b sqrt\.d \$f0,\$f1
6408 [ 0-9a-f]+: 57df 4a3b sqrt\.d \$f30,\$f31
6409 [ 0-9a-f]+: 5442 4a3b sqrt\.d \$f2,\$f2
6410 [ 0-9a-f]+: 5441 0070 sub\.s \$f0,\$f1,\$f2
6411 [ 0-9a-f]+: 57fe e870 sub\.s \$f29,\$f30,\$f31
6412 [ 0-9a-f]+: 57dd e870 sub\.s \$f29,\$f29,\$f30
6413 [ 0-9a-f]+: 57dd e870 sub\.s \$f29,\$f29,\$f30
6414 [ 0-9a-f]+: 5441 0170 sub\.d \$f0,\$f1,\$f2
6415 [ 0-9a-f]+: 57fe e970 sub\.d \$f29,\$f30,\$f31
6416 [ 0-9a-f]+: 57dd e970 sub\.d \$f29,\$f29,\$f30
6417 [ 0-9a-f]+: 57dd e970 sub\.d \$f29,\$f29,\$f30
6418 [ 0-9a-f]+: 5441 0270 sub\.ps \$f0,\$f1,\$f2
6419 [ 0-9a-f]+: 57fe ea70 sub\.ps \$f29,\$f30,\$f31
6420 [ 0-9a-f]+: 57dd ea70 sub\.ps \$f29,\$f29,\$f30
6421 [ 0-9a-f]+: 57dd ea70 sub\.ps \$f29,\$f29,\$f30
6422 [ 0-9a-f]+: 5400 0188 suxc1 \$f0,zero\(zero\)
6423 [ 0-9a-f]+: 5402 0188 suxc1 \$f0,zero\(v0\)
6424 [ 0-9a-f]+: 541f 0188 suxc1 \$f0,zero\(ra\)
6425 [ 0-9a-f]+: 545f 0188 suxc1 \$f0,v0\(ra\)
6426 [ 0-9a-f]+: 57ff 0188 suxc1 \$f0,ra\(ra\)
6427 [ 0-9a-f]+: 57ff 0988 suxc1 \$f1,ra\(ra\)
6428 [ 0-9a-f]+: 57ff 1188 suxc1 \$f2,ra\(ra\)
6429 [ 0-9a-f]+: 57ff f988 suxc1 \$f31,ra\(ra\)
6430 [ 0-9a-f]+: 9860 0000 swc1 \$f3,0\(zero\)
6431 [ 0-9a-f]+: 9860 0000 swc1 \$f3,0\(zero\)
6432 [ 0-9a-f]+: 9860 0004 swc1 \$f3,4\(zero\)
6433 [ 0-9a-f]+: 9860 0004 swc1 \$f3,4\(zero\)
6434 [ 0-9a-f]+: 9864 0000 swc1 \$f3,0\(a0\)
6435 [ 0-9a-f]+: 9864 0000 swc1 \$f3,0\(a0\)
6436 [ 0-9a-f]+: 9864 7fff swc1 \$f3,32767\(a0\)
6437 [ 0-9a-f]+: 9864 8000 swc1 \$f3,-32768\(a0\)
6438 [ 0-9a-f]+: 41a1 0001 lui at,0x1
6439 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6440 [ 0-9a-f]+: 9861 ffff swc1 \$f3,-1\(at\)
6441 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
6442 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6443 [ 0-9a-f]+: 9861 0000 swc1 \$f3,0\(at\)
6444 [ 0-9a-f]+: 9864 8000 swc1 \$f3,-32768\(a0\)
6445 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
6446 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6447 [ 0-9a-f]+: 9861 0001 swc1 \$f3,1\(at\)
6448 [ 0-9a-f]+: 9864 8001 swc1 \$f3,-32767\(a0\)
6449 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
6450 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6451 [ 0-9a-f]+: 9861 0000 swc1 \$f3,0\(at\)
6452 [ 0-9a-f]+: 9864 ffff swc1 \$f3,-1\(a0\)
6453 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
6454 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6455 [ 0-9a-f]+: 9861 5678 swc1 \$f3,22136\(at\)
6456 [ 0-9a-f]+: 9860 0000 swc1 \$f3,0\(zero\)
6457 [ 0-9a-f]+: 9860 0000 swc1 \$f3,0\(zero\)
6458 [ 0-9a-f]+: 9860 0004 swc1 \$f3,4\(zero\)
6459 [ 0-9a-f]+: 9860 0004 swc1 \$f3,4\(zero\)
6460 [ 0-9a-f]+: 9864 0000 swc1 \$f3,0\(a0\)
6461 [ 0-9a-f]+: 9864 0000 swc1 \$f3,0\(a0\)
6462 [ 0-9a-f]+: 9864 7fff swc1 \$f3,32767\(a0\)
6463 [ 0-9a-f]+: 9864 8000 swc1 \$f3,-32768\(a0\)
6464 [ 0-9a-f]+: 41a1 0001 lui at,0x1
6465 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6466 [ 0-9a-f]+: 9861 ffff swc1 \$f3,-1\(at\)
6467 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
6468 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6469 [ 0-9a-f]+: 9861 0000 swc1 \$f3,0\(at\)
6470 [ 0-9a-f]+: 9864 8000 swc1 \$f3,-32768\(a0\)
6471 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
6472 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6473 [ 0-9a-f]+: 9861 0001 swc1 \$f3,1\(at\)
6474 [ 0-9a-f]+: 9864 8001 swc1 \$f3,-32767\(a0\)
6475 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
6476 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6477 [ 0-9a-f]+: 9861 0000 swc1 \$f3,0\(at\)
6478 [ 0-9a-f]+: 9864 ffff swc1 \$f3,-1\(a0\)
6479 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
6480 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6481 [ 0-9a-f]+: 9861 5678 swc1 \$f3,22136\(at\)
6482 [ 0-9a-f]+: 9860 0000 swc1 \$f3,0\(zero\)
6483 [ 0-9a-f]+: 9860 0000 swc1 \$f3,0\(zero\)
6484 [ 0-9a-f]+: 9860 0004 swc1 \$f3,4\(zero\)
6485 [ 0-9a-f]+: 9860 0004 swc1 \$f3,4\(zero\)
6486 [ 0-9a-f]+: 9864 0000 swc1 \$f3,0\(a0\)
6487 [ 0-9a-f]+: 9864 0000 swc1 \$f3,0\(a0\)
6488 [ 0-9a-f]+: 9864 7fff swc1 \$f3,32767\(a0\)
6489 [ 0-9a-f]+: 9864 8000 swc1 \$f3,-32768\(a0\)
6490 [ 0-9a-f]+: 41a1 0001 lui at,0x1
6491 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6492 [ 0-9a-f]+: 9861 ffff swc1 \$f3,-1\(at\)
6493 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
6494 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6495 [ 0-9a-f]+: 9861 0000 swc1 \$f3,0\(at\)
6496 [ 0-9a-f]+: 9864 8000 swc1 \$f3,-32768\(a0\)
6497 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
6498 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6499 [ 0-9a-f]+: 9861 0001 swc1 \$f3,1\(at\)
6500 [ 0-9a-f]+: 9864 8001 swc1 \$f3,-32767\(a0\)
6501 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
6502 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6503 [ 0-9a-f]+: 9861 0000 swc1 \$f3,0\(at\)
6504 [ 0-9a-f]+: 9864 ffff swc1 \$f3,-1\(a0\)
6505 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
6506 [ 0-9a-f]+: 0081 0950 addu at,at,a0
6507 [ 0-9a-f]+: 9861 5678 swc1 \$f3,22136\(at\)
6508 [ 0-9a-f]+: 5400 0048 lwxc1 \$f0,zero\(zero\)
6509 [ 0-9a-f]+: 5402 0048 lwxc1 \$f0,zero\(v0\)
6510 [ 0-9a-f]+: 541f 0048 lwxc1 \$f0,zero\(ra\)
6511 [ 0-9a-f]+: 545f 0048 lwxc1 \$f0,v0\(ra\)
6512 [ 0-9a-f]+: 57ff 0048 lwxc1 \$f0,ra\(ra\)
6513 [ 0-9a-f]+: 57ff 0848 lwxc1 \$f1,ra\(ra\)
6514 [ 0-9a-f]+: 57ff 1048 lwxc1 \$f2,ra\(ra\)
6515 [ 0-9a-f]+: 57ff f848 lwxc1 \$f31,ra\(ra\)
6516 [ 0-9a-f]+: 5401 233b trunc\.l\.s \$f0,\$f1
6517 [ 0-9a-f]+: 57df 233b trunc\.l\.s \$f30,\$f31
6518 [ 0-9a-f]+: 5442 233b trunc\.l\.s \$f2,\$f2
6519 [ 0-9a-f]+: 5401 633b trunc\.l\.d \$f0,\$f1
6520 [ 0-9a-f]+: 57df 633b trunc\.l\.d \$f30,\$f31
6521 [ 0-9a-f]+: 5442 633b trunc\.l\.d \$f2,\$f2
6522 [ 0-9a-f]+: 5401 2b3b trunc\.w\.s \$f0,\$f1
6523 [ 0-9a-f]+: 57df 2b3b trunc\.w\.s \$f30,\$f31
6524 [ 0-9a-f]+: 5442 2b3b trunc\.w\.s \$f2,\$f2
6525 [ 0-9a-f]+: 5401 6b3b trunc\.w\.d \$f0,\$f1
6526 [ 0-9a-f]+: 57df 6b3b trunc\.w\.d \$f30,\$f31
6527 [ 0-9a-f]+: 5442 6b3b trunc\.w\.d \$f2,\$f2
6528 [ 0-9a-f]+: 5443 017b movf v0,v1,\$fcc0
6529 [ 0-9a-f]+: 57df 017b movf s8,ra,\$fcc0
6530 [ 0-9a-f]+: 57df 217b movf s8,ra,\$fcc1
6531 [ 0-9a-f]+: 57df 417b movf s8,ra,\$fcc2
6532 [ 0-9a-f]+: 57df 617b movf s8,ra,\$fcc3
6533 [ 0-9a-f]+: 57df 817b movf s8,ra,\$fcc4
6534 [ 0-9a-f]+: 57df a17b movf s8,ra,\$fcc5
6535 [ 0-9a-f]+: 57df c17b movf s8,ra,\$fcc6
6536 [ 0-9a-f]+: 57df e17b movf s8,ra,\$fcc7
6537 [ 0-9a-f]+: 5443 097b movt v0,v1,\$fcc0
6538 [ 0-9a-f]+: 57df 097b movt s8,ra,\$fcc0
6539 [ 0-9a-f]+: 57df 297b movt s8,ra,\$fcc1
6540 [ 0-9a-f]+: 57df 497b movt s8,ra,\$fcc2
6541 [ 0-9a-f]+: 57df 697b movt s8,ra,\$fcc3
6542 [ 0-9a-f]+: 57df 897b movt s8,ra,\$fcc4
6543 [ 0-9a-f]+: 57df a97b movt s8,ra,\$fcc5
6544 [ 0-9a-f]+: 57df c97b movt s8,ra,\$fcc6
6545 [ 0-9a-f]+: 57df e97b movt s8,ra,\$fcc7
6546 [ 0-9a-f]+: 43a4 fffe bc1t \$fcc1,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
6547 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
6548 [ 0-9a-f]+: 0c00 nop
6549 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <fp_test\+0x[0-9a-f]+>
6550 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
6551 [ 0-9a-f]+: 05d8 addu v1,a0,a1
6552
6553 [0-9a-f]+ <.*>:
6554 [ 0-9a-f]+: 4388 fffe bc1f \$fcc2,[0-9a-f]+ <.*>
6555 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
6556 [ 0-9a-f]+: 0c00 nop
6557 [ 0-9a-f]+: 9400 fffe b [0-9a-f]+ <.*\+0x[0-9a-f]+>
6558 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
6559 [ 0-9a-f]+: 0107 3150 addu a2,a3,t0
6560
6561 [0-9a-f]+ <.*>:
6562 [ 0-9a-f]+: 438c fffe bc1f \$fcc3,[0-9a-f]+ <.*>
6563 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
6564 [ 0-9a-f]+: 0c00 nop
6565 [ 0-9a-f]+: 05d8 addu v1,a0,a1
6566 [ 0-9a-f]+: 43b0 fffe bc1t \$fcc4,[0-9a-f]+ <.*\+0x[0-9a-f]+>
6567 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test
6568 [ 0-9a-f]+: 0c00 nop
6569 [ 0-9a-f]+: 0107 3150 addu a2,a3,t0
6570
6571 [0-9a-f]+ <test_mips64>:
6572 [ 0-9a-f]+: 4043 fffe bgez v1,[0-9a-f]+ <test_mips64>
6573 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
6574 [ 0-9a-f]+: 0c43 move v0,v1
6575 [ 0-9a-f]+: 5860 1190 dneg v0,v1
6576
6577 [0-9a-f]+ <.*>:
6578 [ 0-9a-f]+: 4042 fffe bgez v0,[0-9a-f]+ <.*>
6579 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
6580 [ 0-9a-f]+: 0c00 nop
6581 [ 0-9a-f]+: 5840 1190 dneg v0,v0
6582
6583 [0-9a-f]+ <.*>:
6584 [ 0-9a-f]+: 4042 fffe bgez v0,[0-9a-f]+ <.*>
6585 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
6586 [ 0-9a-f]+: 0c00 nop
6587 [ 0-9a-f]+: 5840 1190 dneg v0,v0
6588
6589 [0-9a-f]+ <.*>:
6590 [ 0-9a-f]+: 5883 1110 dadd v0,v1,a0
6591 [ 0-9a-f]+: 5bfe e910 dadd sp,s8,ra
6592 [ 0-9a-f]+: 5862 1110 dadd v0,v0,v1
6593 [ 0-9a-f]+: 5862 1110 dadd v0,v0,v1
6594 [ 0-9a-f]+: 5843 001c daddi v0,v1,0
6595 [ 0-9a-f]+: 5843 005c daddi v0,v1,1
6596 [ 0-9a-f]+: 5843 801c daddi v0,v1,-512
6597 [ 0-9a-f]+: 5843 7fdc daddi v0,v1,511
6598 [ 0-9a-f]+: 3020 7fff li at,32767
6599 [ 0-9a-f]+: 5823 1110 dadd v0,v1,at
6600 [ 0-9a-f]+: 3020 8000 li at,-32768
6601 [ 0-9a-f]+: 5823 1110 dadd v0,v1,at
6602 [ 0-9a-f]+: 5020 ffff li at,0xffff
6603 [ 0-9a-f]+: 5823 1110 dadd v0,v1,at
6604 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
6605 [ 0-9a-f]+: 5021 5678 ori at,at,0x5678
6606 [ 0-9a-f]+: 5823 1110 dadd v0,v1,at
6607 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
6608 [ 0-9a-f]+: 5021 5678 ori at,at,0x5678
6609 [ 0-9a-f]+: 5821 8000 dsll at,at,0x10
6610 [ 0-9a-f]+: 5021 8765 ori at,at,0x8765
6611 [ 0-9a-f]+: 5821 8000 dsll at,at,0x10
6612 [ 0-9a-f]+: 5021 4321 ori at,at,0x4321
6613 [ 0-9a-f]+: 5823 1110 dadd v0,v1,at
6614 [ 0-9a-f]+: 5843 001c daddi v0,v1,0
6615 [ 0-9a-f]+: 5843 005c daddi v0,v1,1
6616 [ 0-9a-f]+: 5843 801c daddi v0,v1,-512
6617 [ 0-9a-f]+: 5843 7fdc daddi v0,v1,511
6618 [ 0-9a-f]+: 5842 7fdc daddi v0,v0,511
6619 [ 0-9a-f]+: 5842 7fdc daddi v0,v0,511
6620 [ 0-9a-f]+: 3020 7fff li at,32767
6621 [ 0-9a-f]+: 5823 1110 dadd v0,v1,at
6622 [ 0-9a-f]+: 3020 8000 li at,-32768
6623 [ 0-9a-f]+: 5823 1110 dadd v0,v1,at
6624 [ 0-9a-f]+: 5020 ffff li at,0xffff
6625 [ 0-9a-f]+: 5823 1110 dadd v0,v1,at
6626 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
6627 [ 0-9a-f]+: 5021 5678 ori at,at,0x5678
6628 [ 0-9a-f]+: 5823 1110 dadd v0,v1,at
6629 [ 0-9a-f]+: 5c43 0000 daddiu v0,v1,0
6630 [ 0-9a-f]+: 5c43 8000 daddiu v0,v1,-32768
6631 [ 0-9a-f]+: 5c43 7fff daddiu v0,v1,32767
6632 [ 0-9a-f]+: 5c42 7fff daddiu v0,v0,32767
6633 [ 0-9a-f]+: 5c42 7fff daddiu v0,v0,32767
6634 [ 0-9a-f]+: 5883 1150 daddu v0,v1,a0
6635 [ 0-9a-f]+: 5bfe e950 daddu sp,s8,ra
6636 [ 0-9a-f]+: 5862 1150 daddu v0,v0,v1
6637 [ 0-9a-f]+: 5862 1150 daddu v0,v0,v1
6638 [ 0-9a-f]+: 5803 1150 move v0,v1
6639 [ 0-9a-f]+: 5c43 0000 daddiu v0,v1,0
6640 [ 0-9a-f]+: 5c43 0001 daddiu v0,v1,1
6641 [ 0-9a-f]+: 5c43 7fff daddiu v0,v1,32767
6642 [ 0-9a-f]+: 5c43 8000 daddiu v0,v1,-32768
6643 [ 0-9a-f]+: 5020 ffff li at,0xffff
6644 [ 0-9a-f]+: 5823 1150 daddu v0,v1,at
6645 [ 0-9a-f]+: 5843 4b3c dclo v0,v1
6646 [ 0-9a-f]+: 5862 4b3c dclo v1,v0
6647 [ 0-9a-f]+: 5843 5b3c dclz v0,v1
6648 [ 0-9a-f]+: 5862 5b3c dclz v1,v0
6649 [ 0-9a-f]+: 5862 ab3c ddiv zero,v0,v1
6650 [ 0-9a-f]+: 5bfe ab3c ddiv zero,s8,ra
6651 [ 0-9a-f]+: 5860 ab3c ddiv zero,zero,v1
6652 [ 0-9a-f]+: 5be0 ab3c ddiv zero,zero,ra
6653 [ 0-9a-f]+: 0000 703c teq zero,zero,0x7
6654 [ 0-9a-f]+: 0004 703c teq a0,zero,0x7
6655 [ 0-9a-f]+: 5883 ab3c ddiv zero,v1,a0
6656 [ 0-9a-f]+: 3020 ffff li at,-1
6657 [ 0-9a-f]+: b424 fffe bne a0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
6658 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
6659 [ 0-9a-f]+: 3020 0001 li at,1
6660 [ 0-9a-f]+: 5821 f808 dsll32 at,at,0x1f
6661 [ 0-9a-f]+: 0023 603c teq v1,at,0x6
6662
6663 [0-9a-f]+ <.*>:
6664 [ 0-9a-f]+: 4642 mflo v0
6665 [ 0-9a-f]+: 0000 703c teq zero,zero,0x7
6666 [ 0-9a-f]+: 0c64 move v1,a0
6667 [ 0-9a-f]+: 5880 1990 dneg v1,a0
6668 [ 0-9a-f]+: 3020 0002 li at,2
6669 [ 0-9a-f]+: 5824 ab3c ddiv zero,a0,at
6670 [ 0-9a-f]+: 4643 mflo v1
6671 [ 0-9a-f]+: 5862 bb3c ddivu zero,v0,v1
6672 [ 0-9a-f]+: 5bfe bb3c ddivu zero,s8,ra
6673 [ 0-9a-f]+: 5860 bb3c ddivu zero,zero,v1
6674 [ 0-9a-f]+: 5be0 bb3c ddivu zero,zero,ra
6675 [ 0-9a-f]+: 0000 703c teq zero,zero,0x7
6676 [ 0-9a-f]+: 5803 bb3c ddivu zero,v1,zero
6677 [ 0-9a-f]+: 4642 mflo v0
6678 [ 0-9a-f]+: 0004 703c teq a0,zero,0x7
6679 [ 0-9a-f]+: 5883 bb3c ddivu zero,v1,a0
6680 [ 0-9a-f]+: 4642 mflo v0
6681 [ 0-9a-f]+: 0000 703c teq zero,zero,0x7
6682 [ 0-9a-f]+: 0c64 move v1,a0
6683 [ 0-9a-f]+: 3020 ffff li at,-1
6684 [ 0-9a-f]+: 5824 bb3c ddivu zero,a0,at
6685 [ 0-9a-f]+: 4643 mflo v1
6686 [ 0-9a-f]+: 3020 0002 li at,2
6687 [ 0-9a-f]+: 5824 bb3c ddivu zero,a0,at
6688 [ 0-9a-f]+: 4643 mflo v1
6689 [ 0-9a-f]+: 5843 07ec dext v0,v1,0x1f,0x1
6690 [ 0-9a-f]+: 5843 f82c dext v0,v1,0x0,0x20
6691 [ 0-9a-f]+: 5843 07e4 dextm v0,v1,0x1f,0x21
6692 [ 0-9a-f]+: 5843 07e4 dextm v0,v1,0x1f,0x21
6693 [ 0-9a-f]+: 5843 4854 dextu v0,v1,0x21,0xa
6694 [ 0-9a-f]+: 5843 4854 dextu v0,v1,0x21,0xa
6695 [ 0-9a-f]+: 5843 ffcc dins v0,v1,0x1f,0x1
6696 [ 0-9a-f]+: 5843 f80c dins v0,v1,0x0,0x20
6697 [ 0-9a-f]+: 5843 ffc4 dinsm v0,v1,0x1f,0x21
6698 [ 0-9a-f]+: 5843 ffc4 dinsm v0,v1,0x1f,0x21
6699 [ 0-9a-f]+: 5843 5074 dinsu v0,v1,0x21,0xa
6700 [ 0-9a-f]+: 5843 5074 dinsu v0,v1,0x21,0xa
6701 [ 0-9a-f]+: 41a2 0000 lui v0,0x0
6702 [ ]*[0-9a-f]+: R_MICROMIPS_HI16 test
6703 [ 0-9a-f]+: 3042 0000 addiu v0,v0,0
6704 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
6705 [ 0-9a-f]+: 41a2 0000 lui v0,0x0
6706 [ ]*[0-9a-f]+: R_MICROMIPS_HI16 test
6707 [ 0-9a-f]+: 3042 0000 addiu v0,v0,0
6708 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
6709 [ 0-9a-f]+: 3040 8000 li v0,-32768
6710 [ 0-9a-f]+: 3040 7fff li v0,32767
6711 [ 0-9a-f]+: 5040 ffff li v0,0xffff
6712 [ 0-9a-f]+: 41a2 1234 lui v0,0x1234
6713 [ 0-9a-f]+: 5042 5678 ori v0,v0,0x5678
6714 [ 0-9a-f]+: 5840 00fc dmfc0 v0,c0_index
6715 [ 0-9a-f]+: 5841 00fc dmfc0 v0,c0_random
6716 [ 0-9a-f]+: 5842 00fc dmfc0 v0,c0_entrylo0
6717 [ 0-9a-f]+: 5843 00fc dmfc0 v0,c0_entrylo1
6718 [ 0-9a-f]+: 5844 00fc dmfc0 v0,c0_context
6719 [ 0-9a-f]+: 5845 00fc dmfc0 v0,c0_pagemask
6720 [ 0-9a-f]+: 5846 00fc dmfc0 v0,c0_wired
6721 [ 0-9a-f]+: 5847 00fc dmfc0 v0,c0_hwrena
6722 [ 0-9a-f]+: 5848 00fc dmfc0 v0,c0_badvaddr
6723 [ 0-9a-f]+: 5849 00fc dmfc0 v0,c0_count
6724 [ 0-9a-f]+: 584a 00fc dmfc0 v0,c0_entryhi
6725 [ 0-9a-f]+: 584b 00fc dmfc0 v0,c0_compare
6726 [ 0-9a-f]+: 584c 00fc dmfc0 v0,c0_status
6727 [ 0-9a-f]+: 584d 00fc dmfc0 v0,c0_cause
6728 [ 0-9a-f]+: 584e 00fc dmfc0 v0,c0_epc
6729 [ 0-9a-f]+: 584f 00fc dmfc0 v0,c0_prid
6730 [ 0-9a-f]+: 5850 00fc dmfc0 v0,c0_config
6731 [ 0-9a-f]+: 5851 00fc dmfc0 v0,c0_lladdr
6732 [ 0-9a-f]+: 5852 00fc dmfc0 v0,c0_watchlo
6733 [ 0-9a-f]+: 5853 00fc dmfc0 v0,c0_watchhi
6734 [ 0-9a-f]+: 5854 00fc dmfc0 v0,c0_xcontext
6735 [ 0-9a-f]+: 5855 00fc dmfc0 v0,\$21
6736 [ 0-9a-f]+: 5856 00fc dmfc0 v0,\$22
6737 [ 0-9a-f]+: 5857 00fc dmfc0 v0,c0_debug
6738 [ 0-9a-f]+: 5858 00fc dmfc0 v0,c0_depc
6739 [ 0-9a-f]+: 5859 00fc dmfc0 v0,c0_perfcnt
6740 [ 0-9a-f]+: 585a 00fc dmfc0 v0,c0_errctl
6741 [ 0-9a-f]+: 585b 00fc dmfc0 v0,c0_cacheerr
6742 [ 0-9a-f]+: 585c 00fc dmfc0 v0,c0_taglo
6743 [ 0-9a-f]+: 585d 00fc dmfc0 v0,c0_taghi
6744 [ 0-9a-f]+: 585e 00fc dmfc0 v0,c0_errorepc
6745 [ 0-9a-f]+: 585f 00fc dmfc0 v0,c0_desave
6746 [ 0-9a-f]+: 5840 00fc dmfc0 v0,c0_index
6747 [ 0-9a-f]+: 5840 08fc dmfc0 v0,c0_mvpcontrol
6748 [ 0-9a-f]+: 5840 10fc dmfc0 v0,c0_mvpconf0
6749 [ 0-9a-f]+: 5840 18fc dmfc0 v0,c0_mvpconf1
6750 [ 0-9a-f]+: 5840 20fc dmfc0 v0,\$0,4
6751 [ 0-9a-f]+: 5840 28fc dmfc0 v0,\$0,5
6752 [ 0-9a-f]+: 5840 30fc dmfc0 v0,\$0,6
6753 [ 0-9a-f]+: 5840 38fc dmfc0 v0,\$0,7
6754 [ 0-9a-f]+: 5841 00fc dmfc0 v0,c0_random
6755 [ 0-9a-f]+: 5841 08fc dmfc0 v0,c0_vpecontrol
6756 [ 0-9a-f]+: 5841 10fc dmfc0 v0,c0_vpeconf0
6757 [ 0-9a-f]+: 5841 18fc dmfc0 v0,c0_vpeconf1
6758 [ 0-9a-f]+: 5841 20fc dmfc0 v0,c0_yqmask
6759 [ 0-9a-f]+: 5841 28fc dmfc0 v0,c0_vpeschedule
6760 [ 0-9a-f]+: 5841 30fc dmfc0 v0,c0_vpeschefback
6761 [ 0-9a-f]+: 5841 38fc dmfc0 v0,\$1,7
6762 [ 0-9a-f]+: 5842 00fc dmfc0 v0,c0_entrylo0
6763 [ 0-9a-f]+: 5842 08fc dmfc0 v0,c0_tcstatus
6764 [ 0-9a-f]+: 5842 10fc dmfc0 v0,c0_tcbind
6765 [ 0-9a-f]+: 5842 18fc dmfc0 v0,c0_tcrestart
6766 [ 0-9a-f]+: 5842 20fc dmfc0 v0,c0_tchalt
6767 [ 0-9a-f]+: 5842 28fc dmfc0 v0,c0_tccontext
6768 [ 0-9a-f]+: 5842 30fc dmfc0 v0,c0_tcschedule
6769 [ 0-9a-f]+: 5842 38fc dmfc0 v0,c0_tcschefback
6770 [ 0-9a-f]+: 5840 02fc dmtc0 v0,c0_index
6771 [ 0-9a-f]+: 5841 02fc dmtc0 v0,c0_random
6772 [ 0-9a-f]+: 5842 02fc dmtc0 v0,c0_entrylo0
6773 [ 0-9a-f]+: 5843 02fc dmtc0 v0,c0_entrylo1
6774 [ 0-9a-f]+: 5844 02fc dmtc0 v0,c0_context
6775 [ 0-9a-f]+: 5845 02fc dmtc0 v0,c0_pagemask
6776 [ 0-9a-f]+: 5846 02fc dmtc0 v0,c0_wired
6777 [ 0-9a-f]+: 5847 02fc dmtc0 v0,c0_hwrena
6778 [ 0-9a-f]+: 5848 02fc dmtc0 v0,c0_badvaddr
6779 [ 0-9a-f]+: 5849 02fc dmtc0 v0,c0_count
6780 [ 0-9a-f]+: 584a 02fc dmtc0 v0,c0_entryhi
6781 [ 0-9a-f]+: 584b 02fc dmtc0 v0,c0_compare
6782 [ 0-9a-f]+: 584c 02fc dmtc0 v0,c0_status
6783 [ 0-9a-f]+: 584d 02fc dmtc0 v0,c0_cause
6784 [ 0-9a-f]+: 584e 02fc dmtc0 v0,c0_epc
6785 [ 0-9a-f]+: 584f 02fc dmtc0 v0,c0_prid
6786 [ 0-9a-f]+: 5850 02fc dmtc0 v0,c0_config
6787 [ 0-9a-f]+: 5851 02fc dmtc0 v0,c0_lladdr
6788 [ 0-9a-f]+: 5852 02fc dmtc0 v0,c0_watchlo
6789 [ 0-9a-f]+: 5853 02fc dmtc0 v0,c0_watchhi
6790 [ 0-9a-f]+: 5854 02fc dmtc0 v0,c0_xcontext
6791 [ 0-9a-f]+: 5855 02fc dmtc0 v0,\$21
6792 [ 0-9a-f]+: 5856 02fc dmtc0 v0,\$22
6793 [ 0-9a-f]+: 5857 02fc dmtc0 v0,c0_debug
6794 [ 0-9a-f]+: 5858 02fc dmtc0 v0,c0_depc
6795 [ 0-9a-f]+: 5859 02fc dmtc0 v0,c0_perfcnt
6796 [ 0-9a-f]+: 585a 02fc dmtc0 v0,c0_errctl
6797 [ 0-9a-f]+: 585b 02fc dmtc0 v0,c0_cacheerr
6798 [ 0-9a-f]+: 585c 02fc dmtc0 v0,c0_taglo
6799 [ 0-9a-f]+: 585d 02fc dmtc0 v0,c0_taghi
6800 [ 0-9a-f]+: 585e 02fc dmtc0 v0,c0_errorepc
6801 [ 0-9a-f]+: 585f 02fc dmtc0 v0,c0_desave
6802 [ 0-9a-f]+: 5840 02fc dmtc0 v0,c0_index
6803 [ 0-9a-f]+: 5840 0afc dmtc0 v0,c0_mvpcontrol
6804 [ 0-9a-f]+: 5840 12fc dmtc0 v0,c0_mvpconf0
6805 [ 0-9a-f]+: 5840 1afc dmtc0 v0,c0_mvpconf1
6806 [ 0-9a-f]+: 5840 22fc dmtc0 v0,\$0,4
6807 [ 0-9a-f]+: 5840 2afc dmtc0 v0,\$0,5
6808 [ 0-9a-f]+: 5840 32fc dmtc0 v0,\$0,6
6809 [ 0-9a-f]+: 5840 3afc dmtc0 v0,\$0,7
6810 [ 0-9a-f]+: 5841 02fc dmtc0 v0,c0_random
6811 [ 0-9a-f]+: 5841 0afc dmtc0 v0,c0_vpecontrol
6812 [ 0-9a-f]+: 5841 12fc dmtc0 v0,c0_vpeconf0
6813 [ 0-9a-f]+: 5841 1afc dmtc0 v0,c0_vpeconf1
6814 [ 0-9a-f]+: 5841 22fc dmtc0 v0,c0_yqmask
6815 [ 0-9a-f]+: 5841 2afc dmtc0 v0,c0_vpeschedule
6816 [ 0-9a-f]+: 5841 32fc dmtc0 v0,c0_vpeschefback
6817 [ 0-9a-f]+: 5841 3afc dmtc0 v0,\$1,7
6818 [ 0-9a-f]+: 5842 02fc dmtc0 v0,c0_entrylo0
6819 [ 0-9a-f]+: 5842 0afc dmtc0 v0,c0_tcstatus
6820 [ 0-9a-f]+: 5842 12fc dmtc0 v0,c0_tcbind
6821 [ 0-9a-f]+: 5842 1afc dmtc0 v0,c0_tcrestart
6822 [ 0-9a-f]+: 5842 22fc dmtc0 v0,c0_tchalt
6823 [ 0-9a-f]+: 5842 2afc dmtc0 v0,c0_tccontext
6824 [ 0-9a-f]+: 5842 32fc dmtc0 v0,c0_tcschedule
6825 [ 0-9a-f]+: 5842 3afc dmtc0 v0,c0_tcschefback
6826 [ 0-9a-f]+: 54a0 243b dmfc1 a1,\$f0
6827 [ 0-9a-f]+: 54a1 243b dmfc1 a1,\$f1
6828 [ 0-9a-f]+: 54a2 243b dmfc1 a1,\$f2
6829 [ 0-9a-f]+: 54a3 243b dmfc1 a1,\$f3
6830 [ 0-9a-f]+: 54a4 243b dmfc1 a1,\$f4
6831 [ 0-9a-f]+: 54a5 243b dmfc1 a1,\$f5
6832 [ 0-9a-f]+: 54a6 243b dmfc1 a1,\$f6
6833 [ 0-9a-f]+: 54a7 243b dmfc1 a1,\$f7
6834 [ 0-9a-f]+: 54a8 243b dmfc1 a1,\$f8
6835 [ 0-9a-f]+: 54a9 243b dmfc1 a1,\$f9
6836 [ 0-9a-f]+: 54aa 243b dmfc1 a1,\$f10
6837 [ 0-9a-f]+: 54ab 243b dmfc1 a1,\$f11
6838 [ 0-9a-f]+: 54ac 243b dmfc1 a1,\$f12
6839 [ 0-9a-f]+: 54ad 243b dmfc1 a1,\$f13
6840 [ 0-9a-f]+: 54ae 243b dmfc1 a1,\$f14
6841 [ 0-9a-f]+: 54af 243b dmfc1 a1,\$f15
6842 [ 0-9a-f]+: 54b0 243b dmfc1 a1,\$f16
6843 [ 0-9a-f]+: 54b1 243b dmfc1 a1,\$f17
6844 [ 0-9a-f]+: 54b2 243b dmfc1 a1,\$f18
6845 [ 0-9a-f]+: 54b3 243b dmfc1 a1,\$f19
6846 [ 0-9a-f]+: 54b4 243b dmfc1 a1,\$f20
6847 [ 0-9a-f]+: 54b5 243b dmfc1 a1,\$f21
6848 [ 0-9a-f]+: 54b6 243b dmfc1 a1,\$f22
6849 [ 0-9a-f]+: 54b7 243b dmfc1 a1,\$f23
6850 [ 0-9a-f]+: 54b8 243b dmfc1 a1,\$f24
6851 [ 0-9a-f]+: 54b9 243b dmfc1 a1,\$f25
6852 [ 0-9a-f]+: 54ba 243b dmfc1 a1,\$f26
6853 [ 0-9a-f]+: 54bb 243b dmfc1 a1,\$f27
6854 [ 0-9a-f]+: 54bc 243b dmfc1 a1,\$f28
6855 [ 0-9a-f]+: 54bd 243b dmfc1 a1,\$f29
6856 [ 0-9a-f]+: 54be 243b dmfc1 a1,\$f30
6857 [ 0-9a-f]+: 54bf 243b dmfc1 a1,\$f31
6858 [ 0-9a-f]+: 54a0 243b dmfc1 a1,\$f0
6859 [ 0-9a-f]+: 54a1 243b dmfc1 a1,\$f1
6860 [ 0-9a-f]+: 54a2 243b dmfc1 a1,\$f2
6861 [ 0-9a-f]+: 54a3 243b dmfc1 a1,\$f3
6862 [ 0-9a-f]+: 54a4 243b dmfc1 a1,\$f4
6863 [ 0-9a-f]+: 54a5 243b dmfc1 a1,\$f5
6864 [ 0-9a-f]+: 54a6 243b dmfc1 a1,\$f6
6865 [ 0-9a-f]+: 54a7 243b dmfc1 a1,\$f7
6866 [ 0-9a-f]+: 54a8 243b dmfc1 a1,\$f8
6867 [ 0-9a-f]+: 54a9 243b dmfc1 a1,\$f9
6868 [ 0-9a-f]+: 54aa 243b dmfc1 a1,\$f10
6869 [ 0-9a-f]+: 54ab 243b dmfc1 a1,\$f11
6870 [ 0-9a-f]+: 54ac 243b dmfc1 a1,\$f12
6871 [ 0-9a-f]+: 54ad 243b dmfc1 a1,\$f13
6872 [ 0-9a-f]+: 54ae 243b dmfc1 a1,\$f14
6873 [ 0-9a-f]+: 54af 243b dmfc1 a1,\$f15
6874 [ 0-9a-f]+: 54b0 243b dmfc1 a1,\$f16
6875 [ 0-9a-f]+: 54b1 243b dmfc1 a1,\$f17
6876 [ 0-9a-f]+: 54b2 243b dmfc1 a1,\$f18
6877 [ 0-9a-f]+: 54b3 243b dmfc1 a1,\$f19
6878 [ 0-9a-f]+: 54b4 243b dmfc1 a1,\$f20
6879 [ 0-9a-f]+: 54b5 243b dmfc1 a1,\$f21
6880 [ 0-9a-f]+: 54b6 243b dmfc1 a1,\$f22
6881 [ 0-9a-f]+: 54b7 243b dmfc1 a1,\$f23
6882 [ 0-9a-f]+: 54b8 243b dmfc1 a1,\$f24
6883 [ 0-9a-f]+: 54b9 243b dmfc1 a1,\$f25
6884 [ 0-9a-f]+: 54ba 243b dmfc1 a1,\$f26
6885 [ 0-9a-f]+: 54bb 243b dmfc1 a1,\$f27
6886 [ 0-9a-f]+: 54bc 243b dmfc1 a1,\$f28
6887 [ 0-9a-f]+: 54bd 243b dmfc1 a1,\$f29
6888 [ 0-9a-f]+: 54be 243b dmfc1 a1,\$f30
6889 [ 0-9a-f]+: 54bf 243b dmfc1 a1,\$f31
6890 [ 0-9a-f]+: 54a0 2c3b dmtc1 a1,\$0
6891 [ 0-9a-f]+: 54a1 2c3b dmtc1 a1,\$1
6892 [ 0-9a-f]+: 54a2 2c3b dmtc1 a1,\$2
6893 [ 0-9a-f]+: 54a3 2c3b dmtc1 a1,\$3
6894 [ 0-9a-f]+: 54a4 2c3b dmtc1 a1,\$4
6895 [ 0-9a-f]+: 54a5 2c3b dmtc1 a1,\$5
6896 [ 0-9a-f]+: 54a6 2c3b dmtc1 a1,\$6
6897 [ 0-9a-f]+: 54a7 2c3b dmtc1 a1,\$7
6898 [ 0-9a-f]+: 54a8 2c3b dmtc1 a1,\$8
6899 [ 0-9a-f]+: 54a9 2c3b dmtc1 a1,\$9
6900 [ 0-9a-f]+: 54aa 2c3b dmtc1 a1,\$10
6901 [ 0-9a-f]+: 54ab 2c3b dmtc1 a1,\$11
6902 [ 0-9a-f]+: 54ac 2c3b dmtc1 a1,\$12
6903 [ 0-9a-f]+: 54ad 2c3b dmtc1 a1,\$13
6904 [ 0-9a-f]+: 54ae 2c3b dmtc1 a1,\$14
6905 [ 0-9a-f]+: 54af 2c3b dmtc1 a1,\$15
6906 [ 0-9a-f]+: 54b0 2c3b dmtc1 a1,\$16
6907 [ 0-9a-f]+: 54b1 2c3b dmtc1 a1,\$17
6908 [ 0-9a-f]+: 54b2 2c3b dmtc1 a1,\$18
6909 [ 0-9a-f]+: 54b3 2c3b dmtc1 a1,\$19
6910 [ 0-9a-f]+: 54b4 2c3b dmtc1 a1,\$20
6911 [ 0-9a-f]+: 54b5 2c3b dmtc1 a1,\$21
6912 [ 0-9a-f]+: 54b6 2c3b dmtc1 a1,\$22
6913 [ 0-9a-f]+: 54b7 2c3b dmtc1 a1,\$23
6914 [ 0-9a-f]+: 54b8 2c3b dmtc1 a1,\$24
6915 [ 0-9a-f]+: 54b9 2c3b dmtc1 a1,\$25
6916 [ 0-9a-f]+: 54ba 2c3b dmtc1 a1,\$26
6917 [ 0-9a-f]+: 54bb 2c3b dmtc1 a1,\$27
6918 [ 0-9a-f]+: 54bc 2c3b dmtc1 a1,\$28
6919 [ 0-9a-f]+: 54bd 2c3b dmtc1 a1,\$29
6920 [ 0-9a-f]+: 54be 2c3b dmtc1 a1,\$30
6921 [ 0-9a-f]+: 54bf 2c3b dmtc1 a1,\$31
6922 [ 0-9a-f]+: 54a0 2c3b dmtc1 a1,\$0
6923 [ 0-9a-f]+: 54a1 2c3b dmtc1 a1,\$1
6924 [ 0-9a-f]+: 54a2 2c3b dmtc1 a1,\$2
6925 [ 0-9a-f]+: 54a3 2c3b dmtc1 a1,\$3
6926 [ 0-9a-f]+: 54a4 2c3b dmtc1 a1,\$4
6927 [ 0-9a-f]+: 54a5 2c3b dmtc1 a1,\$5
6928 [ 0-9a-f]+: 54a6 2c3b dmtc1 a1,\$6
6929 [ 0-9a-f]+: 54a7 2c3b dmtc1 a1,\$7
6930 [ 0-9a-f]+: 54a8 2c3b dmtc1 a1,\$8
6931 [ 0-9a-f]+: 54a9 2c3b dmtc1 a1,\$9
6932 [ 0-9a-f]+: 54aa 2c3b dmtc1 a1,\$10
6933 [ 0-9a-f]+: 54ab 2c3b dmtc1 a1,\$11
6934 [ 0-9a-f]+: 54ac 2c3b dmtc1 a1,\$12
6935 [ 0-9a-f]+: 54ad 2c3b dmtc1 a1,\$13
6936 [ 0-9a-f]+: 54ae 2c3b dmtc1 a1,\$14
6937 [ 0-9a-f]+: 54af 2c3b dmtc1 a1,\$15
6938 [ 0-9a-f]+: 54b0 2c3b dmtc1 a1,\$16
6939 [ 0-9a-f]+: 54b1 2c3b dmtc1 a1,\$17
6940 [ 0-9a-f]+: 54b2 2c3b dmtc1 a1,\$18
6941 [ 0-9a-f]+: 54b3 2c3b dmtc1 a1,\$19
6942 [ 0-9a-f]+: 54b4 2c3b dmtc1 a1,\$20
6943 [ 0-9a-f]+: 54b5 2c3b dmtc1 a1,\$21
6944 [ 0-9a-f]+: 54b6 2c3b dmtc1 a1,\$22
6945 [ 0-9a-f]+: 54b7 2c3b dmtc1 a1,\$23
6946 [ 0-9a-f]+: 54b8 2c3b dmtc1 a1,\$24
6947 [ 0-9a-f]+: 54b9 2c3b dmtc1 a1,\$25
6948 [ 0-9a-f]+: 54ba 2c3b dmtc1 a1,\$26
6949 [ 0-9a-f]+: 54bb 2c3b dmtc1 a1,\$27
6950 [ 0-9a-f]+: 54bc 2c3b dmtc1 a1,\$28
6951 [ 0-9a-f]+: 54bd 2c3b dmtc1 a1,\$29
6952 [ 0-9a-f]+: 54be 2c3b dmtc1 a1,\$30
6953 [ 0-9a-f]+: 54bf 2c3b dmtc1 a1,\$31
6954 [ 0-9a-f]+: 0040 6d3c dmfc2 v0,\$0
6955 [ 0-9a-f]+: 0041 6d3c dmfc2 v0,\$1
6956 [ 0-9a-f]+: 0042 6d3c dmfc2 v0,\$2
6957 [ 0-9a-f]+: 0043 6d3c dmfc2 v0,\$3
6958 [ 0-9a-f]+: 0044 6d3c dmfc2 v0,\$4
6959 [ 0-9a-f]+: 0045 6d3c dmfc2 v0,\$5
6960 [ 0-9a-f]+: 0046 6d3c dmfc2 v0,\$6
6961 [ 0-9a-f]+: 0047 6d3c dmfc2 v0,\$7
6962 [ 0-9a-f]+: 0048 6d3c dmfc2 v0,\$8
6963 [ 0-9a-f]+: 0049 6d3c dmfc2 v0,\$9
6964 [ 0-9a-f]+: 004a 6d3c dmfc2 v0,\$10
6965 [ 0-9a-f]+: 004b 6d3c dmfc2 v0,\$11
6966 [ 0-9a-f]+: 004c 6d3c dmfc2 v0,\$12
6967 [ 0-9a-f]+: 004d 6d3c dmfc2 v0,\$13
6968 [ 0-9a-f]+: 004e 6d3c dmfc2 v0,\$14
6969 [ 0-9a-f]+: 004f 6d3c dmfc2 v0,\$15
6970 [ 0-9a-f]+: 0050 6d3c dmfc2 v0,\$16
6971 [ 0-9a-f]+: 0051 6d3c dmfc2 v0,\$17
6972 [ 0-9a-f]+: 0052 6d3c dmfc2 v0,\$18
6973 [ 0-9a-f]+: 0053 6d3c dmfc2 v0,\$19
6974 [ 0-9a-f]+: 0054 6d3c dmfc2 v0,\$20
6975 [ 0-9a-f]+: 0055 6d3c dmfc2 v0,\$21
6976 [ 0-9a-f]+: 0056 6d3c dmfc2 v0,\$22
6977 [ 0-9a-f]+: 0057 6d3c dmfc2 v0,\$23
6978 [ 0-9a-f]+: 0058 6d3c dmfc2 v0,\$24
6979 [ 0-9a-f]+: 0059 6d3c dmfc2 v0,\$25
6980 [ 0-9a-f]+: 005a 6d3c dmfc2 v0,\$26
6981 [ 0-9a-f]+: 005b 6d3c dmfc2 v0,\$27
6982 [ 0-9a-f]+: 005c 6d3c dmfc2 v0,\$28
6983 [ 0-9a-f]+: 005d 6d3c dmfc2 v0,\$29
6984 [ 0-9a-f]+: 005e 6d3c dmfc2 v0,\$30
6985 [ 0-9a-f]+: 005f 6d3c dmfc2 v0,\$31
6986 [ 0-9a-f]+: 0040 7d3c dmtc2 v0,\$0
6987 [ 0-9a-f]+: 0041 7d3c dmtc2 v0,\$1
6988 [ 0-9a-f]+: 0042 7d3c dmtc2 v0,\$2
6989 [ 0-9a-f]+: 0043 7d3c dmtc2 v0,\$3
6990 [ 0-9a-f]+: 0044 7d3c dmtc2 v0,\$4
6991 [ 0-9a-f]+: 0045 7d3c dmtc2 v0,\$5
6992 [ 0-9a-f]+: 0046 7d3c dmtc2 v0,\$6
6993 [ 0-9a-f]+: 0047 7d3c dmtc2 v0,\$7
6994 [ 0-9a-f]+: 0048 7d3c dmtc2 v0,\$8
6995 [ 0-9a-f]+: 0049 7d3c dmtc2 v0,\$9
6996 [ 0-9a-f]+: 004a 7d3c dmtc2 v0,\$10
6997 [ 0-9a-f]+: 004b 7d3c dmtc2 v0,\$11
6998 [ 0-9a-f]+: 004c 7d3c dmtc2 v0,\$12
6999 [ 0-9a-f]+: 004d 7d3c dmtc2 v0,\$13
7000 [ 0-9a-f]+: 004e 7d3c dmtc2 v0,\$14
7001 [ 0-9a-f]+: 004f 7d3c dmtc2 v0,\$15
7002 [ 0-9a-f]+: 0050 7d3c dmtc2 v0,\$16
7003 [ 0-9a-f]+: 0051 7d3c dmtc2 v0,\$17
7004 [ 0-9a-f]+: 0052 7d3c dmtc2 v0,\$18
7005 [ 0-9a-f]+: 0053 7d3c dmtc2 v0,\$19
7006 [ 0-9a-f]+: 0054 7d3c dmtc2 v0,\$20
7007 [ 0-9a-f]+: 0055 7d3c dmtc2 v0,\$21
7008 [ 0-9a-f]+: 0056 7d3c dmtc2 v0,\$22
7009 [ 0-9a-f]+: 0057 7d3c dmtc2 v0,\$23
7010 [ 0-9a-f]+: 0058 7d3c dmtc2 v0,\$24
7011 [ 0-9a-f]+: 0059 7d3c dmtc2 v0,\$25
7012 [ 0-9a-f]+: 005a 7d3c dmtc2 v0,\$26
7013 [ 0-9a-f]+: 005b 7d3c dmtc2 v0,\$27
7014 [ 0-9a-f]+: 005c 7d3c dmtc2 v0,\$28
7015 [ 0-9a-f]+: 005d 7d3c dmtc2 v0,\$29
7016 [ 0-9a-f]+: 005e 7d3c dmtc2 v0,\$30
7017 [ 0-9a-f]+: 005f 7d3c dmtc2 v0,\$31
7018 [ 0-9a-f]+: 5862 8b3c dmult v0,v1
7019 [ 0-9a-f]+: 5862 9b3c dmultu v0,v1
7020 [ 0-9a-f]+: 5883 9b3c dmultu v1,a0
7021 [ 0-9a-f]+: 4642 mflo v0
7022 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
7023 [ 0-9a-f]+: 5021 5678 ori at,at,0x5678
7024 [ 0-9a-f]+: 5823 8b3c dmult v1,at
7025 [ 0-9a-f]+: 4642 mflo v0
7026 [ 0-9a-f]+: 5883 8b3c dmult v1,a0
7027 [ 0-9a-f]+: 4642 mflo v0
7028 [ 0-9a-f]+: 5842 f888 dsra32 v0,v0,0x1f
7029 [ 0-9a-f]+: 4601 mfhi at
7030 [ 0-9a-f]+: 0022 6c3c tne v0,at,0x6
7031 [ 0-9a-f]+: 4642 mflo v0
7032 [ 0-9a-f]+: 3020 0004 li at,4
7033 [ 0-9a-f]+: 5823 8b3c dmult v1,at
7034 [ 0-9a-f]+: 4642 mflo v0
7035 [ 0-9a-f]+: 5842 f888 dsra32 v0,v0,0x1f
7036 [ 0-9a-f]+: 4601 mfhi at
7037 [ 0-9a-f]+: 0022 6c3c tne v0,at,0x6
7038 [ 0-9a-f]+: 4642 mflo v0
7039 [ 0-9a-f]+: 5883 9b3c dmultu v1,a0
7040 [ 0-9a-f]+: 4601 mfhi at
7041 [ 0-9a-f]+: 4642 mflo v0
7042 [ 0-9a-f]+: 0001 6c3c tne at,zero,0x6
7043 [ 0-9a-f]+: 3020 0004 li at,4
7044 [ 0-9a-f]+: 5823 9b3c dmultu v1,at
7045 [ 0-9a-f]+: 4601 mfhi at
7046 [ 0-9a-f]+: 4642 mflo v0
7047 [ 0-9a-f]+: 0001 6c3c tne at,zero,0x6
7048 [ 0-9a-f]+: 0000 703c teq zero,zero,0x7
7049 [ 0-9a-f]+: 0c60 move v1,zero
7050 [ 0-9a-f]+: 0c60 move v1,zero
7051 [ 0-9a-f]+: 3020 0002 li at,2
7052 [ 0-9a-f]+: 5824 ab3c ddiv zero,a0,at
7053 [ 0-9a-f]+: 4603 mfhi v1
7054 [ 0-9a-f]+: 5862 ab3c ddiv zero,v0,v1
7055 [ 0-9a-f]+: 5bfe ab3c ddiv zero,s8,ra
7056 [ 0-9a-f]+: 0003 703c teq v1,zero,0x7
7057 [ 0-9a-f]+: 5860 ab3c ddiv zero,zero,v1
7058 [ 0-9a-f]+: 3020 ffff li at,-1
7059 [ 0-9a-f]+: b423 fffe bne v1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
7060 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
7061 [ 0-9a-f]+: 3020 0001 li at,1
7062 [ 0-9a-f]+: 5821 f808 dsll32 at,at,0x1f
7063 [ 0-9a-f]+: 0020 603c teq zero,at,0x6
7064
7065 [0-9a-f]+ <.*>:
7066 [ 0-9a-f]+: 4600 mfhi zero
7067 [ 0-9a-f]+: 001f 703c teq ra,zero,0x7
7068 [ 0-9a-f]+: 5be0 ab3c ddiv zero,zero,ra
7069 [ 0-9a-f]+: 3020 ffff li at,-1
7070 [ 0-9a-f]+: b43f fffe bne ra,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
7071 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 .*
7072 [ 0-9a-f]+: 3020 0001 li at,1
7073 [ 0-9a-f]+: 5821 f808 dsll32 at,at,0x1f
7074 [ 0-9a-f]+: 0020 603c teq zero,at,0x6
7075
7076 [0-9a-f]+ <.*>:
7077 [ 0-9a-f]+: 4600 mfhi zero
7078 [ 0-9a-f]+: 0000 703c teq zero,zero,0x7
7079 [ 0-9a-f]+: 0c60 move v1,zero
7080 [ 0-9a-f]+: 0c60 move v1,zero
7081 [ 0-9a-f]+: 3020 0002 li at,2
7082 [ 0-9a-f]+: 5824 ab3c ddiv zero,a0,at
7083 [ 0-9a-f]+: 4603 mfhi v1
7084 [ 0-9a-f]+: 5862 bb3c ddivu zero,v0,v1
7085 [ 0-9a-f]+: 5bfe bb3c ddivu zero,s8,ra
7086 [ 0-9a-f]+: 0003 703c teq v1,zero,0x7
7087 [ 0-9a-f]+: 5860 bb3c ddivu zero,zero,v1
7088 [ 0-9a-f]+: 4600 mfhi zero
7089 [ 0-9a-f]+: 001f 703c teq ra,zero,0x7
7090 [ 0-9a-f]+: 5be0 bb3c ddivu zero,zero,ra
7091 [ 0-9a-f]+: 4600 mfhi zero
7092 [ 0-9a-f]+: 0000 703c teq zero,zero,0x7
7093 [ 0-9a-f]+: 0c60 move v1,zero
7094 [ 0-9a-f]+: 3020 ffff li at,-1
7095 [ 0-9a-f]+: 5824 bb3c ddivu zero,a0,at
7096 [ 0-9a-f]+: 4603 mfhi v1
7097 [ 0-9a-f]+: 3020 0002 li at,2
7098 [ 0-9a-f]+: 5824 bb3c ddivu zero,a0,at
7099 [ 0-9a-f]+: 4603 mfhi v1
7100 [ 0-9a-f]+: 5880 11d0 dnegu v0,a0
7101 [ 0-9a-f]+: 5862 10d0 drorv v0,v1,v0
7102 [ 0-9a-f]+: 5880 09d0 dnegu at,a0
7103 [ 0-9a-f]+: 5841 10d0 drorv v0,v0,at
7104 [ 0-9a-f]+: 5843 e0c8 dror32 v0,v1,0x1c
7105 [ 0-9a-f]+: 5864 10d0 drorv v0,v1,a0
7106 [ 0-9a-f]+: 5843 20c0 dror v0,v1,0x4
7107 [ 0-9a-f]+: 5843 20c8 dror32 v0,v1,0x4
7108 [ 0-9a-f]+: 5864 10d0 drorv v0,v1,a0
7109 [ 0-9a-f]+: 5843 20c8 dror32 v0,v1,0x4
7110 [ 0-9a-f]+: 5880 11d0 dnegu v0,a0
7111 [ 0-9a-f]+: 5862 10d0 drorv v0,v1,v0
7112 [ 0-9a-f]+: 5880 09d0 dnegu at,a0
7113 [ 0-9a-f]+: 5841 10d0 drorv v0,v0,at
7114 [ 0-9a-f]+: 5843 e0c8 dror32 v0,v1,0x1c
7115 [ 0-9a-f]+: 5864 10d0 drorv v0,v1,a0
7116 [ 0-9a-f]+: 5843 20c0 dror v0,v1,0x4
7117 [ 0-9a-f]+: 5843 20c8 dror32 v0,v1,0x4
7118 [ 0-9a-f]+: 5864 10d0 drorv v0,v1,a0
7119 [ 0-9a-f]+: 5843 20c8 dror32 v0,v1,0x4
7120 [ 0-9a-f]+: 5843 7b3c dsbh v0,v1
7121 [ 0-9a-f]+: 5842 7b3c dsbh v0,v0
7122 [ 0-9a-f]+: 5842 7b3c dsbh v0,v0
7123 [ 0-9a-f]+: 5843 fb3c dshd v0,v1
7124 [ 0-9a-f]+: 5842 fb3c dshd v0,v0
7125 [ 0-9a-f]+: 5842 fb3c dshd v0,v0
7126 [ 0-9a-f]+: 5864 1010 dsllv v0,v1,a0
7127 [ 0-9a-f]+: 5843 f808 dsll32 v0,v1,0x1f
7128 [ 0-9a-f]+: 5864 1010 dsllv v0,v1,a0
7129 [ 0-9a-f]+: 5843 f808 dsll32 v0,v1,0x1f
7130 [ 0-9a-f]+: 5843 f800 dsll v0,v1,0x1f
7131 [ 0-9a-f]+: 5864 1090 dsrav v0,v1,a0
7132 [ 0-9a-f]+: 5843 2088 dsra32 v0,v1,0x4
7133 [ 0-9a-f]+: 5864 1090 dsrav v0,v1,a0
7134 [ 0-9a-f]+: 5843 2088 dsra32 v0,v1,0x4
7135 [ 0-9a-f]+: 5843 2080 dsra v0,v1,0x4
7136 [ 0-9a-f]+: 5864 1050 dsrlv v0,v1,a0
7137 [ 0-9a-f]+: 5843 f848 dsrl32 v0,v1,0x1f
7138 [ 0-9a-f]+: 5864 1050 dsrlv v0,v1,a0
7139 [ 0-9a-f]+: 5843 2048 dsrl32 v0,v1,0x4
7140 [ 0-9a-f]+: 5843 2040 dsrl v0,v1,0x4
7141 [ 0-9a-f]+: 5883 1190 dsub v0,v1,a0
7142 [ 0-9a-f]+: 5bfe e990 dsub sp,s8,ra
7143 [ 0-9a-f]+: 5862 1190 dsub v0,v0,v1
7144 [ 0-9a-f]+: 5862 1190 dsub v0,v0,v1
7145 [ 0-9a-f]+: 5883 11d0 dsubu v0,v1,a0
7146 [ 0-9a-f]+: 5bfe e9d0 dsubu sp,s8,ra
7147 [ 0-9a-f]+: 5862 11d0 dsubu v0,v0,v1
7148 [ 0-9a-f]+: 5862 11d0 dsubu v0,v0,v1
7149 [ 0-9a-f]+: 5c43 edcc daddiu v0,v1,-4660
7150 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
7151 [ 0-9a-f]+: 5021 5678 ori at,at,0x5678
7152 [ 0-9a-f]+: 5823 11d0 dsubu v0,v1,at
7153 [ 0-9a-f]+: 5843 001c daddi v0,v1,0
7154 [ 0-9a-f]+: 5843 ffdc daddi v0,v1,-1
7155 [ 0-9a-f]+: 5843 801c daddi v0,v1,-512
7156 [ 0-9a-f]+: 5843 7fdc daddi v0,v1,511
7157 [ 0-9a-f]+: 3020 8000 li at,-32768
7158 [ 0-9a-f]+: 5823 1190 dsub v0,v1,at
7159 [ 0-9a-f]+: 3020 7fff li at,32767
7160 [ 0-9a-f]+: 5823 1190 dsub v0,v1,at
7161 [ 0-9a-f]+: 5020 ffff li at,0xffff
7162 [ 0-9a-f]+: 5823 1190 dsub v0,v1,at
7163 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
7164 [ 0-9a-f]+: 5021 5678 ori at,at,0x5678
7165 [ 0-9a-f]+: 5823 1190 dsub v0,v1,at
7166 [ 0-9a-f]+: 41a1 8888 lui at,0x8888
7167 [ 0-9a-f]+: 5021 1111 ori at,at,0x1111
7168 [ 0-9a-f]+: 5821 8000 dsll at,at,0x10
7169 [ 0-9a-f]+: 5021 1234 ori at,at,0x1234
7170 [ 0-9a-f]+: 5821 8000 dsll at,at,0x10
7171 [ 0-9a-f]+: 5021 5678 ori at,at,0x5678
7172 [ 0-9a-f]+: 5823 1190 dsub v0,v1,at
7173 [ 0-9a-f]+: dc40 0000 ld v0,0\(zero\)
7174 [ 0-9a-f]+: dc40 0004 ld v0,4\(zero\)
7175 [ 0-9a-f]+: dc40 0000 ld v0,0\(zero\)
7176 [ 0-9a-f]+: dc40 0000 ld v0,0\(zero\)
7177 [ 0-9a-f]+: dc40 0004 ld v0,4\(zero\)
7178 [ 0-9a-f]+: dc43 0004 ld v0,4\(v1\)
7179 [ 0-9a-f]+: dc43 8000 ld v0,-32768\(v1\)
7180 [ 0-9a-f]+: dc43 7fff ld v0,32767\(v1\)
7181 [ 0-9a-f]+: 6040 4000 ldl v0,0\(zero\)
7182 [ 0-9a-f]+: 6040 4004 ldl v0,4\(zero\)
7183 [ 0-9a-f]+: 6040 4000 ldl v0,0\(zero\)
7184 [ 0-9a-f]+: 6040 4000 ldl v0,0\(zero\)
7185 [ 0-9a-f]+: 6040 4004 ldl v0,4\(zero\)
7186 [ 0-9a-f]+: 6043 4004 ldl v0,4\(v1\)
7187 [ 0-9a-f]+: 6043 4e00 ldl v0,-512\(v1\)
7188 [ 0-9a-f]+: 6043 41ff ldl v0,511\(v1\)
7189 [ 0-9a-f]+: 3020 8000 li at,-32768
7190 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7191 [ 0-9a-f]+: 6041 4000 ldl v0,0\(at\)
7192 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
7193 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
7194 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7195 [ 0-9a-f]+: 6041 4678 ldl v0,1656\(at\)
7196 [ 0-9a-f]+: 6040 5000 ldr v0,0\(zero\)
7197 [ 0-9a-f]+: 6040 5004 ldr v0,4\(zero\)
7198 [ 0-9a-f]+: 6040 5000 ldr v0,0\(zero\)
7199 [ 0-9a-f]+: 6040 5000 ldr v0,0\(zero\)
7200 [ 0-9a-f]+: 6040 5004 ldr v0,4\(zero\)
7201 [ 0-9a-f]+: 6043 5004 ldr v0,4\(v1\)
7202 [ 0-9a-f]+: 6043 5e00 ldr v0,-512\(v1\)
7203 [ 0-9a-f]+: 6043 51ff ldr v0,511\(v1\)
7204 [ 0-9a-f]+: 3020 8000 li at,-32768
7205 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7206 [ 0-9a-f]+: 6041 5000 ldr v0,0\(at\)
7207 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
7208 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
7209 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7210 [ 0-9a-f]+: 6041 5678 ldr v0,1656\(at\)
7211 [ 0-9a-f]+: 6040 7000 lld v0,0\(zero\)
7212 [ 0-9a-f]+: 6040 7004 lld v0,4\(zero\)
7213 [ 0-9a-f]+: 6040 7000 lld v0,0\(zero\)
7214 [ 0-9a-f]+: 6040 7000 lld v0,0\(zero\)
7215 [ 0-9a-f]+: 6040 7004 lld v0,4\(zero\)
7216 [ 0-9a-f]+: 6043 7004 lld v0,4\(v1\)
7217 [ 0-9a-f]+: 6043 7e00 lld v0,-512\(v1\)
7218 [ 0-9a-f]+: 6043 71ff lld v0,511\(v1\)
7219 [ 0-9a-f]+: 3040 8000 li v0,-32768
7220 [ 0-9a-f]+: 0062 1150 addu v0,v0,v1
7221 [ 0-9a-f]+: 6042 7000 lld v0,0\(v0\)
7222 [ 0-9a-f]+: 41a2 1234 lui v0,0x1234
7223 [ 0-9a-f]+: 5042 5000 ori v0,v0,0x5000
7224 [ 0-9a-f]+: 0062 1150 addu v0,v0,v1
7225 [ 0-9a-f]+: 6042 7678 lld v0,1656\(v0\)
7226 [ 0-9a-f]+: 6040 e000 lwu v0,0\(zero\)
7227 [ 0-9a-f]+: 6040 e004 lwu v0,4\(zero\)
7228 [ 0-9a-f]+: 6040 e000 lwu v0,0\(zero\)
7229 [ 0-9a-f]+: 6040 e000 lwu v0,0\(zero\)
7230 [ 0-9a-f]+: 6040 e004 lwu v0,4\(zero\)
7231 [ 0-9a-f]+: 6043 e004 lwu v0,4\(v1\)
7232 [ 0-9a-f]+: 6043 ee00 lwu v0,-512\(v1\)
7233 [ 0-9a-f]+: 6043 e1ff lwu v0,511\(v1\)
7234 [ 0-9a-f]+: 3040 8000 li v0,-32768
7235 [ 0-9a-f]+: 0062 1150 addu v0,v0,v1
7236 [ 0-9a-f]+: 6042 e000 lwu v0,0\(v0\)
7237 [ 0-9a-f]+: 41a2 1234 lui v0,0x1234
7238 [ 0-9a-f]+: 5042 5000 ori v0,v0,0x5000
7239 [ 0-9a-f]+: 0062 1150 addu v0,v0,v1
7240 [ 0-9a-f]+: 6042 e678 lwu v0,1656\(v0\)
7241 [ 0-9a-f]+: 6040 f000 scd v0,0\(zero\)
7242 [ 0-9a-f]+: 6040 f004 scd v0,4\(zero\)
7243 [ 0-9a-f]+: 6040 f000 scd v0,0\(zero\)
7244 [ 0-9a-f]+: 6040 f000 scd v0,0\(zero\)
7245 [ 0-9a-f]+: 6040 f004 scd v0,4\(zero\)
7246 [ 0-9a-f]+: 6043 f004 scd v0,4\(v1\)
7247 [ 0-9a-f]+: 6043 fe00 scd v0,-512\(v1\)
7248 [ 0-9a-f]+: 6043 f1ff scd v0,511\(v1\)
7249 [ 0-9a-f]+: 3020 8000 li at,-32768
7250 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7251 [ 0-9a-f]+: 6041 f000 scd v0,0\(at\)
7252 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
7253 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
7254 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7255 [ 0-9a-f]+: 6041 f678 scd v0,1656\(at\)
7256 [ 0-9a-f]+: d840 0000 sd v0,0\(zero\)
7257 [ 0-9a-f]+: d840 0004 sd v0,4\(zero\)
7258 [ 0-9a-f]+: d840 0000 sd v0,0\(zero\)
7259 [ 0-9a-f]+: d840 0000 sd v0,0\(zero\)
7260 [ 0-9a-f]+: d840 0004 sd v0,4\(zero\)
7261 [ 0-9a-f]+: d843 0004 sd v0,4\(v1\)
7262 [ 0-9a-f]+: d843 8000 sd v0,-32768\(v1\)
7263 [ 0-9a-f]+: d843 7fff sd v0,32767\(v1\)
7264 [ 0-9a-f]+: 6040 c000 sdl v0,0\(zero\)
7265 [ 0-9a-f]+: 6040 c004 sdl v0,4\(zero\)
7266 [ 0-9a-f]+: 6040 c000 sdl v0,0\(zero\)
7267 [ 0-9a-f]+: 6040 c000 sdl v0,0\(zero\)
7268 [ 0-9a-f]+: 6040 c004 sdl v0,4\(zero\)
7269 [ 0-9a-f]+: 6043 c004 sdl v0,4\(v1\)
7270 [ 0-9a-f]+: 3020 8000 li at,-32768
7271 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7272 [ 0-9a-f]+: 6041 c000 sdl v0,0\(at\)
7273 [ 0-9a-f]+: 5020 8000 li at,0x8000
7274 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7275 [ 0-9a-f]+: 6041 cfff sdl v0,-1\(at\)
7276 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
7277 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
7278 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7279 [ 0-9a-f]+: 6041 c678 sdl v0,1656\(at\)
7280 [ 0-9a-f]+: 6040 d000 sdr v0,0\(zero\)
7281 [ 0-9a-f]+: 6040 d004 sdr v0,4\(zero\)
7282 [ 0-9a-f]+: 6040 d000 sdr v0,0\(zero\)
7283 [ 0-9a-f]+: 6040 d000 sdr v0,0\(zero\)
7284 [ 0-9a-f]+: 6040 d004 sdr v0,4\(zero\)
7285 [ 0-9a-f]+: 6043 d004 sdr v0,4\(v1\)
7286 [ 0-9a-f]+: 3020 8000 li at,-32768
7287 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7288 [ 0-9a-f]+: 6041 d000 sdr v0,0\(at\)
7289 [ 0-9a-f]+: 5020 8000 li at,0x8000
7290 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7291 [ 0-9a-f]+: 6041 dfff sdr v0,-1\(at\)
7292 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
7293 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
7294 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7295 [ 0-9a-f]+: 6041 d678 sdr v0,1656\(at\)
7296 [ 0-9a-f]+: 2020 7000 ldm s0,0\(zero\)
7297 [ 0-9a-f]+: 2020 7004 ldm s0,4\(zero\)
7298 [ 0-9a-f]+: 2025 7000 ldm s0,0\(a1\)
7299 [ 0-9a-f]+: 2025 77ff ldm s0,2047\(a1\)
7300 [ 0-9a-f]+: 2045 77ff ldm s0-s1,2047\(a1\)
7301 [ 0-9a-f]+: 2065 77ff ldm s0-s2,2047\(a1\)
7302 [ 0-9a-f]+: 2085 77ff ldm s0-s3,2047\(a1\)
7303 [ 0-9a-f]+: 20a5 77ff ldm s0-s4,2047\(a1\)
7304 [ 0-9a-f]+: 20c5 77ff ldm s0-s5,2047\(a1\)
7305 [ 0-9a-f]+: 20e5 77ff ldm s0-s6,2047\(a1\)
7306 [ 0-9a-f]+: 2105 77ff ldm s0-s7,2047\(a1\)
7307 [ 0-9a-f]+: 2125 77ff ldm s0-s7,s8,2047\(a1\)
7308 [ 0-9a-f]+: 2205 77ff ldm ra,2047\(a1\)
7309 [ 0-9a-f]+: 2225 7000 ldm s0,ra,0\(a1\)
7310 [ 0-9a-f]+: 2245 7000 ldm s0-s1,ra,0\(a1\)
7311 [ 0-9a-f]+: 2265 7000 ldm s0-s2,ra,0\(a1\)
7312 [ 0-9a-f]+: 2285 7000 ldm s0-s3,ra,0\(a1\)
7313 [ 0-9a-f]+: 22a5 7000 ldm s0-s4,ra,0\(a1\)
7314 [ 0-9a-f]+: 22c5 7000 ldm s0-s5,ra,0\(a1\)
7315 [ 0-9a-f]+: 22e5 7000 ldm s0-s6,ra,0\(a1\)
7316 [ 0-9a-f]+: 2305 7000 ldm s0-s7,ra,0\(a1\)
7317 [ 0-9a-f]+: 2325 7000 ldm s0-s7,s8,ra,0\(a1\)
7318 [ 0-9a-f]+: 3020 8000 li at,-32768
7319 [ 0-9a-f]+: 2021 7000 ldm s0,0\(at\)
7320 [ 0-9a-f]+: 5020 8000 li at,0x8000
7321 [ 0-9a-f]+: 2021 7fff ldm s0,-1\(at\)
7322 [ 0-9a-f]+: 2020 7000 ldm s0,0\(zero\)
7323 [ 0-9a-f]+: 41a1 0001 lui at,0x1
7324 [ 0-9a-f]+: 2021 7fff ldm s0,-1\(at\)
7325 [ 0-9a-f]+: 3020 8000 li at,-32768
7326 [ 0-9a-f]+: 03a1 0950 addu at,at,sp
7327 [ 0-9a-f]+: 2021 7000 ldm s0,0\(at\)
7328 [ 0-9a-f]+: 5020 8000 li at,0x8000
7329 [ 0-9a-f]+: 03a1 0950 addu at,at,sp
7330 [ 0-9a-f]+: 2021 7fff ldm s0,-1\(at\)
7331 [ 0-9a-f]+: 203d 7000 ldm s0,0\(sp\)
7332 [ 0-9a-f]+: 41a1 0001 lui at,0x1
7333 [ 0-9a-f]+: 03a1 0950 addu at,at,sp
7334 [ 0-9a-f]+: 2021 7fff ldm s0,-1\(at\)
7335 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
7336 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
7337 [ 0-9a-f]+: 03a1 0950 addu at,at,sp
7338 [ 0-9a-f]+: 2021 7678 ldm s0,1656\(at\)
7339 [ 0-9a-f]+: 2040 4000 ldp v0,0\(zero\)
7340 [ 0-9a-f]+: 2040 4004 ldp v0,4\(zero\)
7341 [ 0-9a-f]+: 205d 4000 ldp v0,0\(sp\)
7342 [ 0-9a-f]+: 205d 4000 ldp v0,0\(sp\)
7343 [ 0-9a-f]+: 2043 4800 ldp v0,-2048\(v1\)
7344 [ 0-9a-f]+: 2043 47ff ldp v0,2047\(v1\)
7345 [ 0-9a-f]+: 3020 8000 li at,-32768
7346 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7347 [ 0-9a-f]+: 2041 4000 ldp v0,0\(at\)
7348 [ 0-9a-f]+: 5020 8000 li at,0x8000
7349 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7350 [ 0-9a-f]+: 2041 4fff ldp v0,-1\(at\)
7351 [ 0-9a-f]+: 2043 4000 ldp v0,0\(v1\)
7352 [ 0-9a-f]+: 41a1 0001 lui at,0x1
7353 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7354 [ 0-9a-f]+: 2041 4fff ldp v0,-1\(at\)
7355 [ 0-9a-f]+: 3060 8000 li v1,-32768
7356 [ 0-9a-f]+: 2043 4000 ldp v0,0\(v1\)
7357 [ 0-9a-f]+: 5060 8000 li v1,0x8000
7358 [ 0-9a-f]+: 2043 4fff ldp v0,-1\(v1\)
7359 [ 0-9a-f]+: 41a3 0001 lui v1,0x1
7360 [ 0-9a-f]+: 2043 4fff ldp v0,-1\(v1\)
7361 [ 0-9a-f]+: 41a3 1234 lui v1,0x1234
7362 [ 0-9a-f]+: 5063 5000 ori v1,v1,0x5000
7363 [ 0-9a-f]+: 2043 4678 ldp v0,1656\(v1\)
7364 [ 0-9a-f]+: 2020 f000 sdm s0,0\(zero\)
7365 [ 0-9a-f]+: 2020 f004 sdm s0,4\(zero\)
7366 [ 0-9a-f]+: 2025 f000 sdm s0,0\(a1\)
7367 [ 0-9a-f]+: 2025 f7ff sdm s0,2047\(a1\)
7368 [ 0-9a-f]+: 2045 f7ff sdm s0-s1,2047\(a1\)
7369 [ 0-9a-f]+: 2065 f7ff sdm s0-s2,2047\(a1\)
7370 [ 0-9a-f]+: 2085 f7ff sdm s0-s3,2047\(a1\)
7371 [ 0-9a-f]+: 20a5 f7ff sdm s0-s4,2047\(a1\)
7372 [ 0-9a-f]+: 20c5 f7ff sdm s0-s5,2047\(a1\)
7373 [ 0-9a-f]+: 20e5 f7ff sdm s0-s6,2047\(a1\)
7374 [ 0-9a-f]+: 2105 f7ff sdm s0-s7,2047\(a1\)
7375 [ 0-9a-f]+: 2125 f7ff sdm s0-s7,s8,2047\(a1\)
7376 [ 0-9a-f]+: 2205 f7ff sdm ra,2047\(a1\)
7377 [ 0-9a-f]+: 2225 f000 sdm s0,ra,0\(a1\)
7378 [ 0-9a-f]+: 2245 f000 sdm s0-s1,ra,0\(a1\)
7379 [ 0-9a-f]+: 2265 f000 sdm s0-s2,ra,0\(a1\)
7380 [ 0-9a-f]+: 2285 f000 sdm s0-s3,ra,0\(a1\)
7381 [ 0-9a-f]+: 22a5 f000 sdm s0-s4,ra,0\(a1\)
7382 [ 0-9a-f]+: 22c5 f000 sdm s0-s5,ra,0\(a1\)
7383 [ 0-9a-f]+: 22e5 f000 sdm s0-s6,ra,0\(a1\)
7384 [ 0-9a-f]+: 2305 f000 sdm s0-s7,ra,0\(a1\)
7385 [ 0-9a-f]+: 2325 f000 sdm s0-s7,s8,ra,0\(a1\)
7386 [ 0-9a-f]+: 3020 8000 li at,-32768
7387 [ 0-9a-f]+: 2021 f000 sdm s0,0\(at\)
7388 [ 0-9a-f]+: 5020 8000 li at,0x8000
7389 [ 0-9a-f]+: 2021 ffff sdm s0,-1\(at\)
7390 [ 0-9a-f]+: 2020 f000 sdm s0,0\(zero\)
7391 [ 0-9a-f]+: 41a1 0001 lui at,0x1
7392 [ 0-9a-f]+: 2021 ffff sdm s0,-1\(at\)
7393 [ 0-9a-f]+: 3020 8000 li at,-32768
7394 [ 0-9a-f]+: 03a1 0950 addu at,at,sp
7395 [ 0-9a-f]+: 2021 f000 sdm s0,0\(at\)
7396 [ 0-9a-f]+: 5020 8000 li at,0x8000
7397 [ 0-9a-f]+: 03a1 0950 addu at,at,sp
7398 [ 0-9a-f]+: 2021 ffff sdm s0,-1\(at\)
7399 [ 0-9a-f]+: 203d f000 sdm s0,0\(sp\)
7400 [ 0-9a-f]+: 41a1 0001 lui at,0x1
7401 [ 0-9a-f]+: 03a1 0950 addu at,at,sp
7402 [ 0-9a-f]+: 2021 ffff sdm s0,-1\(at\)
7403 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
7404 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
7405 [ 0-9a-f]+: 03a1 0950 addu at,at,sp
7406 [ 0-9a-f]+: 2021 f678 sdm s0,1656\(at\)
7407 [ 0-9a-f]+: 2040 c000 sdp v0,0\(zero\)
7408 [ 0-9a-f]+: 2040 c004 sdp v0,4\(zero\)
7409 [ 0-9a-f]+: 205d c000 sdp v0,0\(sp\)
7410 [ 0-9a-f]+: 205d c000 sdp v0,0\(sp\)
7411 [ 0-9a-f]+: 2043 c800 sdp v0,-2048\(v1\)
7412 [ 0-9a-f]+: 2043 c7ff sdp v0,2047\(v1\)
7413 [ 0-9a-f]+: 3020 8000 li at,-32768
7414 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7415 [ 0-9a-f]+: 2041 c000 sdp v0,0\(at\)
7416 [ 0-9a-f]+: 5020 8000 li at,0x8000
7417 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7418 [ 0-9a-f]+: 2041 cfff sdp v0,-1\(at\)
7419 [ 0-9a-f]+: 2043 c000 sdp v0,0\(v1\)
7420 [ 0-9a-f]+: 41a1 0001 lui at,0x1
7421 [ 0-9a-f]+: 0061 0950 addu at,at,v1
7422 [ 0-9a-f]+: 2041 cfff sdp v0,-1\(at\)
7423 [ 0-9a-f]+: 3020 8000 li at,-32768
7424 [ 0-9a-f]+: 2041 c000 sdp v0,0\(at\)
7425 [ 0-9a-f]+: 5020 8000 li at,0x8000
7426 [ 0-9a-f]+: 2041 cfff sdp v0,-1\(at\)
7427 [ 0-9a-f]+: 41a1 0001 lui at,0x1
7428 [ 0-9a-f]+: 2041 cfff sdp v0,-1\(at\)
7429 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
7430 [ 0-9a-f]+: 5021 5000 ori at,at,0x5000
7431 [ 0-9a-f]+: 2041 c678 sdp v0,1656\(at\)
7432 [ 0-9a-f]+: 6060 4000 ldl v1,0\(zero\)
7433 [ 0-9a-f]+: 6060 5007 ldr v1,7\(zero\)
7434 [ 0-9a-f]+: 6060 4000 ldl v1,0\(zero\)
7435 [ 0-9a-f]+: 6060 5007 ldr v1,7\(zero\)
7436 [ 0-9a-f]+: 6060 4004 ldl v1,4\(zero\)
7437 [ 0-9a-f]+: 6060 500b ldr v1,11\(zero\)
7438 [ 0-9a-f]+: 6060 4004 ldl v1,4\(zero\)
7439 [ 0-9a-f]+: 6060 500b ldr v1,11\(zero\)
7440 [ 0-9a-f]+: 3020 07ff li at,2047
7441 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7442 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7443 [ 0-9a-f]+: 6060 4800 ldl v1,-2048\(zero\)
7444 [ 0-9a-f]+: 6060 5807 ldr v1,-2041\(zero\)
7445 [ 0-9a-f]+: 3020 0800 li at,2048
7446 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7447 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7448 [ 0-9a-f]+: 3020 f7ff li at,-2049
7449 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7450 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7451 [ 0-9a-f]+: 3020 7ff1 li at,32753
7452 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7453 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7454 [ 0-9a-f]+: 3020 8000 li at,-32768
7455 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7456 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7457 [ 0-9a-f]+: 5020 ffff li at,0xffff
7458 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7459 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7460 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
7461 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7462 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7463 [ 0-9a-f]+: 3020 8000 li at,-32768
7464 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7465 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7466 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
7467 [ 0-9a-f]+: 5021 0001 ori at,at,0x1
7468 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7469 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7470 [ 0-9a-f]+: 3020 8001 li at,-32767
7471 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7472 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7473 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
7474 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7475 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7476 [ 0-9a-f]+: 3020 ffff li at,-1
7477 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7478 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7479 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
7480 [ 0-9a-f]+: 5021 5678 ori at,at,0x5678
7481 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7482 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7483 [ 0-9a-f]+: 6064 4000 ldl v1,0\(a0\)
7484 [ 0-9a-f]+: 6064 5007 ldr v1,7\(a0\)
7485 [ 0-9a-f]+: 6064 4004 ldl v1,4\(a0\)
7486 [ 0-9a-f]+: 6064 500b ldr v1,11\(a0\)
7487 [ 0-9a-f]+: 3024 07ff addiu at,a0,2047
7488 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7489 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7490 [ 0-9a-f]+: 6064 4800 ldl v1,-2048\(a0\)
7491 [ 0-9a-f]+: 6064 5807 ldr v1,-2041\(a0\)
7492 [ 0-9a-f]+: 3024 0800 addiu at,a0,2048
7493 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7494 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7495 [ 0-9a-f]+: 3024 f7ff addiu at,a0,-2049
7496 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7497 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7498 [ 0-9a-f]+: 3024 7ff1 addiu at,a0,32753
7499 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7500 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7501 [ 0-9a-f]+: 3024 8000 addiu at,a0,-32768
7502 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7503 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7504 [ 0-9a-f]+: 5020 ffff li at,0xffff
7505 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7506 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7507 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7508 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
7509 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7510 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7511 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7512 [ 0-9a-f]+: 3020 8000 li at,-32768
7513 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7514 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7515 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7516 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
7517 [ 0-9a-f]+: 5021 0001 ori at,at,0x1
7518 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7519 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7520 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7521 [ 0-9a-f]+: 3020 8001 li at,-32767
7522 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7523 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7524 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7525 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
7526 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7527 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7528 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7529 [ 0-9a-f]+: 3020 ffff li at,-1
7530 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7531 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7532 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7533 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
7534 [ 0-9a-f]+: 5021 5678 ori at,at,0x5678
7535 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7536 [ 0-9a-f]+: 6061 4000 ldl v1,0\(at\)
7537 [ 0-9a-f]+: 6061 5007 ldr v1,7\(at\)
7538 [ 0-9a-f]+: 6060 c000 sdl v1,0\(zero\)
7539 [ 0-9a-f]+: 6060 d007 sdr v1,7\(zero\)
7540 [ 0-9a-f]+: 6060 c000 sdl v1,0\(zero\)
7541 [ 0-9a-f]+: 6060 d007 sdr v1,7\(zero\)
7542 [ 0-9a-f]+: 6060 c004 sdl v1,4\(zero\)
7543 [ 0-9a-f]+: 6060 d00b sdr v1,11\(zero\)
7544 [ 0-9a-f]+: 6060 c004 sdl v1,4\(zero\)
7545 [ 0-9a-f]+: 6060 d00b sdr v1,11\(zero\)
7546 [ 0-9a-f]+: 3020 07ff li at,2047
7547 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7548 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7549 [ 0-9a-f]+: 6060 c800 sdl v1,-2048\(zero\)
7550 [ 0-9a-f]+: 6060 d807 sdr v1,-2041\(zero\)
7551 [ 0-9a-f]+: 3020 0800 li at,2048
7552 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7553 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7554 [ 0-9a-f]+: 3020 f7ff li at,-2049
7555 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7556 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7557 [ 0-9a-f]+: 3020 7ff1 li at,32753
7558 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7559 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7560 [ 0-9a-f]+: 3020 8000 li at,-32768
7561 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7562 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7563 [ 0-9a-f]+: 5020 ffff li at,0xffff
7564 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7565 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7566 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
7567 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7568 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7569 [ 0-9a-f]+: 3020 8000 li at,-32768
7570 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7571 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7572 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
7573 [ 0-9a-f]+: 5021 0001 ori at,at,0x1
7574 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7575 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7576 [ 0-9a-f]+: 3020 8001 li at,-32767
7577 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7578 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7579 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
7580 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7581 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7582 [ 0-9a-f]+: 3020 ffff li at,-1
7583 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7584 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7585 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
7586 [ 0-9a-f]+: 5021 5678 ori at,at,0x5678
7587 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7588 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7589 [ 0-9a-f]+: 6064 c000 sdl v1,0\(a0\)
7590 [ 0-9a-f]+: 6064 d007 sdr v1,7\(a0\)
7591 [ 0-9a-f]+: 6064 c004 sdl v1,4\(a0\)
7592 [ 0-9a-f]+: 6064 d00b sdr v1,11\(a0\)
7593 [ 0-9a-f]+: 3024 07ff addiu at,a0,2047
7594 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7595 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7596 [ 0-9a-f]+: 6064 c800 sdl v1,-2048\(a0\)
7597 [ 0-9a-f]+: 6064 d807 sdr v1,-2041\(a0\)
7598 [ 0-9a-f]+: 3024 0800 addiu at,a0,2048
7599 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7600 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7601 [ 0-9a-f]+: 3024 f7ff addiu at,a0,-2049
7602 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7603 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7604 [ 0-9a-f]+: 3024 7ff1 addiu at,a0,32753
7605 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7606 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7607 [ 0-9a-f]+: 3024 8000 addiu at,a0,-32768
7608 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7609 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7610 [ 0-9a-f]+: 5020 ffff li at,0xffff
7611 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7612 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7613 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7614 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
7615 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7616 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7617 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7618 [ 0-9a-f]+: 3020 8000 li at,-32768
7619 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7620 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7621 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7622 [ 0-9a-f]+: 41a1 ffff lui at,0xffff
7623 [ 0-9a-f]+: 5021 0001 ori at,at,0x1
7624 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7625 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7626 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7627 [ 0-9a-f]+: 3020 8001 li at,-32767
7628 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7629 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7630 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7631 [ 0-9a-f]+: 41a1 f000 lui at,0xf000
7632 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7633 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7634 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7635 [ 0-9a-f]+: 3020 ffff li at,-1
7636 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7637 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7638 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7639 [ 0-9a-f]+: 41a1 1234 lui at,0x1234
7640 [ 0-9a-f]+: 5021 5678 ori at,at,0x5678
7641 [ 0-9a-f]+: 0081 0950 addu at,at,a0
7642 [ 0-9a-f]+: 6061 c000 sdl v1,0\(at\)
7643 [ 0-9a-f]+: 6061 d007 sdr v1,7\(at\)
7644 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
7645 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
7646 [ 0-9a-f]+: 6201 4000 ldl s0,0\(at\)
7647 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
7648 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
7649 [ 0-9a-f]+: 6201 5000 ldr s0,0\(at\)
7650 [ 0-9a-f]+: 3203 0000 addiu s0,v1,0
7651 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
7652 [ 0-9a-f]+: 6210 7000 lld s0,0\(s0\)
7653 [ 0-9a-f]+: 3203 0000 addiu s0,v1,0
7654 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
7655 [ 0-9a-f]+: 6210 e000 lwu s0,0\(s0\)
7656 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
7657 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
7658 [ 0-9a-f]+: 6201 f000 scd s0,0\(at\)
7659 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
7660 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
7661 [ 0-9a-f]+: 6201 c000 sdl s0,0\(at\)
7662 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
7663 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
7664 [ 0-9a-f]+: 6201 d000 sdr s0,0\(at\)
7665 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
7666 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
7667 [ 0-9a-f]+: 2021 7000 ldm s0,0\(at\)
7668 [ 0-9a-f]+: 3223 0000 addiu s1,v1,0
7669 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
7670 [ 0-9a-f]+: 2211 4000 ldp s0,0\(s1\)
7671 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
7672 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
7673 [ 0-9a-f]+: 2021 f000 sdm s0,0\(at\)
7674 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
7675 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
7676 [ 0-9a-f]+: 2201 c000 sdp s0,0\(at\)
7677 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
7678 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
7679 [ 0-9a-f]+: 2201 2000 ldc2 \$16,0\(at\)
7680 [ 0-9a-f]+: 3023 0000 addiu at,v1,0
7681 [ ]*[0-9a-f]+: R_MICROMIPS_LO16 test
7682 [ 0-9a-f]+: 2201 a000 sdc2 \$16,0\(at\)
7683
7684 [0-9a-f]+ <test_delay_slot>:
7685 [ 0-9a-f]+: 4060 fffe bal [0-9a-f]+ <test_delay_slot>
7686 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test_delay_slot
7687 [ 0-9a-f]+: 0000 0000 nop
7688 [ 0-9a-f]+: 4063 fffe bgezal v1,[0-9a-f]+ <test_delay_slot\+0x[0-9a-f]+>
7689 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test_delay_slot
7690 [ 0-9a-f]+: 0000 0000 nop
7691 [ 0-9a-f]+: 4023 fffe bltzal v1,[0-9a-f]+ <test_delay_slot\+0x[0-9a-f]+>
7692 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test_delay_slot
7693 [ 0-9a-f]+: 0000 0000 nop
7694 [ 0-9a-f]+: 4263 fffe bgezals v1,[0-9a-f]+ <test_delay_slot\+0x[0-9a-f]+>
7695 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test_delay_slot
7696 [ 0-9a-f]+: 0c00 nop
7697 [ 0-9a-f]+: 4223 fffe bltzals v1,[0-9a-f]+ <test_delay_slot\+0x[0-9a-f]+>
7698 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test_delay_slot
7699 [ 0-9a-f]+: 0c00 nop
7700 [ 0-9a-f]+: f400 0000 jal [0-9a-f]+ <test>
7701 [ ]*[0-9a-f]+: R_MICROMIPS_26_S1 test_delay_slot
7702 [ 0-9a-f]+: 0000 0000 nop
7703 [ 0-9a-f]+: f000 0000 jalx [0-9a-f]+ <test>
7704 [ ]*[0-9a-f]+: R_MICROMIPS_26_S1 test_delay_slot
7705 [ 0-9a-f]+: 0000 0000 nop
7706 [ 0-9a-f]+: 45c2 jalr v0
7707 [ 0-9a-f]+: 0000 0000 nop
7708 [ 0-9a-f]+: 03e2 0f3c jalr v0
7709 [ 0-9a-f]+: 0000 0000 nop
7710 [ 0-9a-f]+: 4582 jr v0
7711 [ 0-9a-f]+: 0c00 nop
7712 [ 0-9a-f]+: 0002 0f3c jr v0
7713 [ 0-9a-f]+: 0000 0000 nop
7714 [ 0-9a-f]+: 03e2 1f3c jalr\.hb v0
7715 [ 0-9a-f]+: 0000 0000 nop
7716 [ 0-9a-f]+: 0002 1f3c jr\.hb v0
7717 [ 0-9a-f]+: 0000 0000 nop
7718 [ 0-9a-f]+: 7400 0000 jals [0-9a-f]+ <test>
7719 [ ]*[0-9a-f]+: R_MICROMIPS_26_S1 test_delay_slot
7720 [ 0-9a-f]+: 0c00 nop
7721 [ 0-9a-f]+: 45e2 jalrs v0
7722 [ 0-9a-f]+: 0c00 nop
7723 [ 0-9a-f]+: 03e2 4f3c jalrs v0
7724 [ 0-9a-f]+: 0c00 nop
7725 [ 0-9a-f]+: 0002 4f3c jrs v0
7726 [ 0-9a-f]+: 0c00 nop
7727 [ 0-9a-f]+: 03e2 5f3c jalrs\.hb v0
7728 [ 0-9a-f]+: 0c00 nop
7729 [ 0-9a-f]+: 0002 5f3c jrs\.hb v0
7730 [ 0-9a-f]+: 0c00 nop
7731
7732 [0-9a-f]+ <test_spec102>:
7733 [ 0-9a-f]+: 6540 lw v0,-256\(gp\)
7734 [ 0-9a-f]+: 65c0 lw v1,-256\(gp\)
7735 [ 0-9a-f]+: 6640 lw a0,-256\(gp\)
7736 [ 0-9a-f]+: 66c0 lw a1,-256\(gp\)
7737 [ 0-9a-f]+: 6740 lw a2,-256\(gp\)
7738 [ 0-9a-f]+: 67c0 lw a3,-256\(gp\)
7739 [ 0-9a-f]+: 6440 lw s0,-256\(gp\)
7740 [ 0-9a-f]+: 64c0 lw s1,-256\(gp\)
7741 [ 0-9a-f]+: 64c1 lw s1,-252\(gp\)
7742 [ 0-9a-f]+: 64ff lw s1,-4\(gp\)
7743 [ 0-9a-f]+: 6480 lw s1,0\(gp\)
7744 [ 0-9a-f]+: 6481 lw s1,4\(gp\)
7745 [ 0-9a-f]+: 64be lw s1,248\(gp\)
7746 [ 0-9a-f]+: 64bf lw s1,252\(gp\)
7747 [ 0-9a-f]+: fe3c 0100 lw s1,256\(gp\)
7748 [ 0-9a-f]+: fe3c fefc lw s1,-260\(gp\)
7749 [ 0-9a-f]+: fe3c 0001 lw s1,1\(gp\)
7750 [ 0-9a-f]+: fe3c 0002 lw s1,2\(gp\)
7751 [ 0-9a-f]+: fe3c 0003 lw s1,3\(gp\)
7752 [ 0-9a-f]+: fe3c ffff lw s1,-1\(gp\)
7753 [ 0-9a-f]+: fe3c fffe lw s1,-2\(gp\)
7754 [ 0-9a-f]+: fe3c fffd lw s1,-3\(gp\)
7755 [ 0-9a-f]+: fe3b 0000 lw s1,0\(k1\)
7756 [ 0-9a-f]+: 7900 0000 addiu v0,\$pc,0
7757 [ 0-9a-f]+: 7980 0000 addiu v1,\$pc,0
7758 [ 0-9a-f]+: 7a00 0000 addiu a0,\$pc,0
7759 [ 0-9a-f]+: 7a80 0000 addiu a1,\$pc,0
7760 [ 0-9a-f]+: 7b00 0000 addiu a2,\$pc,0
7761 [ 0-9a-f]+: 7b80 0000 addiu a3,\$pc,0
7762 [ 0-9a-f]+: 7800 0000 addiu s0,\$pc,0
7763 [ 0-9a-f]+: 7880 0000 addiu s1,\$pc,0
7764 [ 0-9a-f]+: 78bf ffff addiu s1,\$pc,16777212
7765 [ 0-9a-f]+: 78c0 0000 addiu s1,\$pc,-16777216
7766 [ 0-9a-f]+: 7900 0000 addiu v0,\$pc,0
7767 [ 0-9a-f]+: 7980 0000 addiu v1,\$pc,0
7768 [ 0-9a-f]+: 7a00 0000 addiu a0,\$pc,0
7769 [ 0-9a-f]+: 7a80 0000 addiu a1,\$pc,0
7770 [ 0-9a-f]+: 7b00 0000 addiu a2,\$pc,0
7771 [ 0-9a-f]+: 7b80 0000 addiu a3,\$pc,0
7772 [ 0-9a-f]+: 7800 0000 addiu s0,\$pc,0
7773 [ 0-9a-f]+: 7880 0000 addiu s1,\$pc,0
7774 [ 0-9a-f]+: 78bf ffff addiu s1,\$pc,16777212
7775 [ 0-9a-f]+: 78c0 0000 addiu s1,\$pc,-16777216
7776
7777 [0-9a-f]+ <test_spec107>:
7778 [ 0-9a-f]+: 8400 movep a1,a2,zero,zero
7779 [ 0-9a-f]+: 8480 movep a1,a3,zero,zero
7780 [ 0-9a-f]+: 8500 movep a2,a3,zero,zero
7781 [ 0-9a-f]+: 8580 movep a0,s5,zero,zero
7782 [ 0-9a-f]+: 8600 movep a0,s6,zero,zero
7783 [ 0-9a-f]+: 8680 movep a0,a1,zero,zero
7784 [ 0-9a-f]+: 8700 movep a0,a2,zero,zero
7785 [ 0-9a-f]+: 8780 movep a0,a3,zero,zero
7786 [ 0-9a-f]+: 8782 movep a0,a3,s1,zero
7787 [ 0-9a-f]+: 8784 movep a0,a3,v0,zero
7788 [ 0-9a-f]+: 8786 movep a0,a3,v1,zero
7789 [ 0-9a-f]+: 8788 movep a0,a3,s0,zero
7790 [ 0-9a-f]+: 878a movep a0,a3,s2,zero
7791 [ 0-9a-f]+: 878c movep a0,a3,s3,zero
7792 [ 0-9a-f]+: 878e movep a0,a3,s4,zero
7793 [ 0-9a-f]+: 879e movep a0,a3,s4,s1
7794 [ 0-9a-f]+: 87ae movep a0,a3,s4,v0
7795 [ 0-9a-f]+: 87be movep a0,a3,s4,v1
7796 [ 0-9a-f]+: 87ce movep a0,a3,s4,s0
7797 [ 0-9a-f]+: 87de movep a0,a3,s4,s2
7798 [ 0-9a-f]+: 87ee movep a0,a3,s4,s3
7799 [ 0-9a-f]+: 87fe movep a0,a3,s4,s4
7800 [ 0-9a-f]+: 4260 fffe bals [0-9a-f]+ <.*\+0x[0-9a-f]+>
7801 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test_spec107
7802 [ 0-9a-f]+: 0c00 nop
7803 [ 0-9a-f]+: 4262 fffe bgezals v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
7804 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test_spec107
7805 [ 0-9a-f]+: 0c00 nop
7806 [ 0-9a-f]+: 4222 fffe bltzals v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
7807 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test_spec107
7808 [ 0-9a-f]+: 0c00 nop
7809 [ 0-9a-f]+: 4060 fffe bal [0-9a-f]+ <.*\+0x[0-9a-f]+>
7810 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test_spec107
7811 [ 0-9a-f]+: 0000 0000 nop
7812 [ 0-9a-f]+: 4062 fffe bgezal v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
7813 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test_spec107
7814 [ 0-9a-f]+: 0000 0000 nop
7815 [ 0-9a-f]+: 4022 fffe bltzal v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
7816 [ ]*[0-9a-f]+: R_MICROMIPS_PC16_S1 test_spec107
7817 [ 0-9a-f]+: 0000 0000 nop
7818 #pass
This page took 0.241234 seconds and 4 git commands to generate.