Correct tx49 sanitation.
[deliverable/binutils-gdb.git] / opcodes / mips-opc.c
1 /* mips.h. Mips opcode list for GDB, the GNU debugger.
2 Copyright 1993, 1994, 1995, 1996, 1997 Free Software Foundation, Inc.
3 Contributed by Ralph Campbell and OSF
4 Commented and modified by Ian Lance Taylor, Cygnus Support
5
6 This file is part of GDB, GAS, and the GNU binutils.
7
8 GDB, GAS, and the GNU binutils are free software; you can redistribute
9 them and/or modify them under the terms of the GNU General Public
10 License as published by the Free Software Foundation; either version
11 1, or (at your option) any later version.
12
13 GDB, GAS, and the GNU binutils are distributed in the hope that they
14 will be useful, but WITHOUT ANY WARRANTY; without even the implied
15 warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See
16 the GNU General Public License for more details.
17
18 You should have received a copy of the GNU General Public License
19 along with this file; see the file COPYING. If not, write to the Free
20 Software Foundation, 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. */
21
22 #include <stdio.h>
23 #include "ansidecl.h"
24 #include "opcode/mips.h"
25
26 /* Short hand so the lines aren't too long. */
27
28 #define LDD INSN_LOAD_MEMORY_DELAY
29 #define LCD INSN_LOAD_COPROC_DELAY
30 #define UBD INSN_UNCOND_BRANCH_DELAY
31 #define CBD INSN_COND_BRANCH_DELAY
32 #define COD INSN_COPROC_MOVE_DELAY
33 #define CLD INSN_COPROC_MEMORY_DELAY
34 #define CBL INSN_COND_BRANCH_LIKELY
35 #define TRAP INSN_TRAP
36 #define SM INSN_STORE_MEMORY
37
38 #define WR_d INSN_WRITE_GPR_D
39 #define WR_t INSN_WRITE_GPR_T
40 #define WR_31 INSN_WRITE_GPR_31
41 #define WR_D INSN_WRITE_FPR_D
42 #define WR_T INSN_WRITE_FPR_T
43 #define WR_S INSN_WRITE_FPR_S
44 #define RD_s INSN_READ_GPR_S
45 #define RD_b INSN_READ_GPR_S
46 #define RD_t INSN_READ_GPR_T
47 #define RD_S INSN_READ_FPR_S
48 #define RD_T INSN_READ_FPR_T
49 #define RD_R INSN_READ_FPR_R
50 #define WR_CC INSN_WRITE_COND_CODE
51 #define RD_CC INSN_READ_COND_CODE
52 #define RD_C0 INSN_COP
53 #define RD_C1 INSN_COP
54 #define RD_C2 INSN_COP
55 #define RD_C3 INSN_COP
56 #define WR_C0 INSN_COP
57 #define WR_C1 INSN_COP
58 #define WR_C2 INSN_COP
59 #define WR_C3 INSN_COP
60 #define WR_HI INSN_WRITE_HI
61 #define WR_LO INSN_WRITE_LO
62 #define RD_HI INSN_READ_HI
63 #define RD_LO INSN_READ_LO
64
65 /* start-sanitize-vr5400 */
66 #define WR_HILO WR_HI|WR_LO
67 #define RD_HILO RD_HI|RD_LO
68 #define MOD_HILO WR_HILO|RD_HILO
69 /* end-sanitize-vr5400 */
70
71 #define I1 INSN_ISA1
72 #define I2 INSN_ISA2
73 #define I3 INSN_ISA3
74 #define I4 INSN_ISA4
75 #define P3 INSN_4650
76 #define L1 INSN_4010
77 #define V1 INSN_4100
78 #define T3 INSN_3900
79 /* start-sanitize-tx49 */
80 #define T4 INSN_4900
81 /* end-sanitize-tx49 */
82 /* start-sanitize-vr5400 */
83 #define N5 INSN_5400
84 /* end-sanitize-vr5400 */
85 /* start-sanitize-r5900 */
86 #define T5 INSN_5900
87 /* end-sanitize-r5900 */
88
89 #define G1 (T3 \
90 /* start-sanitize-tx49 */ \
91 | T4 \
92 /* end-sanitize-tx49 */ \
93 /* start-sanitize-r5900 */ \
94 | T5 \
95 /* end-sanitize-r5900 */ \
96 )
97
98 #define G2 (T3 \
99 /* start-sanitize-tx49 */ \
100 | T4 \
101 /* end-sanitize-tx49 */ \
102 )
103
104 #define G3 (I4 \
105 /* start-sanitize-tx49 */ \
106 | T4 \
107 /* end-sanitize-tx49 */ \
108 )
109
110 /* The order of overloaded instructions matters. Label arguments and
111 register arguments look the same. Instructions that can have either
112 for arguments must apear in the correct order in this table for the
113 assembler to pick the right one. In other words, entries with
114 immediate operands must apear after the same instruction with
115 registers.
116
117 Many instructions are short hand for other instructions (i.e., The
118 jal <register> instruction is short for jalr <register>). */
119
120 const struct mips_opcode mips_builtin_opcodes[] = {
121 /* These instructions appear first so that the disassembler will find
122 them first. The assemblers uses a hash table based on the
123 instruction name anyhow. */
124 /* name, args, mask, match, pinfo */
125 {"nop", "", 0x00000000, 0xffffffff, 0, I1 },
126 {"li", "t,j", 0x24000000, 0xffe00000, WR_t, I1 }, /* addiu */
127 {"li", "t,i", 0x34000000, 0xffe00000, WR_t, I1 }, /* ori */
128 {"li", "t,I", 0, (int) M_LI, INSN_MACRO },
129 {"move", "d,s", 0x0000002d, 0xfc1f07ff, WR_d|RD_s, I3 },/* daddu */
130 {"move", "d,s", 0x00000021, 0xfc1f07ff, WR_d|RD_s, I1 },/* addu */
131 {"move", "d,s", 0x00000025, 0xfc1f07ff, WR_d|RD_s, I1 },/* or */
132 {"b", "p", 0x10000000, 0xffff0000, UBD, I1 },/* beq 0,0 */
133 {"b", "p", 0x04010000, 0xffff0000, UBD, I1 },/* bgez 0 */
134 {"bal", "p", 0x04110000, 0xffff0000, UBD|WR_31, I1 },/* bgezal 0*/
135
136 {"abs", "d,v", 0, (int) M_ABS, INSN_MACRO },
137 {"abs.s", "D,V", 0x46000005, 0xffff003f, WR_D|RD_S|FP_S, I1 },
138 {"abs.d", "D,V", 0x46200005, 0xffff003f, WR_D|RD_S|FP_D, I1 },
139 {"add", "d,v,t", 0x00000020, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
140 {"add", "t,r,I", 0, (int) M_ADD_I, INSN_MACRO },
141 {"add.s", "D,V,T", 0x46000000, 0xffe0003f, WR_D|RD_S|RD_T|FP_S, I1},
142 {"add.d", "D,V,T", 0x46200000, 0xffe0003f, WR_D|RD_S|RD_T|FP_D, I1},
143 {"addi", "t,r,j", 0x20000000, 0xfc000000, WR_t|RD_s, I1 },
144 {"addiu", "t,r,j", 0x24000000, 0xfc000000, WR_t|RD_s, I1 },
145 {"addu", "d,v,t", 0x00000021, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
146 {"addu", "t,r,I", 0, (int) M_ADDU_I, INSN_MACRO },
147 {"and", "d,v,t", 0x00000024, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
148 {"and", "t,r,I", 0, (int) M_AND_I, INSN_MACRO },
149 {"andi", "t,r,i", 0x30000000, 0xfc000000, WR_t|RD_s, I1 },
150 /* b is at the top of the table. */
151 /* bal is at the top of the table. */
152 {"bc0f", "p", 0x41000000, 0xffff0000, CBD|RD_CC, I1 },
153 {"bc0fl", "p", 0x41020000, 0xffff0000, CBL|RD_CC, I2|T3 },
154 {"bc1f", "p", 0x45000000, 0xffff0000, CBD|RD_CC|FP_S, I1 },
155 {"bc1f", "N,p", 0x45000000, 0xffe30000, CBD|RD_CC|FP_S, I4 },
156 {"bc1fl", "p", 0x45020000, 0xffff0000, CBL|RD_CC|FP_S, I2|T3 },
157 {"bc1fl", "N,p", 0x45020000, 0xffe30000, CBL|RD_CC|FP_S, I4 },
158 {"bc2f", "p", 0x49000000, 0xffff0000, CBD|RD_CC, I1 },
159 {"bc2fl", "p", 0x49020000, 0xffff0000, CBL|RD_CC, I2|T3 },
160 {"bc3f", "p", 0x4d000000, 0xffff0000, CBD|RD_CC, I1 },
161 {"bc3fl", "p", 0x4d020000, 0xffff0000, CBL|RD_CC, I2|T3 },
162 {"bc0t", "p", 0x41010000, 0xffff0000, CBD|RD_CC, I1 },
163 {"bc0tl", "p", 0x41030000, 0xffff0000, CBL|RD_CC, I2|T3 },
164 {"bc1t", "p", 0x45010000, 0xffff0000, CBD|RD_CC|FP_S, I1 },
165 {"bc1t", "N,p", 0x45010000, 0xffe30000, CBD|RD_CC|FP_S, I4 },
166 {"bc1tl", "p", 0x45030000, 0xffff0000, CBL|RD_CC|FP_S, I2|T3 },
167 {"bc1tl", "N,p", 0x45030000, 0xffe30000, CBL|RD_CC|FP_S, I4 },
168 {"bc2t", "p", 0x49010000, 0xffff0000, CBD|RD_CC, I1 },
169 {"bc2tl", "p", 0x49030000, 0xffff0000, CBL|RD_CC, I2|T3 },
170 {"bc3t", "p", 0x4d010000, 0xffff0000, CBD|RD_CC, I1 },
171 {"bc3tl", "p", 0x4d030000, 0xffff0000, CBL|RD_CC, I2|T3 },
172 {"beqz", "s,p", 0x10000000, 0xfc1f0000, CBD|RD_s, I1 },
173 {"beqzl", "s,p", 0x50000000, 0xfc1f0000, CBL|RD_s, I2|T3 },
174 {"beq", "s,t,p", 0x10000000, 0xfc000000, CBD|RD_s|RD_t, I1 },
175 {"beq", "s,I,p", 0, (int) M_BEQ_I, INSN_MACRO },
176 {"beql", "s,t,p", 0x50000000, 0xfc000000, CBL|RD_s|RD_t, I2|T3 },
177 {"beql", "s,I,p", 2, (int) M_BEQL_I, INSN_MACRO },
178 {"bge", "s,t,p", 0, (int) M_BGE, INSN_MACRO },
179 {"bge", "s,I,p", 0, (int) M_BGE_I, INSN_MACRO },
180 {"bgel", "s,t,p", 2, (int) M_BGEL, INSN_MACRO },
181 {"bgel", "s,I,p", 2, (int) M_BGEL_I, INSN_MACRO },
182 {"bgeu", "s,t,p", 0, (int) M_BGEU, INSN_MACRO },
183 {"bgeu", "s,I,p", 0, (int) M_BGEU_I, INSN_MACRO },
184 {"bgeul", "s,t,p", 2, (int) M_BGEUL, INSN_MACRO },
185 {"bgeul", "s,I,p", 2, (int) M_BGEUL_I, INSN_MACRO },
186 {"bgez", "s,p", 0x04010000, 0xfc1f0000, CBD|RD_s, I1 },
187 {"bgezl", "s,p", 0x04030000, 0xfc1f0000, CBL|RD_s, I2|T3 },
188 {"bgezal", "s,p", 0x04110000, 0xfc1f0000, CBD|RD_s|WR_31, I1 },
189 {"bgezall", "s,p", 0x04130000, 0xfc1f0000, CBL|RD_s, I2|T3 },
190 {"bgt", "s,t,p", 0, (int) M_BGT, INSN_MACRO },
191 {"bgt", "s,I,p", 0, (int) M_BGT_I, INSN_MACRO },
192 {"bgtl", "s,t,p", 2, (int) M_BGTL, INSN_MACRO },
193 {"bgtl", "s,I,p", 2, (int) M_BGTL_I, INSN_MACRO },
194 {"bgtu", "s,t,p", 0, (int) M_BGTU, INSN_MACRO },
195 {"bgtu", "s,I,p", 0, (int) M_BGTU_I, INSN_MACRO },
196 {"bgtul", "s,t,p", 2, (int) M_BGTUL, INSN_MACRO },
197 {"bgtul", "s,I,p", 2, (int) M_BGTUL_I, INSN_MACRO },
198 {"bgtz", "s,p", 0x1c000000, 0xfc1f0000, CBD|RD_s, I1 },
199 {"bgtzl", "s,p", 0x5c000000, 0xfc1f0000, CBL|RD_s, I2|T3 },
200 {"ble", "s,t,p", 0, (int) M_BLE, INSN_MACRO },
201 {"ble", "s,I,p", 0, (int) M_BLE_I, INSN_MACRO },
202 {"blel", "s,t,p", 2, (int) M_BLEL, INSN_MACRO },
203 {"blel", "s,I,p", 2, (int) M_BLEL_I, INSN_MACRO },
204 {"bleu", "s,t,p", 0, (int) M_BLEU, INSN_MACRO },
205 {"bleu", "s,I,p", 0, (int) M_BLEU_I, INSN_MACRO },
206 {"bleul", "s,t,p", 2, (int) M_BLEUL, INSN_MACRO },
207 {"bleul", "s,I,p", 2, (int) M_BLEUL_I, INSN_MACRO },
208 {"blez", "s,p", 0x18000000, 0xfc1f0000, CBD|RD_s, I1 },
209 {"blezl", "s,p", 0x58000000, 0xfc1f0000, CBL|RD_s, I2|T3 },
210 {"blt", "s,t,p", 0, (int) M_BLT, INSN_MACRO },
211 {"blt", "s,I,p", 0, (int) M_BLT_I, INSN_MACRO },
212 {"bltl", "s,t,p", 2, (int) M_BLTL, INSN_MACRO },
213 {"bltl", "s,I,p", 2, (int) M_BLTL_I, INSN_MACRO },
214 {"bltu", "s,t,p", 0, (int) M_BLTU, INSN_MACRO },
215 {"bltu", "s,I,p", 0, (int) M_BLTU_I, INSN_MACRO },
216 {"bltul", "s,t,p", 2, (int) M_BLTUL, INSN_MACRO },
217 {"bltul", "s,I,p", 2, (int) M_BLTUL_I, INSN_MACRO },
218 {"bltz", "s,p", 0x04000000, 0xfc1f0000, CBD|RD_s, I1 },
219 {"bltzl", "s,p", 0x04020000, 0xfc1f0000, CBL|RD_s, I2|T3 },
220 {"bltzal", "s,p", 0x04100000, 0xfc1f0000, CBD|RD_s|WR_31, I1 },
221 {"bltzall", "s,p", 0x04120000, 0xfc1f0000, CBL|RD_s, I2|T3 },
222 {"bnez", "s,p", 0x14000000, 0xfc1f0000, CBD|RD_s, I1 },
223 {"bnezl", "s,p", 0x54000000, 0xfc1f0000, CBL|RD_s, I2|T3 },
224 {"bne", "s,t,p", 0x14000000, 0xfc000000, CBD|RD_s|RD_t, I1 },
225 {"bne", "s,I,p", 0, (int) M_BNE_I, INSN_MACRO },
226 {"bnel", "s,t,p", 0x54000000, 0xfc000000, CBL|RD_s|RD_t, I2|T3 },
227 {"bnel", "s,I,p", 2, (int) M_BNEL_I, INSN_MACRO },
228 {"break", "", 0x0000000d, 0xffffffff, TRAP, I1 },
229 {"break", "c", 0x0000000d, 0xfc00003f, TRAP, I1 },
230 {"c.f.d", "S,T", 0x46200030, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
231 {"c.f.d", "M,S,T", 0x46200030, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
232 {"c.f.s", "S,T", 0x46000030, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
233 {"c.f.s", "M,S,T", 0x46000030, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
234 {"c.un.d", "S,T", 0x46200031, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
235 {"c.un.d", "M,S,T", 0x46200031, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
236 {"c.un.s", "S,T", 0x46000031, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
237 {"c.un.s", "M,S,T", 0x46000031, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
238 {"c.eq.d", "S,T", 0x46200032, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
239 {"c.eq.d", "M,S,T", 0x46200032, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
240 {"c.eq.s", "S,T", 0x46000032, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
241 {"c.eq.s", "M,S,T", 0x46000032, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
242 {"c.ueq.d", "S,T", 0x46200033, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
243 {"c.ueq.d", "M,S,T", 0x46200033, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
244 {"c.ueq.s", "S,T", 0x46000033, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
245 {"c.ueq.s", "M,S,T", 0x46000033, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
246 {"c.olt.d", "S,T", 0x46200034, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
247 {"c.olt.d", "M,S,T", 0x46200034, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
248 {"c.olt.s", "S,T", 0x46000034, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
249 {"c.olt.s", "M,S,T", 0x46000034, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
250 {"c.ult.d", "S,T", 0x46200035, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
251 {"c.ult.d", "M,S,T", 0x46200035, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
252 {"c.ult.s", "S,T", 0x46000035, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
253 {"c.ult.s", "M,S,T", 0x46000035, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
254 {"c.ole.d", "S,T", 0x46200036, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
255 {"c.ole.d", "M,S,T", 0x46200036, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
256 {"c.ole.s", "S,T", 0x46000036, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
257 {"c.ole.s", "M,S,T", 0x46000036, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
258 {"c.ule.d", "S,T", 0x46200037, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
259 {"c.ule.d", "M,S,T", 0x46200037, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
260 {"c.ule.s", "S,T", 0x46000037, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
261 {"c.ule.s", "M,S,T", 0x46000037, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
262 {"c.sf.d", "S,T", 0x46200038, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
263 {"c.sf.d", "M,S,T", 0x46200038, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
264 {"c.sf.s", "S,T", 0x46000038, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
265 {"c.sf.s", "M,S,T", 0x46000038, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
266 {"c.ngle.d","S,T", 0x46200039, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
267 {"c.ngle.d","M,S,T", 0x46200039, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
268 {"c.ngle.s","S,T", 0x46000039, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
269 {"c.ngle.s","M,S,T", 0x46000039, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
270 {"c.seq.d", "S,T", 0x4620003a, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
271 {"c.seq.d", "M,S,T", 0x4620003a, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
272 {"c.seq.s", "S,T", 0x4600003a, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
273 {"c.seq.s", "M,S,T", 0x4600003a, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
274 {"c.ngl.d", "S,T", 0x4620003b, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
275 {"c.ngl.d", "M,S,T", 0x4620003b, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
276 {"c.ngl.s", "S,T", 0x4600003b, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
277 {"c.ngl.s", "M,S,T", 0x4600003b, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
278 {"c.lt.d", "S,T", 0x4620003c, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
279 {"c.lt.d", "M,S,T", 0x4620003c, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
280 {"c.lt.s", "S,T", 0x4600003c, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
281 {"c.lt.s", "M,S,T", 0x4600003c, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
282 {"c.nge.d", "S,T", 0x4620003d, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
283 {"c.nge.d", "M,S,T", 0x4620003d, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
284 {"c.nge.s", "S,T", 0x4600003d, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
285 {"c.nge.s", "M,S,T", 0x4600003d, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
286 {"c.le.d", "S,T", 0x4620003e, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
287 {"c.le.d", "M,S,T", 0x4620003e, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
288 {"c.le.s", "S,T", 0x4600003e, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
289 {"c.le.s", "M,S,T", 0x4600003e, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
290 {"c.ngt.d", "S,T", 0x4620003f, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
291 {"c.ngt.d", "M,S,T", 0x4620003f, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
292 {"c.ngt.s", "S,T", 0x4600003f, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
293 {"c.ngt.s", "M,S,T", 0x4600003f, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
294 {"cache", "k,o(b)", 0xbc000000, 0xfc000000, RD_b, I3 },
295 {"ceil.l.d", "D,S", 0x4620000a, 0xffff003f, WR_D|RD_S|FP_D, I3 },
296 {"ceil.l.s", "D,S", 0x4600000a, 0xffff003f, WR_D|RD_S|FP_S, I3 },
297 {"ceil.w.d", "D,S", 0x4620000e, 0xffff003f, WR_D|RD_S|FP_D, I2 },
298 {"ceil.w.s", "D,S", 0x4600000e, 0xffff003f, WR_D|RD_S|FP_S, I2 },
299 {"cfc0", "t,G", 0x40400000, 0xffe007ff, LCD|WR_t|RD_C0, I1 },
300 {"cfc1", "t,G", 0x44400000, 0xffe007ff, LCD|WR_t|RD_C1|FP_S, I1 },
301 {"cfc1", "t,S", 0x44400000, 0xffe007ff, LCD|WR_t|RD_C1|FP_S, I1 },
302 {"cfc2", "t,G", 0x48400000, 0xffe007ff, LCD|WR_t|RD_C2, I1 },
303 {"cfc3", "t,G", 0x4c400000, 0xffe007ff, LCD|WR_t|RD_C3, I1 },
304 {"ctc0", "t,G", 0x40c00000, 0xffe007ff, COD|RD_t|WR_CC, I1 },
305 {"ctc1", "t,G", 0x44c00000, 0xffe007ff, COD|RD_t|WR_CC|FP_S, I1 },
306 {"ctc1", "t,S", 0x44c00000, 0xffe007ff, COD|RD_t|WR_CC|FP_S, I1 },
307 {"ctc2", "t,G", 0x48c00000, 0xffe007ff, COD|RD_t|WR_CC, I1 },
308 {"ctc3", "t,G", 0x4cc00000, 0xffe007ff, COD|RD_t|WR_CC, I1 },
309 {"cvt.d.l", "D,S", 0x46a00021, 0xffff003f, WR_D|RD_S|FP_D, I3 },
310 {"cvt.d.s", "D,S", 0x46000021, 0xffff003f, WR_D|RD_S|FP_D|FP_S, I1 },
311 {"cvt.d.w", "D,S", 0x46800021, 0xffff003f, WR_D|RD_S|FP_D, I1 },
312 {"cvt.l.d", "D,S", 0x46200025, 0xffff003f, WR_D|RD_S|FP_D, I3 },
313 {"cvt.l.s", "D,S", 0x46000025, 0xffff003f, WR_D|RD_S|FP_S, I3 },
314 {"cvt.s.l", "D,S", 0x46a00020, 0xffff003f, WR_D|RD_S|FP_S, I3 },
315 {"cvt.s.d", "D,S", 0x46200020, 0xffff003f, WR_D|RD_S|FP_S|FP_D, I1 },
316 {"cvt.s.w", "D,S", 0x46800020, 0xffff003f, WR_D|RD_S|FP_S, I1 },
317 {"cvt.w.d", "D,S", 0x46200024, 0xffff003f, WR_D|RD_S|FP_D, I1 },
318 {"cvt.w.s", "D,S", 0x46000024, 0xffff003f, WR_D|RD_S|FP_S, I1 },
319 {"dabs", "d,v", 3, (int) M_DABS, INSN_MACRO },
320 {"dadd", "d,v,t", 0x0000002c, 0xfc0007ff, WR_d|RD_s|RD_t, I3 },
321 {"dadd", "t,r,I", 3, (int) M_DADD_I, INSN_MACRO },
322 {"daddi", "t,r,j", 0x60000000, 0xfc000000, WR_t|RD_s, I3 },
323 {"daddiu", "t,r,j", 0x64000000, 0xfc000000, WR_t|RD_s, I3 },
324 {"daddu", "d,v,t", 0x0000002d, 0xfc0007ff, WR_d|RD_s|RD_t, I3 },
325 {"daddu", "t,r,I", 3, (int) M_DADDU_I, INSN_MACRO },
326 /* start-sanitize-vr5400 */
327 {"dbreak", "", 0x7000003f, 0xffffffff, 0, N5 },
328 /* end-sanitize-vr5400 */
329 /* dctr and dctw are used on the r5000. */
330 {"dctr", "o(b)", 0xbc050000, 0xfc1f0000, RD_b, I3 },
331 {"dctw", "o(b)", 0xbc090000, 0xfc1f0000, RD_b, I3 },
332 {"deret", "", 0x4200001f, 0xffffffff, 0, G2 },
333 /* For ddiv, see the comments about div. */
334 {"ddiv", "z,s,t", 0x0000001e, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I3 },
335 {"ddiv", "d,v,t", 3, (int) M_DDIV_3, INSN_MACRO },
336 {"ddiv", "d,v,I", 3, (int) M_DDIV_3I, INSN_MACRO },
337 /* For ddivu, see the comments about div. */
338 {"ddivu", "z,s,t", 0x0000001f, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I3 },
339 {"ddivu", "d,v,t", 3, (int) M_DDIVU_3, INSN_MACRO },
340 {"ddivu", "d,v,I", 3, (int) M_DDIVU_3I, INSN_MACRO },
341 /* The MIPS assembler treats the div opcode with two operands as
342 though the first operand appeared twice (the first operand is both
343 a source and a destination). To get the div machine instruction,
344 you must use an explicit destination of $0. */
345 {"div", "z,s,t", 0x0000001a, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I1 },
346 {"div", "z,t", 0x0000001a, 0xffe0ffff, RD_s|RD_t|WR_HI|WR_LO, I1 },
347 {"div", "d,v,t", 0, (int) M_DIV_3, INSN_MACRO },
348 {"div", "d,v,I", 0, (int) M_DIV_3I, INSN_MACRO },
349 /* start-sanitize-r5900 */
350 {"div1", "s,t", 0x7000001a, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
351 /* end-sanitize-r5900 */
352 {"div.d", "D,V,T", 0x46200003, 0xffe0003f, WR_D|RD_S|RD_T|FP_D, I1 },
353 {"div.s", "D,V,T", 0x46000003, 0xffe0003f, WR_D|RD_S|RD_T|FP_S, I1 },
354 /* For divu, see the comments about div. */
355 {"divu", "z,s,t", 0x0000001b, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I1 },
356 {"divu", "z,t", 0x0000001b, 0xffe0ffff, RD_s|RD_t|WR_HI|WR_LO, I1 },
357 {"divu", "d,v,t", 0, (int) M_DIVU_3, INSN_MACRO },
358 {"divu", "d,v,I", 0, (int) M_DIVU_3I, INSN_MACRO },
359 /* start-sanitize-r5900 */
360 {"divu1", "s,t", 0x7000001b, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
361 /* end-sanitize-r5900 */
362 {"dla", "t,A(b)", 3, (int) M_DLA_AB, INSN_MACRO },
363 {"dli", "t,j", 0x24000000, 0xffe00000, WR_t, I3 }, /* addiu */
364 {"dli", "t,i", 0x34000000, 0xffe00000, WR_t, I3 }, /* ori */
365 {"dli", "t,I", 3, (int) M_DLI, INSN_MACRO },
366 {"dmadd16", "s,t", 0x00000029, 0xfc00ffff, RD_s|RD_t|WR_LO|RD_LO, V1 },
367 {"dmfc0", "t,G", 0x40200000, 0xffe007ff, LCD|WR_t|RD_C0, I3 },
368 {"dmtc0", "t,G", 0x40a00000, 0xffe007ff, COD|RD_t|WR_C0|WR_CC, I3 },
369 {"dmfc1", "t,S", 0x44200000, 0xffe007ff, LCD|WR_t|RD_S|FP_S, I3 },
370 {"dmtc1", "t,S", 0x44a00000, 0xffe007ff, COD|RD_t|WR_S|FP_S, I3 },
371 {"dmul", "d,v,t", 3, (int) M_DMUL, INSN_MACRO },
372 {"dmul", "d,v,I", 3, (int) M_DMUL_I, INSN_MACRO },
373 {"dmulo", "d,v,t", 3, (int) M_DMULO, INSN_MACRO },
374 {"dmulo", "d,v,I", 3, (int) M_DMULO_I, INSN_MACRO },
375 {"dmulou", "d,v,t", 3, (int) M_DMULOU, INSN_MACRO },
376 {"dmulou", "d,v,I", 3, (int) M_DMULOU_I, INSN_MACRO },
377 {"dmult", "s,t", 0x0000001c, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I3},
378 /* start-sanitize-tx49 */
379 {"dmult", "d,s,t", 0x0000001c, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, T4},
380 /* end-sanitize-tx49 */
381 {"dmultu", "s,t", 0x0000001d, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I3},
382 /* start-sanitize-tx49 */
383 {"dmultu", "d,s,t", 0x0000001d, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, T4},
384 /* end-sanitize-tx49 */
385 {"dneg", "d,w", 0x0000002e, 0xffe007ff, WR_d|RD_t, I3 }, /* dsub 0 */
386 {"dnegu", "d,w", 0x0000002f, 0xffe007ff, WR_d|RD_t, I3 }, /* dsubu 0*/
387 {"drem", "z,s,t", 0x0000001e, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I3 },
388 {"drem", "d,v,t", 3, (int) M_DREM_3, INSN_MACRO },
389 {"drem", "d,v,I", 3, (int) M_DREM_3I, INSN_MACRO },
390 {"dremu", "z,s,t", 0x0000001f, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I3 },
391 {"dremu", "d,v,t", 3, (int) M_DREMU_3, INSN_MACRO },
392 {"dremu", "d,v,I", 3, (int) M_DREMU_3I, INSN_MACRO },
393 /* start-sanitize-vr5400 */
394 {"dret", "", 0x7000003e, 0xffffffff, 0, N5 },
395 {"drorv", "d,t,s", 0x00000056, 0xfc0007ff, RD_t|RD_s|WR_d, N5 },
396 {"dror32", "d,t,>", 0x0020003e, 0xffe0003f, WR_d|RD_t, N5 },
397 {"dror", "d,t,<", 0x00200036, 0xffe0003f, WR_d|RD_t, N5 },
398 /* end-sanitize-vr5400 */
399 {"dsllv", "d,t,s", 0x00000014, 0xfc0007ff, WR_d|RD_t|RD_s, I3 },
400 {"dsll32", "d,w,<", 0x0000003c, 0xffe0003f, WR_d|RD_t, I3 },
401 {"dsll", "d,w,s", 0x00000014, 0xfc0007ff, WR_d|RD_t|RD_s, I3 }, /* dsllv */
402 {"dsll", "d,w,>", 0x0000003c, 0xffe0003f, WR_d|RD_t, I3 }, /* dsll32 */
403 {"dsll", "d,w,<", 0x00000038, 0xffe0003f, WR_d|RD_t, I3 },
404 {"dsrav", "d,t,s", 0x00000017, 0xfc0007ff, WR_d|RD_t|RD_s, I3 },
405 {"dsra32", "d,w,<", 0x0000003f, 0xffe0003f, WR_d|RD_t, I3 },
406 {"dsra", "d,w,s", 0x00000017, 0xfc0007ff, WR_d|RD_t|RD_s, I3 }, /* dsrav */
407 {"dsra", "d,w,>", 0x0000003f, 0xffe0003f, WR_d|RD_t, I3 }, /* dsra32 */
408 {"dsra", "d,w,<", 0x0000003b, 0xffe0003f, WR_d|RD_t, I3 },
409 {"dsrlv", "d,t,s", 0x00000016, 0xfc0007ff, WR_d|RD_t|RD_s, I3 },
410 {"dsrl32", "d,w,<", 0x0000003e, 0xffe0003f, WR_d|RD_t, I3 },
411 {"dsrl", "d,w,s", 0x00000016, 0xfc0007ff, WR_d|RD_t|RD_s, I3 }, /* dsrlv */
412 {"dsrl", "d,w,>", 0x0000003e, 0xffe0003f, WR_d|RD_t, I3 }, /* dsrl32 */
413 {"dsrl", "d,w,<", 0x0000003a, 0xffe0003f, WR_d|RD_t, I3 },
414 {"dsub", "d,v,t", 0x0000002e, 0xfc0007ff, WR_d|RD_s|RD_t, I3 },
415 {"dsub", "d,v,I", 3, (int) M_DSUB_I, INSN_MACRO },
416 {"dsubu", "d,v,t", 0x0000002f, 0xfc0007ff, WR_d|RD_s|RD_t, I3 },
417 {"dsubu", "d,v,I", 3, (int) M_DSUBU_I, INSN_MACRO },
418 {"eret", "", 0x42000018, 0xffffffff, 0, I3 },
419 {"floor.l.d", "D,S", 0x4620000b, 0xffff003f, WR_D|RD_S|FP_D, I3 },
420 {"floor.l.s", "D,S", 0x4600000b, 0xffff003f, WR_D|RD_S|FP_S, I3 },
421 {"floor.w.d", "D,S", 0x4620000f, 0xffff003f, WR_D|RD_S|FP_D, I2 },
422 {"floor.w.s", "D,S", 0x4600000f, 0xffff003f, WR_D|RD_S|FP_S, I2 },
423 {"flushi", "", 0xbc010000, 0xffffffff, 0, L1 },
424 {"flushd", "", 0xbc020000, 0xffffffff, 0, L1 },
425 {"flushid", "", 0xbc030000, 0xffffffff, 0, L1 },
426 {"hibernate","", 0x42000023, 0xffffffff, 0, V1 },
427 {"jr", "s", 0x00000008, 0xfc1fffff, UBD|RD_s, I1 },
428 {"j", "s", 0x00000008, 0xfc1fffff, UBD|RD_s, I1 }, /* jr */
429 /* SVR4 PIC code requires special handling for j, so it must be a
430 macro. */
431 {"j", "a", 0, (int) M_J_A, INSN_MACRO },
432 /* This form of j is used by the disassembler and internally by the
433 assembler, but will never match user input (because the line above
434 will match first). */
435 {"j", "a", 0x08000000, 0xfc000000, UBD, I1 },
436 {"jalr", "s", 0x0000f809, 0xfc1fffff, UBD|RD_s|WR_d, I1 },
437 {"jalr", "d,s", 0x00000009, 0xfc1f07ff, UBD|RD_s|WR_d, I1 },
438 /* SVR4 PIC code requires special handling for jal, so it must be a
439 macro. */
440 {"jal", "d,s", 0, (int) M_JAL_2, INSN_MACRO },
441 {"jal", "s", 0, (int) M_JAL_1, INSN_MACRO },
442 {"jal", "a", 0, (int) M_JAL_A, INSN_MACRO },
443 /* This form of jal is used by the disassembler and internally by the
444 assembler, but will never match user input (because the line above
445 will match first). */
446 {"jal", "a", 0x0c000000, 0xfc000000, UBD|WR_31, I1 },
447 /* jalx really should only be avaliable if mips16 is available,
448 but for now make it I1. */
449 {"jalx", "a", 0x74000000, 0xfc000000, UBD|WR_31, I1 },
450 {"la", "t,A(b)", 0, (int) M_LA_AB, INSN_MACRO },
451 {"lb", "t,o(b)", 0x80000000, 0xfc000000, LDD|RD_b|WR_t, I1 },
452 {"lb", "t,A(b)", 0, (int) M_LB_AB, INSN_MACRO },
453 {"lbu", "t,o(b)", 0x90000000, 0xfc000000, LDD|RD_b|WR_t, I1 },
454 {"lbu", "t,A(b)", 0, (int) M_LBU_AB, INSN_MACRO },
455 {"ld", "t,o(b)", 0xdc000000, 0xfc000000, WR_t|RD_b, I3 },
456 {"ld", "t,o(b)", 0, (int) M_LD_OB, INSN_MACRO },
457 {"ld", "t,A(b)", 0, (int) M_LD_AB, INSN_MACRO },
458 {"ldc1", "T,o(b)", 0xd4000000, 0xfc000000, CLD|RD_b|WR_T|FP_D, I2 },
459 {"ldc1", "E,o(b)", 0xd4000000, 0xfc000000, CLD|RD_b|WR_T|FP_D, I2 },
460 {"ldc1", "T,A(b)", 2, (int) M_LDC1_AB, INSN_MACRO },
461 {"ldc1", "E,A(b)", 2, (int) M_LDC1_AB, INSN_MACRO },
462 {"l.d", "T,o(b)", 0xd4000000, 0xfc000000, CLD|RD_b|WR_T|FP_D, I2 }, /* ldc1 */
463 {"l.d", "T,o(b)", 0, (int) M_L_DOB, INSN_MACRO },
464 {"l.d", "T,A(b)", 0, (int) M_L_DAB, INSN_MACRO },
465 {"ldc2", "E,o(b)", 0xd8000000, 0xfc000000, CLD|RD_b|WR_CC, I2 },
466 {"ldc2", "E,A(b)", 2, (int) M_LDC2_AB, INSN_MACRO },
467 {"ldc3", "E,o(b)", 0xdc000000, 0xfc000000, CLD|RD_b|WR_CC, I2 },
468 {"ldc3", "E,A(b)", 2, (int) M_LDC3_AB, INSN_MACRO },
469 {"ldl", "t,o(b)", 0x68000000, 0xfc000000, LDD|WR_t|RD_b, I3 },
470 {"ldl", "t,A(b)", 3, (int) M_LDL_AB, INSN_MACRO },
471 {"ldr", "t,o(b)", 0x6c000000, 0xfc000000, LDD|WR_t|RD_b, I3 },
472 {"ldr", "t,A(b)", 3, (int) M_LDR_AB, INSN_MACRO },
473 {"ldxc1", "D,t(b)", 0x4c000001, 0xfc00f83f, LDD|WR_D|RD_t|RD_b, I4 },
474 {"lh", "t,o(b)", 0x84000000, 0xfc000000, LDD|RD_b|WR_t, I1 },
475 {"lh", "t,A(b)", 0, (int) M_LH_AB, INSN_MACRO },
476 {"lhu", "t,o(b)", 0x94000000, 0xfc000000, LDD|RD_b|WR_t, I1 },
477 {"lhu", "t,A(b)", 0, (int) M_LHU_AB, INSN_MACRO },
478 /* li is at the start of the table. */
479 {"li.d", "t,F", 0, (int) M_LI_D, INSN_MACRO },
480 {"li.d", "T,L", 0, (int) M_LI_DD, INSN_MACRO },
481 {"li.s", "t,f", 0, (int) M_LI_S, INSN_MACRO },
482 {"li.s", "T,l", 0, (int) M_LI_SS, INSN_MACRO },
483 {"ll", "t,o(b)", 0xc0000000, 0xfc000000, LDD|RD_b|WR_t, I2 },
484 {"ll", "t,A(b)", 2, (int) M_LL_AB, INSN_MACRO },
485 {"lld", "t,o(b)", 0xd0000000, 0xfc000000, LDD|RD_b|WR_t, I3 },
486 {"lld", "t,A(b)", 3, (int) M_LLD_AB, INSN_MACRO },
487 {"lui", "t,u", 0x3c000000, 0xffe00000, WR_t, I1 },
488 /* start-sanitize-r5900 */
489 {"lq", "t,o(b)", 0x78000000, 0xfc000000, WR_t|RD_b, T5 },
490 /* end-sanitize-r5900 */
491 {"lw", "t,o(b)", 0x8c000000, 0xfc000000, LDD|RD_b|WR_t, I1 },
492 {"lw", "t,A(b)", 0, (int) M_LW_AB, INSN_MACRO },
493 {"lwc0", "E,o(b)", 0xc0000000, 0xfc000000, CLD|RD_b|WR_CC, I1 },
494 {"lwc0", "E,A(b)", 0, (int) M_LWC0_AB, INSN_MACRO },
495 {"lwc1", "T,o(b)", 0xc4000000, 0xfc000000, CLD|RD_b|WR_T|FP_S, I1 },
496 {"lwc1", "E,o(b)", 0xc4000000, 0xfc000000, CLD|RD_b|WR_T|FP_S, I1 },
497 {"lwc1", "T,A(b)", 0, (int) M_LWC1_AB, INSN_MACRO },
498 {"lwc1", "E,A(b)", 0, (int) M_LWC1_AB, INSN_MACRO },
499 {"l.s", "T,o(b)", 0xc4000000, 0xfc000000, CLD|RD_b|WR_T|FP_S, I1 }, /* lwc1 */
500 {"l.s", "T,A(b)", 0, (int) M_LWC1_AB, INSN_MACRO },
501 {"lwc2", "E,o(b)", 0xc8000000, 0xfc000000, CLD|RD_b|WR_CC, I1 },
502 {"lwc2", "E,A(b)", 0, (int) M_LWC2_AB, INSN_MACRO },
503 {"lwc3", "E,o(b)", 0xcc000000, 0xfc000000, CLD|RD_b|WR_CC, I1 },
504 {"lwc3", "E,A(b)", 0, (int) M_LWC3_AB, INSN_MACRO },
505 {"lwl", "t,o(b)", 0x88000000, 0xfc000000, LDD|RD_b|WR_t, I1 },
506 {"lwl", "t,A(b)", 0, (int) M_LWL_AB, INSN_MACRO },
507 {"lcache", "t,o(b)", 0x88000000, 0xfc000000, LDD|RD_b|WR_t, I2 }, /* same */
508 {"lcache", "t,A(b)", 2, (int) M_LWL_AB, INSN_MACRO }, /* as lwl */
509 {"lwr", "t,o(b)", 0x98000000, 0xfc000000, LDD|RD_b|WR_t, I1 },
510 {"lwr", "t,A(b)", 0, (int) M_LWR_AB, INSN_MACRO },
511 {"flush", "t,o(b)", 0x98000000, 0xfc000000, LDD|RD_b|WR_t, I2 }, /* same */
512 {"flush", "t,A(b)", 2, (int) M_LWR_AB, INSN_MACRO }, /* as lwr */
513 {"lwu", "t,o(b)", 0x9c000000, 0xfc000000, LDD|RD_b|WR_t, I3 },
514 {"lwu", "t,A(b)", 3, (int) M_LWU_AB, INSN_MACRO },
515 {"lwxc1", "D,t(b)", 0x4c000000, 0xfc00f83f, LDD|WR_D|RD_t|RD_b, I4 },
516 /* start-sanitize-vr5400 */
517 {"macc", "d,s,t", 0x00000158, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
518 {"maccu", "d,s,t", 0x00000159, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
519 {"macchi", "d,s,t", 0x00000358, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
520 {"macchiu", "d,s,t", 0x00000359, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
521 /* end-sanitize-vr5400 */
522 {"mad", "s,t", 0x70000000, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO|RD_HI|RD_LO, P3 },
523 {"madu", "s,t", 0x70000001, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO|RD_HI|RD_LO, P3 },
524 {"addciu", "t,r,j", 0x70000000, 0xfc000000, WR_t|RD_s,L1 },
525 {"madd.d", "D,R,S,T", 0x4c000021, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_D, I4 },
526 {"madd.s", "D,R,S,T", 0x4c000020, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_S, I4 },
527 /* start-sanitize-r5900 */
528 {"madd.s", "D,R,S,T", 0x4c000020, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_S, T5 },
529 /* end-sanitize-r5900 */
530 {"madd", "s,t", 0x0000001c, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, L1 },
531 {"madd", "s,t", 0x70000000, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, G1 },
532 {"madd", "d,s,t", 0x70000000, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, G1 },
533 /* start-sanitize-r5900 */
534 {"madd1", "s,t", 0x70000020, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
535 {"madd1", "d,s,t", 0x70000020, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, T5 },
536 /* end-sanitize-r5900 */
537 {"maddu", "s,t", 0x0000001d, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, L1 },
538 {"maddu", "s,t", 0x70000001, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, G1 },
539 {"maddu", "d,s,t", 0x70000001, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, G1 },
540 /* start-sanitize-r5900 */
541 {"maddu1", "s,t", 0x70000021, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
542 {"maddu1", "d,s,t", 0x70000021, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, T5 },
543 /* end-sanitize-r5900 */
544 {"madd16", "s,t", 0x00000028, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO|RD_HI|RD_LO, V1 },
545 /* start-sanitize-vr5400 */
546 {"mfpc", "t,P", 0x4000c801, 0xffe0ffc1, RD_C0|WR_t, N5 },
547 {"mfps", "t,P", 0x4000c800, 0xffe0ffc1, RD_C0|WR_t, N5 },
548 {"mtpc", "t,P", 0x4080c801, 0xffe0ffc1, WR_C0|RD_t, N5 },
549 {"mtps", "t,P", 0x4080c800, 0xffe0ffc1, WR_C0|RD_t, N5 },
550 /* end-sanitize-vr5400 */
551 {"mfc0", "t,G", 0x40000000, 0xffe007ff, LCD|WR_t|RD_C0, I1 },
552 {"mfc1", "t,S", 0x44000000, 0xffe007ff, LCD|WR_t|RD_S|FP_S, I1 },
553 {"mfc1", "t,G", 0x44000000, 0xffe007ff, LCD|WR_t|RD_S|FP_S, I1 },
554 {"mfc2", "t,G", 0x48000000, 0xffe007ff, LCD|WR_t|RD_C2, I1 },
555 {"mfc3", "t,G", 0x4c000000, 0xffe007ff, LCD|WR_t|RD_C3, I1 },
556 /* start-sanitize-vr5400 */
557 {"mfdr", "t,G", 0x7000003d, 0xffe007ff, LCD|WR_t|RD_C0, N5 },
558 /* end-sanitize-vr5400 */
559 {"mfhi", "d", 0x00000010, 0xffff07ff, WR_d|RD_HI, I1 },
560 /* start-sanitize-r5900 */
561 {"mfhi1", "d", 0x70000010, 0xffff07ff, WR_d|RD_HI, T5 },
562 /* end-sanitize-r5900 */
563 {"mflo", "d", 0x00000012, 0xffff07ff, WR_d|RD_LO, I1 },
564 /* start-sanitize-r5900 */
565 {"mflo1", "d", 0x70000012, 0xffff07ff, WR_d|RD_LO, T5 },
566 {"mfsa", "d", 0x00000028, 0xffff07ff, WR_d, T5 },
567 /* end-sanitize-r5900 */
568 {"mov.d", "D,S", 0x46200006, 0xffff003f, WR_D|RD_S|FP_D, I1 },
569 {"mov.s", "D,S", 0x46000006, 0xffff003f, WR_D|RD_S|FP_S, I1 },
570 {"movf", "d,s,N", 0x00000001, 0xfc0307ff, WR_d|RD_s|RD_CC|FP_D|FP_S, I4 },
571 {"movf.d", "D,S,N", 0x46200011, 0xffe3003f, WR_D|RD_S|RD_CC|FP_D, I4 },
572 {"movf.s", "D,S,N", 0x46000011, 0xffe3003f, WR_D|RD_S|RD_CC|FP_S, I4 },
573 {"movn", "d,v,t", 0x0000000b, 0xfc0007ff, WR_d|RD_s|RD_t, I4 },
574 /* start-sanitize-r5900 */
575 {"movn", "d,v,t", 0x0000000b, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
576 /* end-sanitize-r5900 */
577 {"ffc", "d,v", 0x0000000b, 0xfc1f07ff, WR_d|RD_s,L1 },
578 {"movn.d", "D,S,t", 0x46200013, 0xffe0003f, WR_D|RD_S|RD_t|FP_D, I4 },
579 {"movn.s", "D,S,t", 0x46000013, 0xffe0003f, WR_D|RD_S|RD_t|FP_S, I4 },
580 {"movt", "d,s,N", 0x00010001, 0xfc0307ff, WR_d|RD_s|RD_CC, I4 },
581 {"movt.d", "D,S,N", 0x46210011, 0xffe3003f, WR_D|RD_S|RD_CC|FP_D, I4 },
582 {"movt.s", "D,S,N", 0x46010011, 0xffe3003f, WR_D|RD_S|RD_CC|FP_S, I4 },
583 {"movz", "d,v,t", 0x0000000a, 0xfc0007ff, WR_d|RD_s|RD_t, I4 },
584 /* start-sanitize-r5900 */
585 {"movz", "d,v,t", 0x0000000a, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
586 /* end-sanitize-r5900 */
587 {"ffs", "d,v", 0x0000000a, 0xfc1f07ff, WR_d|RD_s,L1 },
588 {"movz.d", "D,S,t", 0x46200012, 0xffe0003f, WR_D|RD_S|RD_t|FP_D, I4 },
589 {"movz.s", "D,S,t", 0x46000012, 0xffe0003f, WR_D|RD_S|RD_t|FP_S, I4 },
590 /* start-sanitize-vr5400 */
591 {"msac", "d,s,t", 0x000001d8, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
592 {"msacu", "d,s,t", 0x000001d9, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
593 {"msachi", "d,s,t", 0x000003d8, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
594 {"msachiu", "d,s,t", 0x000003d9, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
595 /* end-sanitize-vr5400 */
596 /* move is at the top of the table. */
597 {"msub.d", "D,R,S,T", 0x4c000029, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_D, I4 },
598 {"msub.s", "D,R,S,T", 0x4c000028, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_S, I4 },
599 /* start-sanitize-r5900 */
600 {"msub.s", "D,R,S,T", 0x4c000028, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_S, T5 },
601 /* end-sanitize-r5900 */
602 {"msub", "s,t", 0x0000001e, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO,L1 },
603 {"msubu", "s,t", 0x0000001f, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO,L1 },
604 {"mtc0", "t,G", 0x40800000, 0xffe007ff, COD|RD_t|WR_C0|WR_CC, I1 },
605 {"mtc1", "t,S", 0x44800000, 0xffe007ff, COD|RD_t|WR_S|FP_S, I1 },
606 {"mtc1", "t,G", 0x44800000, 0xffe007ff, COD|RD_t|WR_S|FP_S, I1 },
607 {"mtc2", "t,G", 0x48800000, 0xffe007ff, COD|RD_t|WR_C2|WR_CC, I1 },
608 {"mtc3", "t,G", 0x4c800000, 0xffe007ff, COD|RD_t|WR_C3|WR_CC, I1 },
609 /* start-sanitize-vr5400 */
610 {"mtdr", "t,G", 0x7080003d, 0xffe007ff, COD|RD_t|WR_C0, N5 },
611 /* end-sanitize-vr5400 */
612 {"mthi", "s", 0x00000011, 0xfc1fffff, RD_s|WR_HI, I1 },
613 /* start-sanitize-r5900 */
614 {"mthi1", "s", 0x70000011, 0xfc1fffff, RD_s|WR_HI, T5 },
615 /* end-sanitize-r5900 */
616 {"mtlo", "s", 0x00000013, 0xfc1fffff, RD_s|WR_LO, I1 },
617 /* start-sanitize-r5900 */
618 {"mtlo1", "s", 0x70000013, 0xfc1fffff, RD_s|WR_LO, T5 },
619 {"mtsa", "s", 0x00000029, 0xfc1fffff, RD_s, T5 },
620 {"mtsab", "s,j", 0x04180000, 0xfc1f0000, RD_s, T5 },
621 {"mtsah", "s,j", 0x04190000, 0xfc1f0000, RD_s, T5 },
622 /* end-sanitize-r5900 */
623 {"mul.d", "D,V,T", 0x46200002, 0xffe0003f, WR_D|RD_S|RD_T|FP_D, I1 },
624 {"mul.s", "D,V,T", 0x46000002, 0xffe0003f, WR_D|RD_S|RD_T|FP_S, I1 },
625 /* start-sanitize-vr5400 */
626 {"mulu", "d,s,t", 0x00000059, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
627 {"mulhi", "d,s,t", 0x00000258, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
628 {"mulhiu", "d,s,t", 0x00000259, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
629 {"mul", "d,s,t", 0x00000058, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
630 /* end-sanitize-vr5400 */
631 {"mul", "d,v,t", 0x70000002, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, P3 },
632 {"mul", "d,v,t", 0, (int) M_MUL, INSN_MACRO },
633 {"mul", "d,v,I", 0, (int) M_MUL_I, INSN_MACRO },
634 {"mulo", "d,v,t", 0, (int) M_MULO, INSN_MACRO },
635 {"mulo", "d,v,I", 0, (int) M_MULO_I, INSN_MACRO },
636 {"mulou", "d,v,t", 0, (int) M_MULOU, INSN_MACRO },
637 {"mulou", "d,v,I", 0, (int) M_MULOU_I, INSN_MACRO },
638 /* start-sanitize-vr5400 */
639 {"muls", "d,s,t", 0x000000d8, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
640 {"mulsu", "d,s,t", 0x000000d9, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
641 {"mulshi", "d,s,t", 0x000002d8, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
642 {"mulshiu", "d,s,t", 0x000002d9, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
643 /* end-sanitize-vr5400 */
644 {"mult", "s,t", 0x00000018, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I1},
645 {"mult", "d,s,t", 0x00000018, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, G1},
646 /* start-sanitize-r5900 */
647 {"mult1", "d,s,t", 0x70000018, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, T5},
648 /* end-sanitize-r5900 */
649 {"multu", "s,t", 0x00000019, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I1},
650 {"multu", "d,s,t", 0x00000019, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, G1},
651 /* start-sanitize-r5900 */
652 {"multu1", "d,s,t", 0x70000019, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, T5},
653 /* end-sanitize-r5900 */
654 {"neg", "d,w", 0x00000022, 0xffe007ff, WR_d|RD_t, I1 }, /* sub 0 */
655 {"negu", "d,w", 0x00000023, 0xffe007ff, WR_d|RD_t, I1 }, /* subu 0 */
656 {"neg.d", "D,V", 0x46200007, 0xffff003f, WR_D|RD_S|FP_D, I1 },
657 {"neg.s", "D,V", 0x46000007, 0xffff003f, WR_D|RD_S|FP_S, I1 },
658 {"nmadd.d", "D,R,S,T", 0x4c000031, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_D, I4 },
659 {"nmadd.s", "D,R,S,T", 0x4c000030, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_S, I4 },
660 {"nmsub.d", "D,R,S,T", 0x4c000039, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_D, I4 },
661 {"nmsub.s", "D,R,S,T", 0x4c000038, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_S, I4 },
662 /* nop is at the start of the table. */
663 {"nor", "d,v,t", 0x00000027, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
664 {"nor", "t,r,I", 0, (int) M_NOR_I, INSN_MACRO },
665 {"not", "d,v", 0x00000027, 0xfc1f07ff, WR_d|RD_s|RD_t, I1 },/*nor d,s,0*/
666 {"or", "d,v,t", 0x00000025, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
667 {"or", "t,r,I", 0, (int) M_OR_I, INSN_MACRO },
668 {"ori", "t,r,i", 0x34000000, 0xfc000000, WR_t|RD_s, I1 },
669
670 /* start-sanitize-r5900 */
671 {"pabsh", "d,t", 0x70000168, 0xffe007ff, WR_d|RD_t, T5 },
672 {"pabsw", "d,t", 0x70000068, 0xffe007ff, WR_d|RD_t, T5 },
673 {"paddb", "d,v,t", 0x70000208, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
674 {"paddh", "d,v,t", 0x70000108, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
675 {"paddw", "d,v,t", 0x70000008, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
676 {"paddsb", "d,v,t", 0x70000608, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
677 {"paddsh", "d,v,t", 0x70000508, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
678 {"paddsw", "d,v,t", 0x70000408, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
679 {"paddub", "d,v,t", 0x70000628, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
680 {"padduh", "d,v,t", 0x70000528, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
681 {"padduw", "d,v,t", 0x70000428, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
682 {"padsbh", "d,v,t", 0x70000128, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
683 {"pand", "d,v,t", 0x70000489, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
684 {"pceqb", "d,v,t", 0x700002a8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
685 {"pceqh", "d,v,t", 0x700001a8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
686 {"pceqw", "d,v,t", 0x700000a8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
687
688 {"pcgtb", "d,v,t", 0x70000288, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
689 {"pcgth", "d,v,t", 0x70000188, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
690 {"pcgtw", "d,v,t", 0x70000088, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
691
692 {"pcpyh", "d,t", 0x700006e9, 0xffe007ff, WR_d|RD_t, T5 },
693
694 {"pcpyld", "d,v,t", 0x70000389, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
695 {"pcpyud", "d,v,t", 0x700003a9, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
696
697 {"pdivbw", "s,t", 0x70000749, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
698 {"pdivuw", "s,t", 0x70000369, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
699 {"pdivw", "s,t", 0x70000349, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
700
701 {"pexch", "d,t", 0x700006a9, 0xffe007ff, WR_d|RD_t, T5 },
702 {"pexcw", "d,t", 0x700007a9, 0xffe007ff, WR_d|RD_t, T5 },
703 {"pexeh", "d,t", 0x70000689, 0xffe007ff, WR_d|RD_t, T5 },
704 {"pexoh", "d,t", 0x70000689, 0xffe007ff, WR_d|RD_t, T5 },
705 {"pexew", "d,t", 0x70000789, 0xffe007ff, WR_d|RD_t, T5 },
706 {"pexow", "d,t", 0x70000789, 0xffe007ff, WR_d|RD_t, T5 },
707
708 {"pext5", "d,t", 0x70000788, 0xffe007ff, WR_d|RD_t, T5 },
709
710 {"pextlb", "d,v,t", 0x70000688, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
711 {"pextlh", "d,v,t", 0x70000588, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
712 {"pextlw", "d,v,t", 0x70000488, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
713 {"pextub", "d,v,t", 0x700006a8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
714 {"pextuh", "d,v,t", 0x700005a8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
715 {"pextuw", "d,v,t", 0x700004a8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
716
717 {"phmaddh", "d,v,t", 0x70000449, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
718 {"phmsubh", "d,v,t", 0x70000549, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
719
720 {"pinth", "d,v,t", 0x70000289, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
721 {"pinteh", "d,v,t", 0x700002a9, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
722 {"pintoh", "d,v,t", 0x700002a9, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
723
724 {"plzcw", "d,v", 0x70000004, 0xfc1f07ff, WR_d|RD_s, T5 },
725
726 {"pmaddh", "d,v,t", 0x70000409, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
727 {"pmadduw", "d,v,t", 0x70000029, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
728 {"pmaddw", "d,v,t", 0x70000009, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
729
730 {"pmaxh", "d,v,t", 0x700001c8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
731 {"pmaxw", "d,v,t", 0x700000c8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
732
733 {"pmfhi", "d", 0x70000209, 0xffff07ff, WR_d|RD_HI, T5 },
734 {"pmflo", "d", 0x70000249, 0xffff07ff, WR_d|RD_LO, T5 },
735
736 {"pmfhl.lw", "d", 0x70000030, 0xffff07ff, WR_d|RD_LO|RD_HI, T5 },
737 {"pmfhl.uw", "d", 0x70000070, 0xffff07ff, WR_d|RD_LO|RD_HI, T5 },
738 {"pmfhl.slw","d", 0x700000b0, 0xffff07ff, WR_d|RD_LO|RD_HI, T5 },
739 {"pmfhl.lh", "d", 0x700000f0, 0xffff07ff, WR_d|RD_LO|RD_HI, T5 },
740 {"pmfhl.sh", "d", 0x70000130, 0xffff07ff, WR_d|RD_LO|RD_HI, T5 },
741
742 {"pminh", "d,v,t", 0x700001e8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
743 {"pminw", "d,v,t", 0x700000e8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
744
745 {"pmsubh", "d,v,t", 0x70000509, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
746 {"pmsubw", "d,v,t", 0x70000109, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
747
748 {"pmthi", "v", 0x70000229, 0xfc1fffff, WR_HI|RD_s, T5 },
749 {"pmtlo", "v", 0x70000269, 0xfc1fffff, WR_LO|RD_s, T5 },
750
751 {"pmthl.lw", "v", 0x70000031, 0xfc1fffff, WR_HI|WR_LO|RD_s, T5 },
752
753 {"pmulth", "d,v,t", 0x70000709, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
754 {"pmultuw", "d,v,t", 0x70000329, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
755 {"pmultw", "d,v,t", 0x70000309, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
756
757 {"pnor", "d,v,t", 0x700004e9, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
758 {"por", "d,v,t", 0x700004a9, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
759
760 {"ppac5", "d,t", 0x700007c8, 0xffe007ff, WR_d|RD_t, T5 },
761
762 {"ppacb", "d,v,t", 0x700006c8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
763 {"ppach", "d,v,t", 0x700005c8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
764 {"ppacw", "d,v,t", 0x700004c8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
765
766 {"prevh", "d,t", 0x700006c9, 0xffe007ff, WR_d|RD_t, T5 },
767 {"prot3w", "d,t", 0x700007c9, 0xffe007ff, WR_d|RD_t, T5 },
768
769 {"psllh", "d,t,<", 0x70000034, 0xffe0003f, WR_d|RD_t, T5 },
770 {"psllvw", "d,t,s", 0x70000089, 0xfc0007ff, WR_d|RD_t|RD_s, T5 },
771 {"psllw", "d,t,<", 0x7000003c, 0xffe0003f, WR_d|RD_t, T5 },
772
773 {"psrah", "d,t,<", 0x70000037, 0xffe0003f, WR_d|RD_t, T5 },
774 {"psravw", "d,t,s", 0x700000e9, 0xfc0007ff, WR_d|RD_t|RD_s, T5 },
775 {"psraw", "d,t,<", 0x7000003f, 0xffe0003f, WR_d|RD_t, T5 },
776
777 {"psrlh", "d,t,<", 0x70000036, 0xffe0003f, WR_d|RD_t, T5 },
778 {"psrlvw", "d,t,s", 0x700000c9, 0xfc0007ff, WR_d|RD_t|RD_s, T5 },
779 {"psrlw", "d,t,<", 0x7000003e, 0xffe0003f, WR_d|RD_t, T5 },
780
781 {"psubb", "d,v,t", 0x70000248, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
782 {"psubh", "d,v,t", 0x70000148, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
783 {"psubsb", "d,v,t", 0x70000648, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
784 {"psubsh", "d,v,t", 0x70000548, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
785 {"psubsw", "d,v,t", 0x70000448, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
786 {"psubub", "d,v,t", 0x70000668, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
787 {"psubuh", "d,v,t", 0x70000568, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
788 {"psubuw", "d,v,t", 0x70000468, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
789 {"psubw", "d,v,t", 0x70000048, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
790
791 {"pxor", "d,v,t", 0x700004c9, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
792 /* end-sanitize-r5900 */
793
794 {"pref", "k,o(b)", 0xcc000000, 0xfc000000, RD_b, G3 },
795 {"prefx", "h,t(b)", 0x4c00000f, 0xfc0007ff, RD_b|RD_t, I4 },
796
797 /* start-sanitize-r5900 */
798 {"qfsrv", "d,v,t", 0x700006e8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
799 /* end-sanitize-r5900 */
800
801 {"recip.d", "D,S", 0x46200015, 0xffff003f, WR_D|RD_S|FP_D, I4 },
802 {"recip.s", "D,S", 0x46000015, 0xffff003f, WR_D|RD_S|FP_S, I4 },
803 {"rem", "z,s,t", 0x0000001a, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I1 },
804 {"rem", "d,v,t", 0, (int) M_REM_3, INSN_MACRO },
805 {"rem", "d,v,I", 0, (int) M_REM_3I, INSN_MACRO },
806 {"remu", "z,s,t", 0x0000001b, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I1 },
807 {"remu", "d,v,t", 0, (int) M_REMU_3, INSN_MACRO },
808 {"remu", "d,v,I", 0, (int) M_REMU_3I, INSN_MACRO },
809 {"rfe", "", 0x42000010, 0xffffffff, 0, I1|T3 },
810 {"rol", "d,v,t", 0, (int) M_ROL, INSN_MACRO },
811 {"rol", "d,v,I", 0, (int) M_ROL_I, INSN_MACRO },
812 /* start-sanitize-vr5400 */
813 {"ror", "d,t,<", 0x00200002, 0xffe0003f, WR_d|RD_t, N5 },
814 /* end-sanitize-vr5400 */
815 {"ror", "d,v,t", 0, (int) M_ROR, INSN_MACRO },
816 {"ror", "d,v,I", 0, (int) M_ROR_I, INSN_MACRO },
817 /* start-sanitize-vr5400 */
818 {"rorv", "d,t,s", 0x00000046, 0xfc0007ff, RD_t|RD_s|WR_d, N5 },
819 /* end-sanitize-vr5400 */
820 {"round.l.d", "D,S", 0x46200008, 0xffff003f, WR_D|RD_S|FP_D, I3 },
821 {"round.l.s", "D,S", 0x46000008, 0xffff003f, WR_D|RD_S|FP_S, I3 },
822 {"round.w.d", "D,S", 0x4620000c, 0xffff003f, WR_D|RD_S|FP_D, I2 },
823 {"round.w.s", "D,S", 0x4600000c, 0xffff003f, WR_D|RD_S|FP_S, I2 },
824 {"rsqrt.d", "D,S", 0x46200016, 0xffff003f, WR_D|RD_S|FP_D, I4 },
825 {"rsqrt.s", "D,S", 0x46000016, 0xffff003f, WR_D|RD_S|FP_S, I4 },
826 /* start-sanitize-r5900 */
827 {"rsqrt.s", "D,S", 0x46000016, 0xffff003f, WR_D|RD_S|FP_S, T5 },
828 /* end-sanitize-r5900 */
829 {"sb", "t,o(b)", 0xa0000000, 0xfc000000, SM|RD_t|RD_b, I1 },
830 {"sb", "t,A(b)", 0, (int) M_SB_AB, INSN_MACRO },
831 {"sc", "t,o(b)", 0xe0000000, 0xfc000000, SM|RD_t|WR_t|RD_b, I2 },
832 {"sc", "t,A(b)", 2, (int) M_SC_AB, INSN_MACRO },
833 {"scd", "t,o(b)", 0xf0000000, 0xfc000000, SM|RD_t|WR_t|RD_b, I3 },
834 {"scd", "t,A(b)", 3, (int) M_SCD_AB, INSN_MACRO },
835 {"sd", "t,o(b)", 0xfc000000, 0xfc000000, SM|RD_t|RD_b, I3 },
836 {"sd", "t,o(b)", 0, (int) M_SD_OB, INSN_MACRO },
837 {"sd", "t,A(b)", 0, (int) M_SD_AB, INSN_MACRO },
838 {"sdbbp", "", 0x0000000e, 0xffffffff, TRAP, G2 },
839 {"sdbbp", "c", 0x0000000e, 0xfc00003f, TRAP, G2 },
840 {"sdc1", "T,o(b)", 0xf4000000, 0xfc000000, SM|RD_T|RD_b|FP_D, I2 },
841 {"sdc1", "E,o(b)", 0xf4000000, 0xfc000000, SM|RD_T|RD_b|FP_D, I2 },
842 {"sdc1", "T,A(b)", 2, (int) M_SDC1_AB, INSN_MACRO },
843 {"sdc1", "E,A(b)", 2, (int) M_SDC1_AB, INSN_MACRO },
844 {"sdc2", "E,o(b)", 0xf8000000, 0xfc000000, SM|RD_C2|RD_b, I2 },
845 {"sdc2", "E,A(b)", 2, (int) M_SDC2_AB, INSN_MACRO },
846 {"sdc3", "E,o(b)", 0xfc000000, 0xfc000000, SM|RD_C3|RD_b, I2 },
847 {"sdc3", "E,A(b)", 2, (int) M_SDC3_AB, INSN_MACRO },
848 {"s.d", "T,o(b)", 0xf4000000, 0xfc000000, SM|RD_T|RD_b, I2 },
849 {"s.d", "T,o(b)", 0, (int) M_S_DOB, INSN_MACRO },
850 {"s.d", "T,A(b)", 0, (int) M_S_DAB, INSN_MACRO },
851 {"sdl", "t,o(b)", 0xb0000000, 0xfc000000, SM|RD_t|RD_b, I3 },
852 {"sdl", "t,A(b)", 3, (int) M_SDL_AB, INSN_MACRO },
853 {"sdr", "t,o(b)", 0xb4000000, 0xfc000000, SM|RD_t|RD_b, I3 },
854 {"sdr", "t,A(b)", 3, (int) M_SDR_AB, INSN_MACRO },
855 {"sdxc1", "S,t(b)", 0x4c000009, 0xfc0007ff, SM|RD_S|RD_t|RD_b, I4 },
856 {"selsl", "d,v,t", 0x00000005, 0xfc0007ff, WR_d|RD_s|RD_t,L1 },
857 {"selsr", "d,v,t", 0x00000001, 0xfc0007ff, WR_d|RD_s|RD_t,L1 },
858 {"seq", "d,v,t", 0, (int) M_SEQ, INSN_MACRO },
859 {"seq", "d,v,I", 0, (int) M_SEQ_I, INSN_MACRO },
860 {"sge", "d,v,t", 0, (int) M_SGE, INSN_MACRO },
861 {"sge", "d,v,I", 0, (int) M_SGE_I, INSN_MACRO },
862 {"sgeu", "d,v,t", 0, (int) M_SGEU, INSN_MACRO },
863 {"sgeu", "d,v,I", 0, (int) M_SGEU_I, INSN_MACRO },
864 {"sgt", "d,v,t", 0, (int) M_SGT, INSN_MACRO },
865 {"sgt", "d,v,I", 0, (int) M_SGT_I, INSN_MACRO },
866 {"sgtu", "d,v,t", 0, (int) M_SGTU, INSN_MACRO },
867 {"sgtu", "d,v,I", 0, (int) M_SGTU_I, INSN_MACRO },
868 {"sh", "t,o(b)", 0xa4000000, 0xfc000000, SM|RD_t|RD_b, I1 },
869 {"sh", "t,A(b)", 0, (int) M_SH_AB, INSN_MACRO },
870 {"sle", "d,v,t", 0, (int) M_SLE, INSN_MACRO },
871 {"sle", "d,v,I", 0, (int) M_SLE_I, INSN_MACRO },
872 {"sleu", "d,v,t", 0, (int) M_SLEU, INSN_MACRO },
873 {"sleu", "d,v,I", 0, (int) M_SLEU_I, INSN_MACRO },
874 {"sllv", "d,t,s", 0x00000004, 0xfc0007ff, WR_d|RD_t|RD_s, I1 },
875 {"sll", "d,w,s", 0x00000004, 0xfc0007ff, WR_d|RD_t|RD_s, I1 }, /* sllv */
876 {"sll", "d,w,<", 0x00000000, 0xffe0003f, WR_d|RD_t, I1 },
877 {"slt", "d,v,t", 0x0000002a, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
878 {"slt", "d,v,I", 0, (int) M_SLT_I, INSN_MACRO },
879 {"slti", "t,r,j", 0x28000000, 0xfc000000, WR_t|RD_s, I1 },
880 {"sltiu", "t,r,j", 0x2c000000, 0xfc000000, WR_t|RD_s, I1 },
881 {"sltu", "d,v,t", 0x0000002b, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
882 {"sltu", "d,v,I", 0, (int) M_SLTU_I, INSN_MACRO },
883 {"sne", "d,v,t", 0, (int) M_SNE, INSN_MACRO },
884 {"sne", "d,v,I", 0, (int) M_SNE_I, INSN_MACRO },
885 /* start-sanitize-r5900 */
886 {"sq", "t,o(b)", 0x7c000000, 0xfc000000, SM|RD_t|RD_b, T5 },
887 /* end-sanitize-r5900 */
888 {"sqrt.d", "D,S", 0x46200004, 0xffff003f, WR_D|RD_S|FP_D, I2 },
889 {"sqrt.s", "D,S", 0x46000004, 0xffff003f, WR_D|RD_S|FP_S, I2 },
890 {"srav", "d,t,s", 0x00000007, 0xfc0007ff, WR_d|RD_t|RD_s, I1 },
891 {"sra", "d,w,s", 0x00000007, 0xfc0007ff, WR_d|RD_t|RD_s, I1 }, /* srav */
892 {"sra", "d,w,<", 0x00000003, 0xffe0003f, WR_d|RD_t, I1 },
893 {"srlv", "d,t,s", 0x00000006, 0xfc0007ff, WR_d|RD_t|RD_s, I1 },
894 {"srl", "d,w,s", 0x00000006, 0xfc0007ff, WR_d|RD_t|RD_s, I1 }, /* srlv */
895 {"srl", "d,w,<", 0x00000002, 0xffe0003f, WR_d|RD_t, I1 },
896 {"standby", "", 0x42000021, 0xffffffff, 0, V1 },
897 {"sub", "d,v,t", 0x00000022, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
898 {"sub", "d,v,I", 0, (int) M_SUB_I, INSN_MACRO },
899 {"sub.d", "D,V,T", 0x46200001, 0xffe0003f, WR_D|RD_S|RD_T|FP_D, I1 },
900 {"sub.s", "D,V,T", 0x46000001, 0xffe0003f, WR_D|RD_S|RD_T|FP_S, I1 },
901 {"subu", "d,v,t", 0x00000023, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
902 {"subu", "d,v,I", 0, (int) M_SUBU_I, INSN_MACRO },
903 {"suspend", "", 0x42000022, 0xffffffff, 0, V1 },
904 {"sw", "t,o(b)", 0xac000000, 0xfc000000, SM|RD_t|RD_b, I1 },
905 {"sw", "t,A(b)", 0, (int) M_SW_AB, INSN_MACRO },
906 {"swc0", "E,o(b)", 0xe0000000, 0xfc000000, SM|RD_C0|RD_b, I1 },
907 {"swc0", "E,A(b)", 0, (int) M_SWC0_AB, INSN_MACRO },
908 {"swc1", "T,o(b)", 0xe4000000, 0xfc000000, SM|RD_T|RD_b|FP_S, I1 },
909 {"swc1", "E,o(b)", 0xe4000000, 0xfc000000, SM|RD_T|RD_b|FP_S, I1 },
910 {"swc1", "T,A(b)", 0, (int) M_SWC1_AB, INSN_MACRO },
911 {"swc1", "E,A(b)", 0, (int) M_SWC1_AB, INSN_MACRO },
912 {"s.s", "T,o(b)", 0xe4000000, 0xfc000000, SM|RD_T|RD_b|FP_S, I1 }, /* swc1 */
913 {"s.s", "T,A(b)", 0, (int) M_SWC1_AB, INSN_MACRO },
914 {"swc2", "E,o(b)", 0xe8000000, 0xfc000000, SM|RD_C2|RD_b, I1 },
915 {"swc2", "E,A(b)", 0, (int) M_SWC2_AB, INSN_MACRO },
916 {"swc3", "E,o(b)", 0xec000000, 0xfc000000, SM|RD_C3|RD_b, I1 },
917 {"swc3", "E,A(b)", 0, (int) M_SWC3_AB, INSN_MACRO },
918 {"swl", "t,o(b)", 0xa8000000, 0xfc000000, SM|RD_t|RD_b, I1 },
919 {"swl", "t,A(b)", 0, (int) M_SWL_AB, INSN_MACRO },
920 {"scache", "t,o(b)", 0xa8000000, 0xfc000000, RD_t|RD_b, I2 }, /* same */
921 {"scache", "t,A(b)", 2, (int) M_SWL_AB, INSN_MACRO }, /* as swl */
922 {"swr", "t,o(b)", 0xb8000000, 0xfc000000, SM|RD_t|RD_b, I1 },
923 {"swr", "t,A(b)", 0, (int) M_SWR_AB, INSN_MACRO },
924 {"invalidate", "t,o(b)",0xb8000000, 0xfc000000, RD_t|RD_b, I2 }, /* same */
925 {"invalidate", "t,A(b)",2, (int) M_SWR_AB, INSN_MACRO }, /* as swr */
926 {"swxc1", "S,t(b)", 0x4c000008, 0xfc0007ff, SM|RD_S|RD_t|RD_b, I4 },
927 {"sync", "", 0x0000000f, 0xffffffff, 0, I2 },
928 {"syscall", "", 0x0000000c, 0xffffffff, TRAP, I1 },
929 {"syscall", "B", 0x0000000c, 0xfc00003f, TRAP, I1 },
930 {"teqi", "s,j", 0x040c0000, 0xfc1f0000, RD_s|TRAP, I2 },
931 {"teq", "s,t", 0x00000034, 0xfc00003f, RD_s|RD_t|TRAP, I2 },
932 {"teq", "s,j", 0x040c0000, 0xfc1f0000, RD_s|TRAP, I2 }, /* teqi */
933 {"teq", "s,I", 2, (int) M_TEQ_I, INSN_MACRO },
934 {"tgei", "s,j", 0x04080000, 0xfc1f0000, RD_s|TRAP, I2 },
935 {"tge", "s,t", 0x00000030, 0xfc00003f, RD_s|RD_t|TRAP, I2 },
936 {"tge", "s,j", 0x04080000, 0xfc1f0000, RD_s|TRAP, I2 }, /* tgei */
937 {"tge", "s,I", 2, (int) M_TGE_I, INSN_MACRO },
938 {"tgeiu", "s,j", 0x04090000, 0xfc1f0000, RD_s|TRAP, I2 },
939 {"tgeu", "s,t", 0x00000031, 0xfc00003f, RD_s|RD_t|TRAP, I2 },
940 {"tgeu", "s,j", 0x04090000, 0xfc1f0000, RD_s|TRAP, I2 }, /* tgeiu */
941 {"tgeu", "s,I", 2, (int) M_TGEU_I, INSN_MACRO },
942 {"tlbp", "", 0x42000008, 0xffffffff, INSN_TLB, I1 },
943 {"tlbr", "", 0x42000001, 0xffffffff, INSN_TLB, I1 },
944 {"tlbwi", "", 0x42000002, 0xffffffff, INSN_TLB, I1 },
945 {"tlbwr", "", 0x42000006, 0xffffffff, INSN_TLB, I1 },
946 {"tlti", "s,j", 0x040a0000, 0xfc1f0000, RD_s|TRAP, I2 },
947 {"tlt", "s,t", 0x00000032, 0xfc00003f, RD_s|RD_t|TRAP, I2 },
948 {"tlt", "s,j", 0x040a0000, 0xfc1f0000, RD_s|TRAP, I2 }, /* tlti */
949 {"tlt", "s,I", 2, (int) M_TLT_I, INSN_MACRO },
950 {"tltiu", "s,j", 0x040b0000, 0xfc1f0000, RD_s|TRAP, I2 },
951 {"tltu", "s,t", 0x00000033, 0xfc00003f, RD_s|RD_t|TRAP, I2 },
952 {"tltu", "s,j", 0x040b0000, 0xfc1f0000, RD_s|TRAP, I2 }, /* tltiu */
953 {"tltu", "s,I", 2, (int) M_TLTU_I, INSN_MACRO },
954 {"tnei", "s,j", 0x040e0000, 0xfc1f0000, RD_s|TRAP, I2 },
955 {"tne", "s,t", 0x00000036, 0xfc00003f, RD_s|RD_t|TRAP, I2 },
956 {"tne", "s,j", 0x040e0000, 0xfc1f0000, RD_s|TRAP, I2 }, /* tnei */
957 {"tne", "s,I", 2, (int) M_TNE_I, INSN_MACRO },
958 {"trunc.l.d", "D,S", 0x46200009, 0xffff003f, WR_D|RD_S|FP_D, I3 },
959 {"trunc.l.s", "D,S", 0x46000009, 0xffff003f, WR_D|RD_S|FP_S, I3 },
960 {"trunc.w.d", "D,S", 0x4620000d, 0xffff003f, WR_D|RD_S|FP_D, I2 },
961 {"trunc.w.d", "D,S,x", 0x4620000d, 0xffff003f, WR_D|RD_S|FP_D, I2 },
962 {"trunc.w.d", "D,S,t", 0, (int) M_TRUNCWD, INSN_MACRO },
963 {"trunc.w.s", "D,S", 0x4600000d, 0xffff003f, WR_D|RD_S|FP_S, I2 },
964 {"trunc.w.s", "D,S,x", 0x4600000d, 0xffff003f, WR_D|RD_S|FP_S, I2 },
965 {"trunc.w.s", "D,S,t", 0, (int) M_TRUNCWS, INSN_MACRO },
966 {"uld", "t,o(b)", 3, (int) M_ULD, INSN_MACRO },
967 {"uld", "t,A(b)", 3, (int) M_ULD_A, INSN_MACRO },
968 {"ulh", "t,o(b)", 0, (int) M_ULH, INSN_MACRO },
969 {"ulh", "t,A(b)", 0, (int) M_ULH_A, INSN_MACRO },
970 {"ulhu", "t,o(b)", 0, (int) M_ULHU, INSN_MACRO },
971 {"ulhu", "t,A(b)", 0, (int) M_ULHU_A, INSN_MACRO },
972 {"ulw", "t,o(b)", 0, (int) M_ULW, INSN_MACRO },
973 {"ulw", "t,A(b)", 0, (int) M_ULW_A, INSN_MACRO },
974 {"usd", "t,o(b)", 3, (int) M_USD, INSN_MACRO },
975 {"usd", "t,A(b)", 3, (int) M_USD_A, INSN_MACRO },
976 {"ush", "t,o(b)", 0, (int) M_USH, INSN_MACRO },
977 {"ush", "t,A(b)", 0, (int) M_USH_A, INSN_MACRO },
978 {"usw", "t,o(b)", 0, (int) M_USW, INSN_MACRO },
979 {"usw", "t,A(b)", 0, (int) M_USW_A, INSN_MACRO },
980 {"xor", "d,v,t", 0x00000026, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
981 {"xor", "t,r,I", 0, (int) M_XOR_I, INSN_MACRO },
982 {"xori", "t,r,i", 0x38000000, 0xfc000000, WR_t|RD_s, I1 },
983 {"wait", "", 0x42000020, 0xffffffff, TRAP, I3 },
984 {"waiti", "", 0x42000020, 0xffffffff, TRAP, L1 },
985 {"wb", "o(b)", 0xbc040000, 0xfc1f0000, SM|RD_b, L1 },
986 /* start-sanitize-vr5400 */
987 {"add.ob", "D,S,T", 0x4ac0000b, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
988 {"add.ob", "D,S,T[e]", 0x4800000b, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
989 {"add.ob", "D,S,k", 0x4bc0000b, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
990 {"alni.ob", "D,S,T,%", 0x48000018, 0xff00003f, WR_D|RD_S|RD_T, N5 },
991 {"and.ob", "D,S,T", 0x4ac0000c, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
992 {"and.ob", "D,S,T[e]", 0x4800000c, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
993 {"and.ob", "D,S,k", 0x4bc0000c, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
994 {"c.eq.ob", "S,k", 0x4bc00001, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
995 {"c.eq.ob", "S,T", 0x4ac00001, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
996 {"c.eq.ob", "S,T[e]", 0x48000001, 0xfe2007ff, WR_CC|RD_S|RD_T, N5 },
997 {"c.le.ob", "S,k", 0x4bc00005, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
998 {"c.le.ob", "S,T", 0x4ac00005, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
999 {"c.le.ob", "S,T[e]", 0x48000005, 0xfe2007ff, WR_CC|RD_S|RD_T, N5 },
1000 {"c.lt.ob", "S,k", 0x4bc00004, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
1001 {"c.lt.ob", "S,T", 0x4ac00004, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
1002 {"c.lt.ob", "S,T[e]", 0x48000004, 0xfe2007ff, WR_CC|RD_S|RD_T, N5 },
1003 {"max.ob", "D,S,T", 0x4ac00007, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1004 {"max.ob", "D,S,T[e]", 0x48000007, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1005 {"max.ob", "D,S,k", 0x4bc00007, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1006 {"min.ob", "D,S,T", 0x4ac00006, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1007 {"min.ob", "D,S,T[e]", 0x48000006, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1008 {"min.ob", "D,S,k", 0x4bc00006, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1009 {"mul.ob", "D,S,T", 0x4ac00030, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1010 {"mul.ob", "D,S,T[e]", 0x48000030, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1011 {"mul.ob", "D,S,k", 0x4bc00030, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1012 {"mula.ob", "S,k", 0x4bc00033, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
1013 {"mula.ob", "S,T", 0x4ac00033, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
1014 {"mula.ob", "S,T[e]", 0x48000033, 0xfe2007ff, WR_CC|RD_S|RD_T, N5 },
1015 {"mull.ob", "S,k", 0x4bc00433, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
1016 {"mull.ob", "S,T", 0x4ac00433, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
1017 {"mull.ob", "S,T[e]", 0x48000433, 0xfe2007ff, WR_CC|RD_S|RD_T, N5 },
1018 {"muls.ob", "S,k", 0x4bc00032, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
1019 {"muls.ob", "S,T", 0x4ac00032, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
1020 {"muls.ob", "S,T[e]", 0x48000032, 0xfe2007ff, WR_CC|RD_S|RD_T, N5 },
1021 {"mulsl.ob","S,k", 0x4bc00432, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
1022 {"mulsl.ob","S,T", 0x4ac00432, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
1023 {"mulsl.ob","S,T[e]", 0x48000432, 0xfe2007ff, WR_CC|RD_S|RD_T, N5 },
1024 {"nor.ob", "D,S,T", 0x4ac0000f, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1025 {"nor.ob", "D,S,T[e]", 0x4800000f, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1026 {"nor.ob", "D,S,k", 0x4bc0000f, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1027 {"or.ob", "D,S,T", 0x4ac0000e, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1028 {"or.ob", "D,S,T[e]", 0x4800000e, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1029 {"or.ob", "D,S,k", 0x4bc0000e, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1030 {"pickf.ob", "D,S,T", 0x4ac00002, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1031 {"pickf.ob", "D,S,T[e]", 0x48000002, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1032 {"pickf.ob", "D,S,k", 0x4bc00002, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1033 {"pickt.ob", "D,S,T", 0x4ac00003, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1034 {"pickt.ob", "D,S,T[e]", 0x48000003, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1035 {"pickt.ob", "D,S,k", 0x4bc00003, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1036 {"rach.ob", "D", 0x4a00003f, 0xfffff83f, WR_D, N5 },
1037 {"racl.ob", "D", 0x4800003f, 0xfffff83f, WR_D, N5 },
1038 {"racm.ob", "D", 0x4900003f, 0xfffff83f, WR_D, N5 },
1039 {"rzu.ob", "D,S,k", 0x4bc00020, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1040 {"shfl.mixh.ob","D,S,T",0x4980001f, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1041 {"shfl.mixl.ob","D,S,T",0x49c0001f, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1042 {"shfl.pach.ob","D,S,T",0x4900001f, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1043 {"shfl.pacl.ob","D,S,T",0x4940001f, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1044 {"sll.ob", "D,S,T[e]", 0x48000010, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1045 {"sll.ob", "D,S,k", 0x4bc00010, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1046 {"srl.ob", "D,S,T[e]", 0x48000012, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1047 {"srl.ob", "D,S,k", 0x4bc00012, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1048 {"sub.ob", "D,S,T", 0x4ac0000a, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1049 {"sub.ob", "D,S,T[e]", 0x4800000a, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1050 {"sub.ob", "D,S,k", 0x4bc0000a, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1051 {"wach.ob", "S", 0x4a00003e, 0xffff07ff, RD_S, N5 },
1052 {"wacl.ob", "S,T", 0x4800003e, 0xffe007ff, RD_S|RD_T, N5 },
1053 {"xor.ob", "D,S,T", 0x4ac0000d, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1054 {"xor.ob", "D,S,T[e]", 0x4800000d, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1055 {"xor.ob", "D,S,k", 0x4bc0000d, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1056 /* end-sanitize-vr5400 */
1057 /* No hazard protection on coprocessor instructions--they shouldn't
1058 change the state of the processor and if they do it's up to the
1059 user to put in nops as necessary. These are at the end so that the
1060 disasembler recognizes more specific versions first. */
1061 {"c0", "C", 0x42000000, 0xfe000000, 0, I1 },
1062 {"c1", "C", 0x46000000, 0xfe000000, 0, I1 },
1063 {"c2", "C", 0x4a000000, 0xfe000000, 0, I1 },
1064 {"c3", "C", 0x4e000000, 0xfe000000, 0, I1 },
1065 {"cop0", "C", 0, (int) M_COP0, INSN_MACRO },
1066 {"cop1", "C", 0, (int) M_COP1, INSN_MACRO },
1067 {"cop2", "C", 0, (int) M_COP2, INSN_MACRO },
1068 {"cop3", "C", 0, (int) M_COP3, INSN_MACRO },
1069 };
1070
1071 #define MIPS_NUM_OPCODES \
1072 ((sizeof mips_builtin_opcodes) / (sizeof (mips_builtin_opcodes[0])))
1073 const int bfd_mips_num_builtin_opcodes = MIPS_NUM_OPCODES;
1074
1075 /* const removed from the following to allow for dynamic extensions to the
1076 * built-in instruction set. */
1077 struct mips_opcode *mips_opcodes =
1078 (struct mips_opcode *) mips_builtin_opcodes;
1079 int bfd_mips_num_opcodes = MIPS_NUM_OPCODES;
1080 #undef MIPS_NUM_OPCODES
1081
This page took 0.086624 seconds and 5 git commands to generate.