# sh testcase for fsqrt.s $frgh, $frf -*- Asm -*- # mach: all # as: -isa=shmedia # ld: -m shelf64 .include "media/testutils.inc" start init: pta wrong, tr0 movi 9, r0 fmov.ls r0, fr7 float.ls fr7, fr0 movi 3, r1 fmov.ls r1, fr7 float.ls fr7, fr2 fsqrts: fsqrt.s fr0, fr1 fcmpeq.s fr1, fr2, r7 bnei r7, 1, tr0 okay: pass wrong: fail