No longer need to sanitize away h8s stuff.
[deliverable/binutils-gdb.git] / sim / h8300 / compile.c
index 2db45ddb3192446d4ecd07d08b8fd77e6b7f9193..7159af2b37dad444dddccacfa2ecedc20888a615 100644 (file)
  * AND FITNESS FOR A PARTICULAR PURPOSE.
  */
 
+#include "config.h"
+
 #include <signal.h>
-#include "sysdep.h"
-#include <sys/times.h>
+#ifdef HAVE_TIME_H
+#include <time.h>
+#endif
+#ifdef HAVE_STDLIB_H
+#include <stdlib.h>
+#endif
 #include <sys/param.h>
-
+#include "wait.h"
+#include "ansidecl.h"
+#include "callback.h"
+#include "remote-sim.h"
+#include "bfd.h"
 
 int debug;
 
 
 #define X(op, size)  op*4+size
 
-#define SP (HMODE ? SL:SW)
+#define SP (h8300hmode ? SL:SW)
 #define SB 0
 #define SW 1
 #define SL 2
@@ -64,7 +74,7 @@ int debug;
 #endif
 
 #ifndef SEXTCHAR
-#define SEXTCHAR(x) ((x & 0x80) ? (x | ~0xff):x)
+#define SEXTCHAR(x) ((x & 0x80) ? (x | ~0xff): x & 0xff)
 #endif
 
 #define UEXTCHAR(x) ((x) & 0xff)
@@ -73,21 +83,25 @@ int debug;
 
 static cpu_state_type cpu;
 
-int HMODE = 1;
+int h8300hmode = 0;
+int h8300smode = 0;
+
+static int memory_size;
 
 
 static int
 get_now ()
 {
-  struct tms b;
-  times (&b);
-  return b.tms_utime + b.tms_stime;
+#ifndef WIN32
+  return time (0);
+#endif
+  return 0;
 }
 
 static int
 now_persec ()
 {
-  return 50;
+  return 1;
 }
 
 
@@ -103,7 +117,7 @@ bitfrom (x)
     case L_32:
       return SL;
     case L_P:
-      return HMODE ? SL : SW;
+      return h8300hmode ? SL : SW;
     }
 }
 
@@ -114,12 +128,12 @@ lvalue (x, rn)
   switch (x / 4)
     {
     case OP_DISP:
-      if (rn == 8) 
+      if (rn == 8)
        {
-         return X(OP_IMM,SP);
+         return X (OP_IMM, SP);
        }
-      return  X(OP_REG,SP);
-      
+      return X (OP_REG, SP);
+
     case OP_MEM:
 
       return X (OP_MEM, SP);
@@ -140,6 +154,7 @@ decode (addr, data, dst)
   int rdisp = 0;
   int abs = 0;
   int plen = 0;
+  int bit = 0;
 
   struct h8_opcode *q = h8_opcodes;
   int size = 0;
@@ -160,15 +175,13 @@ decode (addr, data, dst)
 
          thisnib = (len & 1) ? (thisnib & 0xf) : ((thisnib >> 4) & 0xf);
 
-         if (looking_for < 16)
+         if (looking_for < 16 && looking_for >= 0)
            {
-
              if (looking_for != thisnib)
                goto fail;
            }
          else
            {
-
              if ((int) looking_for & (int) B31)
                {
                  if (!(((int) thisnib & 0x8) != 0))
@@ -176,7 +189,6 @@ decode (addr, data, dst)
                  looking_for = (op_type) ((int) looking_for & ~(int)
                                           B31);
                  thisnib &= 0x7;
-
                }
              if ((int) looking_for & (int) B30)
                {
@@ -186,7 +198,8 @@ decode (addr, data, dst)
                }
              if (looking_for & DBIT)
                {
-                 if ((looking_for & 5) != (thisnib &5)) goto fail;
+                 if ((looking_for & 5) != (thisnib & 5))
+                   goto fail;
                  abs = (thisnib & 0x8) ? 2 : 1;
                }
              else if (looking_for & (REG | IND | INC | DEC))
@@ -212,7 +225,7 @@ decode (addr, data, dst)
                {
                  abs = (data[len >> 1]) * 256 + data[(len + 2) >> 1];
                  plen = 16;
-                 if (looking_for & (PCREL|DISP))
+                 if (looking_for & (PCREL | DISP))
                    {
                      abs = (short) (abs);
                    }
@@ -224,6 +237,10 @@ decode (addr, data, dst)
                    | (data[2] << 8)
                    | (data[3]);
                }
+             else if (looking_for & MEMIND)
+               {
+                 abs = data[1];
+               }
              else if (looking_for & L_32)
                {
                  int i = len >> 1;
@@ -233,18 +250,16 @@ decode (addr, data, dst)
                    | (data[i + 3]);
 
                  plen = 32;
-
                }
              else if (looking_for & L_24)
                {
                  int i = len >> 1;
-                 abs = (data[i] << 16) | (data[i + 1] << 8) | (data[i +
-                                                                    2]);
+                 abs = (data[i] << 16) | (data[i + 1] << 8) | (data[i + 2]);
                  plen = 24;
                }
              else if (looking_for & IGNORE)
                {
-
+                 /* nothing to do */
                }
              else if (looking_for & DISPREG)
                {
@@ -273,7 +288,13 @@ decode (addr, data, dst)
                    {
                      abs = SEXTCHAR (data[len >> 1]);
                    }
-                 else
+                 else if (looking_for & ABS8MEM)
+                   {
+                     plen = 8;
+                     abs = h8300hmode ? ~0xff0000ff : ~0xffff00ff;
+                     abs |= data[len >> 1] & 0xff ;
+                   }
+                  else
                    {
                      abs = data[len >> 1] & 0xff;
                    }
@@ -282,7 +303,7 @@ decode (addr, data, dst)
                {
                  plen = 3;
 
-                 abs = thisnib;
+                 bit = thisnib;
                }
              else if (looking_for == E)
                {
@@ -293,7 +314,6 @@ decode (addr, data, dst)
                    op_type *args = q->args.nib;
                    int hadone = 0;
 
-
                    while (*args != E)
                      {
                        int x = *args;
@@ -309,18 +329,21 @@ decode (addr, data, dst)
                            p = &(dst->src);
                          }
 
-
-                       if (x & (IMM | KBIT | DBIT))
+                       if (x & (L_3))
+                         {
+                           p->type = X (OP_IMM, size);
+                           p->literal = bit;
+                         }
+                       else if (x & (IMM | KBIT | DBIT))
                          {
                            p->type = X (OP_IMM, size);
                            p->literal = abs;
                          }
                        else if (x & REG)
                          {
-                           /*
-                        Reset the size, some
-                        ops (like mul) have two sizes */
-                           
+                           /* Reset the size, some
+                              ops (like mul) have two sizes */
+
                            size = bitfrom (x);
                            p->type = X (OP_REG, size);
                            p->reg = rn;
@@ -341,7 +364,7 @@ decode (addr, data, dst)
                            p->reg = rn & 0x7;
                            p->literal = 0;
                          }
-                       else if (x & (ABS | ABSJMP | ABSMOV))
+                       else if (x & (ABS | ABSJMP | ABS8MEM))
                          {
                            p->type = X (OP_DISP, size);
                            p->literal = abs;
@@ -356,6 +379,8 @@ decode (addr, data, dst)
                          {
                            p->type = X (OP_PCREL, size);
                            p->literal = abs + addr + 2;
+                           if (x & L_16)
+                             p->literal += 2;
                          }
                        else if (x & ABSJMP)
                          {
@@ -375,40 +400,38 @@ decode (addr, data, dst)
                        else
                          printf ("Hmmmm %x", x);
 
-
                        args++;
                      }
                  }
 
                  /*
-                * But a jmp or a jsr gets
-                * automagically lvalued, since we
-                * branch to their address not their
-                * contents
-                */
+                    * But a jmp or a jsr gets
+                    * automagically lvalued, since we
+                    * branch to their address not their
+                    * contents
+                  */
                  if (q->how == O (O_JSR, SB)
                      || q->how == O (O_JMP, SB))
                    {
                      dst->src.type = lvalue (dst->src.type, dst->src.reg);
                    }
 
-
                  if (dst->dst.type == -1)
                    dst->dst = dst->src;
-                 
+
                  dst->opcode = q->how;
                  dst->cycles = q->time;
 
                  /* And a jsr to 0xc4 is turned into a magic trap */
-                 
-                 if (dst->opcode == O(O_JSR, SB)) 
+
+                 if (dst->opcode == O (O_JSR, SB))
                    {
-                     if(dst->src.literal == 0xc4)
+                     if (dst->src.literal == 0xc4)
                        {
-                         dst->opcode = O(O_SYSCALL,SB);
+                         dst->opcode = O (O_SYSCALL, SB);
                        }
                    }
-                 
+
                  dst->next_pc = addr + len / 2;
                  return;
                }
@@ -417,15 +440,15 @@ decode (addr, data, dst)
                  printf ("Dont understand %x \n", looking_for);
                }
            }
-         
+
          len++;
          nib++;
        }
-      
+
     fail:
       q++;
     }
-  
+
   dst->opcode = O (O_ILL, SB);
 }
 
@@ -434,9 +457,9 @@ static void
 compile (pc)
 {
   int idx;
-  
+
   /* find the next cache entry to use */
-  
+
   idx = cpu.cache_top + 1;
   cpu.compiles++;
   if (idx >= cpu.csize)
@@ -444,16 +467,16 @@ compile (pc)
       idx = 1;
     }
   cpu.cache_top = idx;
-  
+
   /* Throw away its old meaning */
   cpu.cache_idx[cpu.cache[idx].oldpc] = 0;
-  
+
   /* set to new address */
   cpu.cache[idx].oldpc = pc;
-  
+
   /* fill in instruction info */
   decode (pc, cpu.memory + pc, cpu.cache + idx);
-  
+
   /* point to new cache entry */
   cpu.cache_idx[pc] = idx;
 }
@@ -472,36 +495,44 @@ static unsigned int *lreg[18];
 #define SET_L_REG(x,y) (*(lreg[x])) = (y)
 
 #define GET_MEMORY_L(x) \
-  ((cpu.memory[x+0] << 24) | (cpu.memory[x+1] << 16) | (cpu.memory[x+2] << 8) | cpu.memory[x+3])
+  (x < memory_size \
+   ? ((cpu.memory[x+0] << 24) | (cpu.memory[x+1] << 16) \
+      | (cpu.memory[x+2] << 8) | cpu.memory[x+3]) \
+   : ((cpu.eightbit[(x+0) & 0xff] << 24) | (cpu.eightbit[(x+1) & 0xff] << 16) \
+      | (cpu.eightbit[(x+2) & 0xff] << 8) | cpu.eightbit[(x+3) & 0xff]))
 
 #define GET_MEMORY_W(x) \
-  ((cpu.memory[x+0] << 8) | (cpu.memory[x+1] << 0))
+  (x < memory_size \
+   ? ((cpu.memory[x+0] << 8) | (cpu.memory[x+1] << 0)) \
+   : ((cpu.eightbit[(x+0) & 0xff] << 8) | (cpu.eightbit[(x+1) & 0xff] << 0)))
 
 
-#define SET_MEMORY_B(x,y) \
-  (cpu.memory[(x)] = y)
-
-#define SET_MEMORY_W(x,y) \
-{register unsigned char *_p = cpu.memory+x;\
-   register int __y = y;\
-     _p[0] = (__y)>>8;\
-       _p[1] =(__y);     }
+#define GET_MEMORY_B(x) \
+  (x < memory_size ? (cpu.memory[x]) : (cpu.eightbit[x & 0xff]))
 
 #define SET_MEMORY_L(x,y)  \
-{register unsigned char *_p = cpu.memory+x;register int __y = y;\
-   _p[0] = (__y)>>24;   _p[1] = (__y)>>16;      _p[2] = (__y)>>8;       _p[3] = (__y)>>0;}
+{  register unsigned char *_p; register int __y = y; \
+   _p = (x < memory_size ? cpu.memory+x : cpu.eightbit + (x & 0xff)); \
+   _p[0] = (__y)>>24; _p[1] = (__y)>>16; \
+   _p[2] = (__y)>>8; _p[3] = (__y)>>0;}
 
-#define GET_MEMORY_B(x)  (cpu.memory[x])
+#define SET_MEMORY_W(x,y) \
+{  register unsigned char *_p; register int __y = y; \
+   _p = (x < memory_size ? cpu.memory+x : cpu.eightbit + (x & 0xff)); \
+   _p[0] = (__y)>>8; _p[1] =(__y);}
+
+#define SET_MEMORY_B(x,y) \
+  (x < memory_size ? (cpu.memory[(x)] = y) : (cpu.eightbit[x & 0xff] = y))
 
 int
 fetch (arg, n)
-ea_type *arg;
+     ea_type *arg;
 {
   int rn = arg->reg;
   int abs = arg->literal;
   int r;
   int t;
-  
+
   switch (arg->type)
     {
     case X (OP_REG, SB):
@@ -515,69 +546,77 @@ ea_type *arg;
     case X (OP_IMM, SL):
       return abs;
     case X (OP_DEC, SB):
-      abort();
+      abort ();
 
-    case X(OP_INC,SB):
-      t = GET_L_REG(rn);
+    case X (OP_INC, SB):
+      t = GET_L_REG (rn);
       t &= cpu.mask;
-      r = GET_MEMORY_B(t);
-      t ++;
+      r = GET_MEMORY_B (t);
+      t++;
       t = t & cpu.mask;
-      SET_L_REG(rn,t);
+      SET_L_REG (rn, t);
       return r;
       break;
-    case X(OP_INC,SW):
-      t = GET_L_REG(rn);
+    case X (OP_INC, SW):
+      t = GET_L_REG (rn);
       t &= cpu.mask;
-      r = GET_MEMORY_W(t);
-      t +=2;
+      r = GET_MEMORY_W (t);
+      t += 2;
       t = t & cpu.mask;
-      SET_L_REG(rn,t);
+      SET_L_REG (rn, t);
       return r;
-    case X(OP_INC,SL):
-      t = GET_L_REG(rn);
+    case X (OP_INC, SL):
+      t = GET_L_REG (rn);
       t &= cpu.mask;
-      r = GET_MEMORY_L(t);
-      
-      t +=4;
+      r = GET_MEMORY_L (t);
+
+      t += 4;
       t = t & cpu.mask;
-      SET_L_REG(rn,t);
+      SET_L_REG (rn, t);
       return r;
-      
+
     case X (OP_DISP, SB):
       t = GET_L_REG (rn) + abs;
       t &= cpu.mask;
       return GET_MEMORY_B (t);
-      
+
     case X (OP_DISP, SW):
       t = GET_L_REG (rn) + abs;
       t &= cpu.mask;
       return GET_MEMORY_W (t);
-      
+
     case X (OP_DISP, SL):
       t = GET_L_REG (rn) + abs;
       t &= cpu.mask;
       return GET_MEMORY_L (t);
-      
+
+    case X (OP_MEM, SL):
+      t = GET_MEMORY_L (abs);
+      t &= cpu.mask;
+      return t;
+
+    case X (OP_MEM, SW):
+      t = GET_MEMORY_W (abs);
+      t &= cpu.mask;
+      return t;
+
     default:
       abort ();
-      
+
     }
 }
 
 
-
-
-
-static 
-void store (arg, n)
-ea_type *arg;
-int n;
+static
+void
+store (arg, n)
+     ea_type *arg;
+     int n;
 {
   int rn = arg->reg;
   int abs = arg->literal;
   int t;
-  
+
   switch (arg->type)
     {
     case X (OP_REG, SB):
@@ -589,27 +628,26 @@ int n;
     case X (OP_REG, SL):
       SET_L_REG (rn, n);
       break;
-      
+
     case X (OP_DEC, SB):
-      t =  GET_L_REG (rn) - 1;
+      t = GET_L_REG (rn) - 1;
       t &= cpu.mask;
-      SET_L_REG (rn,t);
+      SET_L_REG (rn, t);
       SET_MEMORY_B (t, n);
 
       break;
     case X (OP_DEC, SW):
-      t= (GET_L_REG (rn) - 2 ) & cpu.mask;
+      t = (GET_L_REG (rn) - 2) & cpu.mask;
       SET_L_REG (rn, t);
       SET_MEMORY_W (t, n);
       break;
 
     case X (OP_DEC, SL):
-      t = (GET_L_REG(rn) -4 ) & cpu.mask;
+      t = (GET_L_REG (rn) - 4) & cpu.mask;
       SET_L_REG (rn, t);
-      SET_MEMORY_L (t,n);
+      SET_MEMORY_L (t, n);
       break;
 
-      
     case X (OP_DISP, SB):
       t = GET_L_REG (rn) + abs;
       t &= cpu.mask;
@@ -634,15 +672,15 @@ int n;
 
 
 static union
-  {
-    short int i;
-    struct
-      {
-       char low;
-       char high;
-      }
-    u;
-  }
+{
+  short int i;
+  struct
+    {
+      char low;
+      char high;
+    }
+  u;
+}
 
 littleendian;
 
@@ -659,10 +697,19 @@ init_pointers ()
       init = 1;
       littleendian.i = 1;
 
-      cpu.memory = (unsigned char *) calloc (sizeof (char), MSIZE);
-      cpu.cache_idx = (unsigned short *) calloc (sizeof (short), MSIZE);
-      cpu.mask =  (1<<MPOWER)-1;
-      
+      if (h8300hmode)
+       memory_size = H8300H_MSIZE;
+      else
+       memory_size = H8300_MSIZE;
+      cpu.memory = (unsigned char *) calloc (sizeof (char), memory_size);
+      cpu.cache_idx = (unsigned short *) calloc (sizeof (short), memory_size);
+      cpu.eightbit = (unsigned char *) calloc (sizeof (char), 256);
+
+      /* `msize' must be a power of two */
+      if ((memory_size & (memory_size - 1)) != 0)
+       abort ();
+      cpu.mask = memory_size - 1;
+
       for (i = 0; i < 9; i++)
        {
          cpu.regs[i] = 0;
@@ -683,7 +730,7 @@ init_pointers ()
                }
              if (*p == 0x33)
                {
-                 breg[i+8] = p;
+                 breg[i + 8] = p;
                }
              p++;
            }
@@ -703,13 +750,11 @@ init_pointers ()
          lreg[i] = &cpu.regs[i];
        }
 
-
       lreg[8] = &cpu.regs[8];
-      
+
       /* initialize the seg registers */
       if (!cpu.cache)
        sim_csize (CSIZE);
-
     }
 }
 
@@ -728,15 +773,147 @@ control_c (sig, code, scp, addr)
 #define V (v != 0)
 #define N (n != 0)
 
-int
-sim_resume (step)
+static int
+mop (code, bsize, sign)
+     decoded_inst *code;
+     int bsize;
+     int sign;
+{
+  int multiplier;
+  int multiplicand;
+  int result;
+  int n, nz;
+
+  if (sign)
+    {
+      multiplicand =
+       bsize ? SEXTCHAR (GET_W_REG (code->dst.reg)) :
+       SEXTSHORT (GET_W_REG (code->dst.reg));
+      multiplier =
+       bsize ? SEXTCHAR (GET_B_REG (code->src.reg)) :
+       SEXTSHORT (GET_W_REG (code->src.reg));
+    }
+  else
+    {
+      multiplicand = bsize ? UEXTCHAR (GET_W_REG (code->dst.reg)) :
+       UEXTSHORT (GET_W_REG (code->dst.reg));
+      multiplier =
+       bsize ? UEXTCHAR (GET_B_REG (code->src.reg)) :
+       UEXTSHORT (GET_W_REG (code->src.reg));
+
+    }
+  result = multiplier * multiplicand;
+
+  if (sign)
+    {
+      n = result & (bsize ? 0x8000 : 0x80000000);
+      nz = result & (bsize ? 0xffff : 0xffffffff);
+    }
+  if (bsize)
+    {
+      SET_W_REG (code->dst.reg, result);
+    }
+  else
+    {
+      SET_L_REG (code->dst.reg, result);
+    }
+/*  return ((n==1) << 1) | (nz==1); */
+
+}
+
+#define ONOT(name, how) \
+case O(name, SB):                              \
+{                                              \
+  int t;                                       \
+  int hm = 0x80;                               \
+  rd = GET_B_REG (code->src.reg);              \
+  how;                                                 \
+  goto shift8;                                 \
+}                                              \
+case O(name, SW):                              \
+{                                              \
+  int t;                                       \
+  int hm = 0x8000;                             \
+  rd = GET_W_REG (code->src.reg);              \
+  how;                                                 \
+  goto shift16;                                        \
+}                                              \
+case O(name, SL):                              \
+{                                              \
+  int t;                                       \
+  int hm = 0x80000000;                                 \
+  rd = GET_L_REG (code->src.reg);              \
+  how;                                                 \
+  goto shift32;                                        \
+}
+
+#define OSHIFTS(name, how1, how2) \
+case O(name, SB):                              \
+{                                              \
+  int t;                                       \
+  int hm = 0x80;                               \
+  rd = GET_B_REG (code->src.reg);              \
+  if ((GET_MEMORY_B (pc + 1) & 0x40) == 0)     \
+    {                                          \
+      how1;                                    \
+    }                                          \
+  else                                         \
+    {                                          \
+      how2;                                    \
+    }                                          \
+  goto shift8;                                 \
+}                                              \
+case O(name, SW):                              \
+{                                              \
+  int t;                                       \
+  int hm = 0x8000;                             \
+  rd = GET_W_REG (code->src.reg);              \
+  if ((GET_MEMORY_B (pc + 1) & 0x40) == 0)     \
+    {                                          \
+      how1;                                    \
+    }                                          \
+  else                                         \
+    {                                          \
+      how2;                                    \
+    }                                          \
+  goto shift16;                                        \
+}                                              \
+case O(name, SL):                              \
+{                                              \
+  int t;                                       \
+  int hm = 0x80000000;                                 \
+  rd = GET_L_REG (code->src.reg);              \
+  if ((GET_MEMORY_B (pc + 1) & 0x40) == 0)     \
+    {                                          \
+      how1;                                    \
+    }                                          \
+  else                                         \
+    {                                          \
+      how2;                                    \
+    }                                          \
+  goto shift32;                                        \
+}
+
+#define OBITOP(name,f, s, op)                  \
+case  O(name, SB):                             \
+{                                              \
+  int m;                                       \
+  int b;                                       \
+  if (f) ea = fetch (&code->dst);              \
+  m=1<< fetch(&code->src);                     \
+  op;                                          \
+  if(s) store (&code->dst,ea); goto next;      \
+}
+
+void
+sim_resume (step, siggnal)
 {
   static int init1;
   int cycles = 0;
   int insts = 0;
   int tick_start = get_now ();
   void (*prev) ();
-
+  int poll_count = 0;
   int res;
   int tmp;
   int rd;
@@ -744,7 +921,7 @@ sim_resume (step)
   int bit;
   int pc;
   int c, nz, v, n;
-
+  int oldmask;
   init_pointers ();
 
   prev = signal (SIGINT, control_c);
@@ -760,8 +937,14 @@ sim_resume (step)
 
   pc = cpu.pc;
 
-  GETSR ();
+  /* The PC should never be odd.  */
+  if (pc & 0x1)
+    abort ();
 
+  GETSR ();
+  oldmask = cpu.mask;
+  if (!h8300hmode)
+    cpu.mask = 0xffff;
   do
     {
       int cidx;
@@ -792,7 +975,7 @@ sim_resume (step)
                  code->op ? code->op->name : "**");
        }
       cpu.stats[code->opcode]++;
-      
+
 #endif
 
       cycles += code->cycles;
@@ -812,7 +995,7 @@ sim_resume (step)
        case O (O_SUBX, SB):
          rd = fetch (&code->dst);
          ea = fetch (&code->src);
-         ea = -( ea + C);
+         ea = -(ea + C);
          res = rd + ea;
          goto alu8;
 
@@ -823,74 +1006,82 @@ sim_resume (step)
          res = rd + ea;
          goto alu8;
 
-#define RD    rd = fetch(&code->src);
-#define RD_EA rd =  fetch(&code->dst); ea = fetch(&code->src);
+#define EA    ea = fetch(&code->src);
+#define RD_EA ea = fetch(&code->src); rd = fetch(&code->dst);
 
-         ALUOP (1, O_SUB,       RD_EA;  ea = -ea ;      res = rd + ea);
-         ALUOP (1, O_NEG,       RD;     ea = -ea ;rd = 0; res = rd + ea);
+         ALUOP (1, O_SUB, RD_EA;
+                ea = -ea;
+                res = rd + ea);
+         ALUOP (1, O_NEG, EA;
+                ea = -ea;
+                rd = 0;
+                res = rd + ea);
 
-       case O(O_ADD,SB):
-         rd = GET_B_REG(code->dst.reg);
-         ea = fetch(&code->src);
+       case O (O_ADD, SB):
+         rd = GET_B_REG (code->dst.reg);
+         ea = fetch (&code->src);
          res = rd + ea;
          goto alu8;
-       case O(O_ADD,SW):
-         rd = GET_W_REG(code->dst.reg);
-         ea = fetch(&code->src);
+       case O (O_ADD, SW):
+         rd = GET_W_REG (code->dst.reg);
+         ea = fetch (&code->src);
          res = rd + ea;
          goto alu16;
-       case O(O_ADD,SL):
-         rd = GET_L_REG(code->dst.reg);
-         ea = fetch(&code->src);
+       case O (O_ADD, SL):
+         rd = GET_L_REG (code->dst.reg);
+         ea = fetch (&code->src);
          res = rd + ea;
          goto alu32;
-         
 
-         LOGOP (O_AND, RD_EA;           res = rd & ea);
 
-         LOGOP (O_OR, RD_EA;            res = rd | ea);
+         LOGOP (O_AND, RD_EA;
+                res = rd & ea);
+
+         LOGOP (O_OR, RD_EA;
+                res = rd | ea);
 
-         LOGOP (O_XOR, RD_EA;           res = rd ^ ea);
+         LOGOP (O_XOR, RD_EA;
+                res = rd ^ ea);
 
 
-       case O(O_MOV_TO_MEM,SB):
-         res = GET_B_REG(code->src.reg);
+       case O (O_MOV_TO_MEM, SB):
+         res = GET_B_REG (code->src.reg);
          goto log8;
-       case O(O_MOV_TO_MEM,SW):
-         res = GET_W_REG(code->src.reg);
+       case O (O_MOV_TO_MEM, SW):
+         res = GET_W_REG (code->src.reg);
          goto log16;
-       case O(O_MOV_TO_MEM,SL):
-         res = GET_L_REG(code->src.reg);
+       case O (O_MOV_TO_MEM, SL):
+         res = GET_L_REG (code->src.reg);
          goto log32;
 
 
-       case O(O_MOV_TO_REG,SB):
-         res = fetch(&code->src);
-         SET_B_REG(code->dst.reg, res);
+       case O (O_MOV_TO_REG, SB):
+         res = fetch (&code->src);
+         SET_B_REG (code->dst.reg, res);
          goto just_flags_log8;
-       case O(O_MOV_TO_REG,SW):
-         res = fetch(&code->src);
-         SET_W_REG(code->dst.reg, res);
+       case O (O_MOV_TO_REG, SW):
+         res = fetch (&code->src);
+         SET_W_REG (code->dst.reg, res);
          goto just_flags_log16;
-       case O(O_MOV_TO_REG,SL):
-         res = fetch(&code->src);
-         SET_L_REG(code->dst.reg, res);
+       case O (O_MOV_TO_REG, SL):
+         res = fetch (&code->src);
+         SET_L_REG (code->dst.reg, res);
          goto just_flags_log32;
 
 
-       case O(O_ADDS,SL):
-         SET_L_REG(code->dst.reg,
-                   GET_L_REG(code->dst.reg) 
-                   + code->src.literal);
-         
+       case O (O_ADDS, SL):
+         SET_L_REG (code->dst.reg,
+                    GET_L_REG (code->dst.reg)
+                    + code->src.literal);
+
          goto next;
 
-       case O(O_SUBS,SL):
-         SET_L_REG(code->dst.reg,
-                   GET_L_REG(code->dst.reg) 
-                   - code->src.literal);
+       case O (O_SUBS, SL):
+         SET_L_REG (code->dst.reg,
+                    GET_L_REG (code->dst.reg)
+                    - code->src.literal);
          goto next;
-         
+
        case O (O_CMP, SB):
          rd = fetch (&code->dst);
          ea = fetch (&code->src);
@@ -922,7 +1113,7 @@ sim_resume (step)
 
        case O (O_DEC, SW):
          rd = GET_W_REG (code->dst.reg);
-         ea = - code->src.literal;
+         ea = -code->src.literal;
          res = rd + ea;
          SET_W_REG (code->dst.reg, res);
          goto just_flags_inc16;
@@ -958,13 +1149,25 @@ sim_resume (step)
 
 
 #define GET_CCR(x) BUILDSR();x = cpu.ccr
-         
+
        case O (O_ANDC, SB):
          GET_CCR (rd);
          ea = code->src.literal;
          res = rd & ea;
          goto setc;
 
+       case O (O_ORC, SB):
+         GET_CCR (rd);
+         ea = code->src.literal;
+         res = rd | ea;
+         goto setc;
+
+       case O (O_XORC, SB):
+         GET_CCR (rd);
+         ea = code->src.literal;
+         res = rd ^ ea;
+         goto setc;
+
 
        case O (O_BRA, SB):
          if (1)
@@ -1042,35 +1245,43 @@ sim_resume (step)
            goto condtrue;
          goto next;
 
-       case O(O_SYSCALL, SB):
-         printf("%c", cpu.regs[2]);
+       case O (O_SYSCALL, SB):
+         printf ("%c", cpu.regs[2]);
          goto next;
-         
-         
-
-#define OSHIFTS(name, how) \
-case O(name, SB):{ int t;int hm = 0x80; rd = GET_B_REG(code->src.reg);how; goto shift8;} \
-case O(name, SW):{ int t;int hm = 0x8000; rd = GET_W_REG(code->src.reg); how; goto shift16;} \
-case O(name, SL):{ int t;int hm = 0x80000000; rd = GET_L_REG(code->src.reg);how; goto shift32;}
-
-
-         OSHIFTS(O_NOT, rd = ~rd);
-         OSHIFTS(O_SHLL, c = rd & hm; rd<<=1);
-         OSHIFTS(O_SHLR, c = rd & 1; rd = (unsigned int) rd >> 1);
-         OSHIFTS(O_SHAL, c = rd & hm; rd<<=1);
-         OSHIFTS(O_SHAR, t = rd & hm; c = rd&1;rd>>=1;rd|=t;);
-         OSHIFTS(O_ROTL, c = rd & hm; rd <<=1; rd|= C);
-         OSHIFTS(O_ROTR, c = rd & 1; rd = (unsigned int) rd >> 1; if (c) rd |= hm;);     
-         OSHIFTS(O_ROTXL,t = rd & hm; rd<<=1; rd|=C; c=t;);
-         OSHIFTS(O_ROTXR,t = rd & 1; rd = (unsigned int) rd >> 1; if (C) rd|=hm; c=t;);          
-
-       case O(O_JMP, SB):
+
+         ONOT (O_NOT, rd = ~rd; v = 0;);
+         OSHIFTS (O_SHLL,
+                  c = rd & hm; v = 0; rd <<= 1,
+                  c = rd & (hm >> 1); v = 0; rd <<= 2);
+         OSHIFTS (O_SHLR,
+                  c = rd & 1; v = 0; rd = (unsigned int) rd >> 1,
+                  c = rd & 2; v = 0; rd = (unsigned int) rd >> 2);
+         OSHIFTS (O_SHAL,
+                  c = rd & hm; v = (rd & hm) != ((rd & (hm >> 1)) << 1); rd <<= 1,
+                  c = rd & (hm >> 1); v = (rd & (hm >> 1)) != ((rd & (hm >> 2)) << 2); rd <<= 2);
+         OSHIFTS (O_SHAR,
+                  t = rd & hm; c = rd & 1; v = 0; rd >>= 1; rd |= t,
+                  t = rd & hm; c = rd & 2; v = 0; rd >>= 2; rd |= t | t >> 1 );
+         OSHIFTS (O_ROTL,
+                  c = rd & hm; v = 0; rd <<= 1; rd |= C,
+                  c = rd & (hm >> 1); v = 0; rd <<= 2; rd |= C);
+         OSHIFTS (O_ROTR,
+                  c = rd & 1; v = 0; rd = (unsigned int) rd >> 1; if (c) rd |= hm,
+                  c = rd & 2; v = 0; rd = (unsigned int) rd >> 2; if (c) rd |= hm);
+         OSHIFTS (O_ROTXL,
+                  t = rd & hm; rd <<= 1; rd |= C; c = t; v = 0,
+                  t = rd & (hm >> 1); rd <<= 2; rd |= C; c = t; v = 0);
+         OSHIFTS (O_ROTXR,
+                  t = rd & 1; rd = (unsigned int) rd >> 1; if (C) rd |= hm; c = t; v = 0,
+                  t = rd & 2; rd = (unsigned int) rd >> 2; if (C) rd |= hm; c = t; v = 0);
+
+       case O (O_JMP, SB):
          {
            pc = fetch (&code->src);
            goto end;
-           
+
          }
-         
+
        case O (O_JSR, SB):
          {
            int tmp;
@@ -1078,7 +1289,7 @@ case O(name, SL):{ int t;int hm = 0x80000000; rd = GET_L_REG(code->src.reg);how;
          call:
            tmp = cpu.regs[7];
 
-           if (HMODE)
+           if (h8300hmode)
              {
                tmp -= 4;
                SET_MEMORY_L (tmp, code->next_pc);
@@ -1092,22 +1303,20 @@ case O(name, SL):{ int t;int hm = 0x80000000; rd = GET_L_REG(code->src.reg);how;
 
            goto end;
          }
-       case O(O_BSR, SB):
+       case O (O_BSR, SB):
          pc = code->src.literal;
          goto call;
-         
+
        case O (O_RTS, SB):
          {
            int tmp;
 
-
            tmp = cpu.regs[7];
 
-           if (HMODE)
+           if (h8300hmode)
              {
                pc = GET_MEMORY_L (tmp);
                tmp += 4;
-
              }
            else
              {
@@ -1122,362 +1331,422 @@ case O(name, SL):{ int t;int hm = 0x80000000; rd = GET_L_REG(code->src.reg);how;
        case O (O_ILL, SB):
          cpu.exception = SIGILL;
          goto end;
-
-       case O(O_BPT,SB):
+       case O (O_SLEEP, SB):
+         /* The format of r0 is defined by devo/include/wait.h.
+            cpu.exception handling needs some cleanup: we need to make the
+            the handling of normal exits vs signals, etc. more sensible.  */
+         if (! WIFEXITED (cpu.regs[0]) && WIFSIGNALED (cpu.regs[0]))
+           cpu.exception = SIGILL;
+         else
+           cpu.exception = SIGTRAP;
+         goto end;
+       case O (O_BPT, SB):
          cpu.exception = SIGTRAP;
          goto end;
 
-#define OBITOP(name,f, s, op) \
-       case  O(name, SB): {int m;int b; \
-             if (f) ea = fetch(&code->dst);\
-               m=1<<code->src.literal;\
-                 op;\
-                   if(s) store(&code->dst,ea); goto next;\
-                   }
-      OBITOP(O_BNOT,1,1,ea ^= m);
-      OBITOP(O_BTST,1,0,nz = ea & m);
-      OBITOP(O_BLD,1,0, c = ea & m);
-      OBITOP(O_BILD,1,0, c = !(ea & m));
-      OBITOP(O_BST,1,1, ea &= ~m; if (C) ea |=m);
-      OBITOP(O_BIST,1,1, ea &= ~m; if (!C) ea |=m);      
-      OBITOP(O_BAND,1,1, b = (ea & m) && C; ea &= ~m; if (b) ea |=m);
-      OBITOP(O_BIAND,1,1, b = (ea & m) && C; ea &= ~m; if (!b) ea |=m);
-      OBITOP(O_BOR,1,1, b = (ea & m) || C; ea &= ~m; if (b) ea |=m);
-      OBITOP(O_BIOR,1,1, b = (ea & m) || C; ea &= ~m; if (!b) ea |=m);
-      OBITOP(O_BXOR,1,1, b = (ea & m) != C; ea &= ~m; if (b) ea |=m);
-      OBITOP(O_BIXOR,1,1, b = (ea & m) != C; ea &= ~m; if (!b) ea |=m);
-      OBITOP(O_BCLR,1,1, ea &= ~m; );
-      OBITOP(O_BSET,1,1, ea |= m; );
-
-
-#define MOP(bsize, signed)                                                     \
-      {                                                                                \
-       int multiplier;                                                         \
-       int multiplicand;                                                       \
-       int result;                                                             \
-                                                                               \
-       if (signed)                                                             \
-         {                                                                     \
-           multiplicand =                                                      \
-             bsize ? SEXTCHAR(GET_W_REG(code->dst.reg)):                       \
-           SEXTSHORT(GET_W_REG(code->dst.reg));                                \
-           multiplier =                                                        \
-             bsize ? SEXTCHAR(GET_B_REG(code->src.reg)):                       \
-           SEXTSHORT(GET_B_REG(code->src.reg));                                \
-         }                                                                     \
-       else                                                                    \
-         {                                                                     \
-           multiplicand = bsize ? UEXTCHAR(GET_W_REG(code->dst.reg)):          \
-           UEXTSHORT(GET_W_REG(code->dst.reg));                                \
-           multiplier =                                                        \
-             bsize ? UEXTCHAR(GET_B_REG(code->src.reg)):                       \
-           UEXTSHORT(GET_B_REG(code->src.reg));                                \
-                                                                               \
-         }                                                                     \
-       result = multiplier * multiplicand;                                     \
-                                                                               \
-       if (signed)                                                             \
-         {                                                                     \
-           n = result & (bsize ? 0x8000: 0x80000000);                          \
-           nz = result & (bsize ? 0xffff: 0xffffffff);                         \
-         }                                                                     \
-       if (bsize)                                                              \
-         {                                                                     \
-           SET_W_REG(code->dst.reg, result);                                   \
-         }                                                                     \
-       else                                                                    \
-         {                                                                     \
-           SET_L_REG(code->dst.reg, result);                                   \
-         }                                                                     \
-       goto next;                                                              \
- }                                                                             \
-                                                                                
-    case O(O_MULS, SB): MOP(1,1);break;
-    case O(O_MULS, SW): MOP(0,1); break;
-    case O(O_MULU, SB): MOP(1,0);break;
-    case O(O_MULU, SW): MOP(0,0); break;
-
-
-    case O(O_DIVU,SB):
-      {
-
-       rd = GET_W_REG(code->dst.reg);
-       ea = GET_B_REG(code->src.reg);
-       if (ea) {
-         tmp = rd % ea;
-         rd = rd / ea;
+         OBITOP (O_BNOT, 1, 1, ea ^= m);
+         OBITOP (O_BTST, 1, 0, nz = ea & m);
+         OBITOP (O_BCLR, 1, 1, ea &= ~m);
+         OBITOP (O_BSET, 1, 1, ea |= m);       
+         OBITOP (O_BLD, 1, 0, c = ea & m);
+         OBITOP (O_BILD, 1, 0, c = !(ea & m));
+         OBITOP (O_BST, 1, 1, ea &= ~m;
+                 if (C) ea |= m);
+         OBITOP (O_BIST, 1, 1, ea &= ~m;
+                 if (!C) ea |= m);
+         OBITOP (O_BAND, 1, 0, c = (ea & m) && C);
+         OBITOP (O_BIAND, 1, 0, c = !(ea & m) && C);
+         OBITOP (O_BOR, 1, 0, c = (ea & m) || C);
+         OBITOP (O_BIOR, 1, 0, c = !(ea & m) || C);
+         OBITOP (O_BXOR, 1, 0, c = (ea & m) != C);
+         OBITOP (O_BIXOR, 1, 0, c = !(ea & m) != C);
+
+
+#define MOP(bsize, signed) mop(code, bsize,signed); goto next;
+
+       case O (O_MULS, SB):
+         MOP (1, 1);
+         break;
+       case O (O_MULS, SW):
+         MOP (0, 1);
+         break;
+       case O (O_MULU, SB):
+         MOP (1, 0);
+         break;
+       case O (O_MULU, SW):
+         MOP (0, 0);
+         break;
 
-       }
-       SET_W_REG(code->dst.reg, (rd & 0xff) | (tmp << 8));
-       n = ea & 0x80;
-       nz = ea & 0xff;
-
-       goto next;
-      }
-    case O(O_DIVU,SW):
-      {
-
-       rd = GET_L_REG(code->dst.reg);
-       ea = GET_W_REG(code->src.reg);
-       n = ea & 0x8000;
-       nz = ea & 0xffff;
-       if (ea) {
-         tmp = rd % ea;
-         rd = rd / ea;
 
-       }
-       SET_L_REG(code->dst.reg, (rd & 0xffff) | (tmp << 16));
-       goto next;
-      }
-         
+       case O (O_DIVU, SB):
+         {
+           rd = GET_W_REG (code->dst.reg);
+           ea = GET_B_REG (code->src.reg);
+           if (ea)
+             {
+               tmp = (unsigned)rd % ea;
+               rd = (unsigned)rd / ea;
+             }
+           SET_W_REG (code->dst.reg, (rd & 0xff) | (tmp << 8));
+           n = ea & 0x80;
+           nz = ea & 0xff;
 
+           goto next;
+         }
+       case O (O_DIVU, SW):
+         {
+           rd = GET_L_REG (code->dst.reg);
+           ea = GET_W_REG (code->src.reg);
+           n = ea & 0x8000;
+           nz = ea & 0xffff;
+           if (ea)
+             {
+               tmp = (unsigned)rd % ea;
+               rd = (unsigned)rd / ea;
+             }
+           SET_L_REG (code->dst.reg, (rd & 0xffff) | (tmp << 16));
+           goto next;
+         }
 
-    case O(O_DIVS,SB):
-      {
+       case O (O_DIVS, SB):
+         {
 
-       rd = SEXTSHORT(GET_W_REG(code->dst.reg));
-       ea = SEXTCHAR(GET_B_REG(code->src.reg));
-       if (ea) {
+           rd = SEXTSHORT (GET_W_REG (code->dst.reg));
+           ea = SEXTCHAR (GET_B_REG (code->src.reg));
+           if (ea)
+             {
+               tmp = (int) rd % (int) ea;
+               rd = (int) rd / (int) ea;
+               n = rd & 0x8000;
+               nz = 1;
+             }
+           else
+             nz = 0;
+           SET_W_REG (code->dst.reg, (rd & 0xff) | (tmp << 8));
+           goto next;
+         }
+       case O (O_DIVS, SW):
+         {
+           rd = GET_L_REG (code->dst.reg);
+           ea = SEXTSHORT (GET_W_REG (code->src.reg));
+           if (ea)
+             {
+               tmp = (int) rd % (int) ea;
+               rd = (int) rd / (int) ea;
+               n = rd & 0x80000000;
+               nz = 1;
+             }
+           else
+             nz = 0;
+           SET_L_REG (code->dst.reg, (rd & 0xffff) | (tmp << 16));
+           goto next;
+         }
+       case O (O_EXTS, SW):
+         rd = GET_B_REG (code->src.reg + 8) & 0xff; /* Yes, src, not dst.  */
+         ea = rd & 0x80 ? -256 : 0;
+         res = rd + ea;
+         goto log16;
+       case O (O_EXTS, SL):
+         rd = GET_W_REG (code->src.reg) & 0xffff;
+         ea = rd & 0x8000 ? -65536 : 0;
+         res = rd + ea;
+         goto log32;
+       case O (O_EXTU, SW):
+         rd = GET_B_REG (code->src.reg + 8) & 0xff;
+         ea = 0;
+         res = rd + ea;
+         goto log16;
+       case O (O_EXTU, SL):
+         rd = GET_W_REG (code->src.reg) & 0xffff;
+         ea = 0;
+         res = rd + ea;
+         goto log32;
 
-         tmp = (int)rd % (int)ea;
-         rd = (int)rd / (int)ea;
-         n = rd & 0x8000;
-         nz = 1;
+       case O (O_NOP, SB):
+         goto next;
+
+       case O (O_STM, SL):
+         {
+           int nregs, firstreg, i;
+
+           nregs = GET_MEMORY_B (pc + 1);
+           nregs >>= 4;
+           nregs &= 0xf;
+           firstreg = GET_MEMORY_B (pc + 3);
+           firstreg &= 0xf;
+           for (i = firstreg; i <= firstreg + nregs; i++)
+             {
+               cpu.regs[7] -= 4;
+               SET_MEMORY_L (cpu.regs[7], cpu.regs[i]);
+             }
+         }
+         goto next;
+
+       case O (O_LDM, SL):
+         {
+           int nregs, firstreg, i;
+
+           nregs = GET_MEMORY_B (pc + 1);
+           nregs >>= 4;
+           nregs &= 0xf;
+           firstreg = GET_MEMORY_B (pc + 3);
+           firstreg &= 0xf;
+           for (i = firstreg; i >= firstreg - nregs; i--)
+             {
+               cpu.regs[i] = GET_MEMORY_L (cpu.regs[7]);
+               cpu.regs[7] += 4;
+             }
+         }
+         goto next;
+
+       default:
+         cpu.exception = SIGILL;
+         goto end;
 
        }
-       else
-         nz = 0;
-       SET_W_REG(code->dst.reg, (rd & 0xff) | (tmp << 8));
-       goto next;
-      }
-    case O(O_DIVS,SW):
-      {
-
-       rd = GET_L_REG(code->dst.reg);
-       ea = SEXTSHORT(GET_W_REG(code->src.reg));
-       if (ea) {
-
-         tmp = (int)rd % (int)ea;
-         rd = (int)rd / (int)ea;
-         n = rd & 0x80000000;
-         nz = 1;
-      
-       }
-       else nz =0;
-       SET_L_REG(code->dst.reg, (rd & 0xffff) | (tmp << 16));
-       goto next;
-      }
-    case O (O_EXTS, SW):
-      rd = GET_B_REG (code->src.reg + 8) & 0xff; /* Yes, src, not dst.  */
-      ea = rd & 0x80 ? -256 : 0;
-      res = rd + ea;
-      goto log16;
-    case O (O_EXTS, SL):
-      rd = GET_W_REG (code->src.reg) & 0xffff;
-      ea = rd & 0x8000 ? -65536 : 0;
-      res = rd + ea;
-      goto log32;
-    case O (O_EXTU, SW):
-      rd = GET_B_REG (code->src.reg + 8) & 0xff;
-      ea = 0;
-      res = rd + ea;
-      goto log16;
-    case O (O_EXTU, SL):
-      rd = GET_W_REG (code->src.reg) & 0xffff;
-      ea = 0;
-      res = rd + ea;
-      goto log32;
+      abort ();
 
-    default:
-      cpu.exception = 123;
+    setc:
+      cpu.ccr = res;
+      GETSR ();
+      goto next;
+
+    condtrue:
+      /* When a branch works */
+      pc = code->src.literal;
       goto end;
 
-    }
-  abort ();
-
- setc:
-  GETSR();
-  goto next;
-      
- condtrue:
-  /* When a branch works */
-  pc = code->src.literal;
-  goto end;
-      
-  /* Set the cond codes from res */
- bitop:
-
-  /* Set the flags after an 8 bit inc/dec operation */
- just_flags_inc8:
-  n = res & 0x80;
-  nz = res & 0xff;
-  v = (rd & 0x7f) == 0x7f;
-  goto next;
-
-
-  /* Set the flags after an 16 bit inc/dec operation */
- just_flags_inc16:
-  n = res & 0x8000;
-  nz = res & 0xffff;
-  v = (rd & 0x7fff) == 0x7fff;
-  goto next;
-
-
-  /* Set the flags after an 32 bit inc/dec operation */
- just_flags_inc32:
-  n = res & 0x80000000;
-  nz = res & 0xffffffff;
-  v = (rd & 0x7fffffff) == 0x7fffffff;
-  goto next;
-
-
- shift8:
-  /* Set flags after an 8 bit shift op, carry set in insn */
-  n = (rd & 0x80);
-  v = 0;
-  nz = rd & 0xff;
-  SET_B_REG(code->src.reg, rd);
-  goto next;
-
-
- shift16:
-  /* Set flags after an 16 bit shift op, carry set in insn */
-  n = (rd & 0x8000);
-  v = 0;
-  nz = rd & 0xffff;
-      
-  SET_W_REG(code->src.reg, rd);
-  goto next;
-
- shift32:
-  /* Set flags after an 32 bit shift op, carry set in insn */
-  n = (rd & 0x80000000);
-  v = 0;
-  nz = rd & 0xffffffff;
-  SET_L_REG(code->src.reg, rd);
-  goto next;
-
- log32:
-  store (&code->dst, res);
- just_flags_log32:
-  /* flags after a 32bit logical operation */
-  n = res & 0x80000000;
-  nz = res & 0xffffffff;
-  v = 0;
-  goto next;
-      
- log16:
-  store (&code->dst, res);
- just_flags_log16:
-  /* flags after a 16bit logical operation */
-  n = res & 0x8000;
-  nz = res & 0xffff;
-  v = 0;
-  goto next;
-
-
- log8:
-  store (&code->dst, res);
- just_flags_log8:
-  n = res & 0x80;
-  nz = res & 0xff;
-  v = 0;
-  goto next;
-
- alu8:
-  SET_B_REG (code->dst.reg, res);
- just_flags_alu8:
-  n = res & 0x80;
-  nz = res & 0xff;
-  v = ((ea & 0x80) == (rd & 0x80)) && ((ea & 0x80) != (res & 0x80));
-  c = (res & 0x100);
-  goto next;
-
- alu16:
-  SET_W_REG (code->dst.reg, res);
- just_flags_alu16:
-  n = res & 0x8000;
-  nz = res & 0xffff;
-  v = ((ea & 0x8000) == (rd & 0x8000)) && ((ea & 0x8000) != (res & 0x8000));
-  c = (res & 0x10000);
-  goto next;
-
- alu32: 
-  SET_L_REG (code->dst.reg, res);
- just_flags_alu32:
-  n = res & 0x80000000;
-  nz = res & 0xffffffff;
-  v = ((ea & 0x80000000) == (rd & 0x80000000)) 
-    && ((ea & 0x80000000) != (res & 0x80000000));
-  switch (code->opcode / 4)
-    {
-    case O_ADD:
-      c = ((unsigned) res < (unsigned) rd) || ((unsigned) res < (unsigned) ea);
-      break;
-    case O_SUB:
-    case O_CMP:
-      c = (unsigned) rd < (unsigned) -ea;
-      break;
-    case O_NEG:
-      c = res != 0;
-      break;
-    }
-  goto next;
+      /* Set the cond codes from res */
+    bitop:
+
+      /* Set the flags after an 8 bit inc/dec operation */
+    just_flags_inc8:
+      n = res & 0x80;
+      nz = res & 0xff;
+      v = (rd & 0x7f) == 0x7f;
+      goto next;
+
+
+      /* Set the flags after an 16 bit inc/dec operation */
+    just_flags_inc16:
+      n = res & 0x8000;
+      nz = res & 0xffff;
+      v = (rd & 0x7fff) == 0x7fff;
+      goto next;
+
+
+      /* Set the flags after an 32 bit inc/dec operation */
+    just_flags_inc32:
+      n = res & 0x80000000;
+      nz = res & 0xffffffff;
+      v = (rd & 0x7fffffff) == 0x7fffffff;
+      goto next;
+
+
+    shift8:
+      /* Set flags after an 8 bit shift op, carry,overflow set in insn */
+      n = (rd & 0x80);
+      nz = rd & 0xff;
+      SET_B_REG (code->src.reg, rd);
+      goto next;
+
+    shift16:
+      /* Set flags after an 16 bit shift op, carry,overflow set in insn */
+      n = (rd & 0x8000);
+      nz = rd & 0xffff;
+      SET_W_REG (code->src.reg, rd);
+      goto next;
+
+    shift32:
+      /* Set flags after an 32 bit shift op, carry,overflow set in insn */
+      n = (rd & 0x80000000);
+      nz = rd & 0xffffffff;
+      SET_L_REG (code->src.reg, rd);
+      goto next;
+
+    log32:
+      store (&code->dst, res);
+    just_flags_log32:
+      /* flags after a 32bit logical operation */
+      n = res & 0x80000000;
+      nz = res & 0xffffffff;
+      v = 0;
+      goto next;
+
+    log16:
+      store (&code->dst, res);
+    just_flags_log16:
+      /* flags after a 16bit logical operation */
+      n = res & 0x8000;
+      nz = res & 0xffff;
+      v = 0;
+      goto next;
+
+
+    log8:
+      store (&code->dst, res);
+    just_flags_log8:
+      n = res & 0x80;
+      nz = res & 0xff;
+      v = 0;
+      goto next;
+
+    alu8:
+      SET_B_REG (code->dst.reg, res);
+    just_flags_alu8:
+      n = res & 0x80;
+      nz = res & 0xff;
+      c = (res & 0x100);
+      switch (code->opcode / 4)
+       {
+       case O_ADD:
+         v = ((rd & 0x80) == (ea & 0x80)
+              && (rd & 0x80) != (res & 0x80));
+         break;
+       case O_SUB:
+       case O_CMP:
+         v = ((rd & 0x80) != (-ea & 0x80)
+              && (rd & 0x80) != (res & 0x80));
+         break;
+       case O_NEG:
+         v = (rd == 0x80);
+         break;
+       }
+      goto next;
+
+    alu16:
+      SET_W_REG (code->dst.reg, res);
+    just_flags_alu16:
+      n = res & 0x8000;
+      nz = res & 0xffff;
+      c = (res & 0x10000);
+      switch (code->opcode / 4)
+       {
+       case O_ADD:
+         v = ((rd & 0x8000) == (ea & 0x8000)
+              && (rd & 0x8000) != (res & 0x8000));
+         break;
+       case O_SUB:
+       case O_CMP:
+         v = ((rd & 0x8000) != (-ea & 0x8000)
+              && (rd & 0x8000) != (res & 0x8000));
+         break;
+       case O_NEG:
+         v = (rd == 0x8000);
+         break;
+       }
+      goto next;
+
+    alu32:
+      SET_L_REG (code->dst.reg, res);
+    just_flags_alu32:
+      n = res & 0x80000000;
+      nz = res & 0xffffffff;
+      switch (code->opcode / 4)
+       {
+       case O_ADD:
+         v = ((rd & 0x80000000) == (ea & 0x80000000)
+              && (rd & 0x80000000) != (res & 0x80000000));
+         c = ((unsigned) res < (unsigned) rd) || ((unsigned) res < (unsigned) ea);
+         break;
+       case O_SUB:
+       case O_CMP:
+         v = ((rd & 0x80000000) != (-ea & 0x80000000)
+              && (rd & 0x80000000) != (res & 0x80000000));
+         c = (unsigned) rd < (unsigned) -ea;
+         break;
+       case O_NEG:
+         v = (rd == 0x80000000);
+         c = res != 0;
+         break;
+       }
+      goto next;
 
- next:;
-  pc = code->next_pc;
   next:;
+      pc = code->next_pc;
 
- end:
-  if (cpu.regs[8] ) abort();
-      
-  ;
+    end:
+      ;
+      /*      if (cpu.regs[8] ) abort(); */
 
-}
+#if defined (WIN32)
+      /* Poll after every 100th insn, */
+      if (poll_count++ > 100)
+       {
+         poll_count = 0;
+         if (win32pollquit())
+           {
+             control_c();
+           }
+       }
+#endif
+#if defined(__GO32__)
+      /* Poll after every 100th insn, */
+      if (poll_count++ > 100)
+       {
+         poll_count = 0;
+         if (kbhit ())
+           {
+             int c = getkey ();
+             control_c ();
+           }
+       }
+#endif
+
+    }
   while (!cpu.exception);
   cpu.ticks += get_now () - tick_start;
   cpu.cycles += cycles;
   cpu.insts += insts;
+  
   cpu.pc = pc;
   BUILDSR ();
-
+  cpu.mask = oldmask;
   signal (SIGINT, prev);
 }
 
 
-
-
-void
+int
 sim_write (addr, buffer, size)
-     long int addr;
+     SIM_ADDR addr;
      unsigned char *buffer;
      int size;
 {
   int i;
 
   init_pointers ();
-  if (addr < 0 || addr + size > MSIZE)
-    return;
+  if (addr < 0)
+    return 0;
   for (i = 0; i < size; i++)
     {
-      cpu.memory[addr + i] = buffer[i];
-      cpu.cache_idx[addr + i] = 0;
+      if (addr < memory_size)
+       {
+         cpu.memory[addr + i] = buffer[i];
+         cpu.cache_idx[addr + i] = 0;
+       }
+      else
+       cpu.eightbit[(addr + i) & 0xff] = buffer[i];
     }
+  return size;
 }
 
-void
+int
 sim_read (addr, buffer, size)
-     long int addr;
-     char *buffer;
+     SIM_ADDR addr;
+     unsigned char *buffer;
      int size;
 {
   init_pointers ();
-  if (addr < 0 || addr + size > MSIZE)
-    return;
-  memcpy (buffer, cpu.memory + addr, size);
+  if (addr < 0)
+    return 0;
+  if (addr < memory_size)
+    memcpy (buffer, cpu.memory + addr, size);
+  else
+    memcpy (buffer, cpu.eightbit + (addr & 0xff), size);
+  return size;
 }
 
 
-
 #define R0_REGNUM      0
 #define R1_REGNUM      1
 #define R2_REGNUM      2
@@ -1489,7 +1758,7 @@ sim_read (addr, buffer, size)
 
 #define SP_REGNUM       R7_REGNUM      /* Contains address of top of stack */
 #define FP_REGNUM       R6_REGNUM      /* Contains address of executing
-                                        * stack frame */
+                                          * stack frame */
 
 #define CCR_REGNUM      8      /* Contains processor status */
 #define PC_REGNUM       9      /* Contains program counter */
@@ -1502,14 +1771,20 @@ sim_read (addr, buffer, size)
 void
 sim_store_register (rn, value)
      int rn;
-     int value;
+     unsigned char *value;
 {
+  int longval;
+  int shortval;
+  int intval;
+  longval = (value[0] << 24) | (value[1] << 16) | (value[2] << 8) | value[3];
+  shortval = (value[0] << 8) | (value[1]);
+  intval = h8300hmode ? longval : shortval;
 
   init_pointers ();
   switch (rn)
     {
     case PC_REGNUM:
-      cpu.pc = value;
+      cpu.pc = intval;
       break;
     default:
       abort ();
@@ -1521,21 +1796,21 @@ sim_store_register (rn, value)
     case R5_REGNUM:
     case R6_REGNUM:
     case R7_REGNUM:
-      cpu.regs[rn] = value;
+      cpu.regs[rn] = intval;
       break;
     case CCR_REGNUM:
-      cpu.ccr = value;
+      cpu.ccr = intval;
       break;
     case CYCLE_REGNUM:
-      cpu.cycles = value;
+      cpu.cycles = longval;
       break;
 
     case INST_REGNUM:
-      cpu.insts = value;
+      cpu.insts = longval;
       break;
 
     case TICK_REGNUM:
-      cpu.ticks = value;
+      cpu.ticks = longval;
       break;
     }
 }
@@ -1543,7 +1818,7 @@ sim_store_register (rn, value)
 void
 sim_fetch_register (rn, buf)
      int rn;
-     char *buf;
+     unsigned char *buf;
 {
   int v;
   int longreg = 0;
@@ -1573,7 +1848,6 @@ sim_fetch_register (rn, buf)
     case 10:
       v = cpu.cycles;
       longreg = 1;
-
       break;
     case 11:
       v = cpu.ticks;
@@ -1583,9 +1857,8 @@ sim_fetch_register (rn, buf)
       v = cpu.insts;
       longreg = 1;
       break;
-
     }
-  if (HMODE || longreg)
+  if (h8300hmode || longreg)
     {
       buf[0] = v >> 24;
       buf[1] = v >> 16;
@@ -1599,23 +1872,15 @@ sim_fetch_register (rn, buf)
     }
 }
 
-int
-sim_trace ()
-{
-  return 0;
-}
-
-sim_stop_signal ()
-{
-  return cpu.exception;
-}
-
-sim_set_pc (n)
+void
+sim_stop_reason (reason, sigrc)
+     enum sim_stop *reason;
+     int *sigrc;
 {
-  sim_store_register (PC_REGNUM, n);
+  *reason = sim_stopped;
+  *sigrc = cpu.exception;
 }
 
-
 sim_csize (n)
 {
   if (cpu.cache)
@@ -1628,41 +1893,148 @@ sim_csize (n)
 }
 
 
-
 void
 sim_info (verbose)
      int verbose;
-     
 {
   double timetaken = (double) cpu.ticks / (double) now_persec ();
   double virttime = cpu.cycles / 10.0e6;
 
-
-  printf ("\n\n#instructions executed  %10d\n", cpu.insts);
-  printf ("#cycles (v approximate) %10d\n", cpu.cycles);
-  printf ("#real time taken        %10.4f\n", timetaken);
-  printf ("#virtual time taked     %10.4f\n", virttime);
-  if (timetaken != 0.0) 
-  printf ("#simulation ratio       %10.4f\n", virttime / timetaken);
-  printf ("#compiles               %10d\n", cpu.compiles);
-  printf ("#cache size             %10d\n", cpu.csize);
-
+  printf_filtered ("\n\n#instructions executed  %10d\n", cpu.insts);
+  printf_filtered ("#cycles (v approximate) %10d\n", cpu.cycles);
+  printf_filtered ("#real time taken        %10.4f\n", timetaken);
+  printf_filtered ("#virtual time taked     %10.4f\n", virttime);
+  if (timetaken != 0.0)
+    printf_filtered ("#simulation ratio       %10.4f\n", virttime / timetaken);
+  printf_filtered ("#compiles               %10d\n", cpu.compiles);
+  printf_filtered ("#cache size             %10d\n", cpu.csize);
 
 #ifdef ADEBUG
-  if  (verbose)
+  if (verbose)
     {
       int i;
-      for (i= 0; i < O_LAST; i++) 
+      for (i = 0; i < O_LAST; i++)
        {
          if (cpu.stats[i])
-           printf("%d: %d\n", i, cpu.stats[i]);
+           printf_filtered ("%d: %d\n", i, cpu.stats[i]);
        }
     }
 #endif
 }
 
+/* Indicate whether the cpu is an h8/300 or h8/300h.
+   FLAG is non-zero for the h8/300h.  */
+
 void
-set_h8300h ()
+set_h8300h (flag)
+     int flag;
 {
-  HMODE = 1;
+  h8300hmode = flag;
 }
+
+void
+sim_kill ()
+{
+  /* nothing to do */
+}
+
+void
+sim_open (args)
+     char *args;
+{
+  /* nothing to do */
+}
+
+void
+sim_close (quitting)
+     int quitting;
+{
+  /* nothing to do */
+}
+
+/* Called by gdb to load a program into memory.  */
+
+int
+sim_load (prog, from_tty)
+     char *prog;
+     int from_tty;
+{
+  bfd *abfd;
+
+  /* See if the file is for the h8/300 or h8/300h.  */
+  /* ??? This may not be the most efficient way.  The z8k simulator
+     does this via a different mechanism (INIT_EXTRA_SYMTAB_INFO).  */
+  if ((abfd = bfd_openr (prog, "coff-h8300")) != 0)
+    {
+      if (bfd_check_format (abfd, bfd_object)) 
+       {
+         set_h8300h (abfd->arch_info->mach == bfd_mach_h8300h
+                     || abfd->arch_info->mach == bfd_mach_h8300s);
+       }
+      bfd_close (abfd);
+    }
+
+  /* If we're using gdb attached to the simulator, then we have to
+     reallocate memory for the simulator.
+
+     When gdb first starts, it calls fetch_registers (among other
+     functions), which in turn calls init_pointers, which allocates
+     simulator memory.
+
+     The problem is when we do that, we don't know whether we're
+     debugging an h8/300 or h8/300h program.
+
+     This is the first point at which we can make that determination,
+     so we just reallocate memory now; this will also allow us to handle
+     switching between h8/300 and h8/300h programs without exiting
+     gdb.  */
+  if (h8300hmode)
+    memory_size = H8300H_MSIZE;
+  else
+    memory_size = H8300_MSIZE;
+
+  if (cpu.memory)
+    free (cpu.memory);
+  if (cpu.cache_idx)
+    free (cpu.cache_idx);
+  if (cpu.eightbit)
+    free (cpu.eightbit);
+
+  cpu.memory = (unsigned char *) calloc (sizeof (char), memory_size);
+  cpu.cache_idx = (unsigned short *) calloc (sizeof (short), memory_size);
+  cpu.eightbit = (unsigned char *) calloc (sizeof (char), 256);
+
+  /* `msize' must be a power of two */
+  if ((memory_size & (memory_size - 1)) != 0)
+    abort ();
+  cpu.mask = memory_size - 1;
+
+  /* Return non-zero so gdb will handle it.  */
+  return 1;
+}
+
+void
+sim_create_inferior (start_address, argv, env)
+     SIM_ADDR start_address;
+     char **argv;
+     char **env;
+{
+  cpu.pc = start_address;
+}
+
+void
+sim_do_command (cmd)
+     char *cmd;
+{
+  printf_filtered ("This simulator does not accept any commands.\n");
+}
+
+
+
+void
+sim_set_callbacks (ptr)
+struct host_callback_struct *ptr;
+{
+
+}
+
This page took 0.047654 seconds and 4 git commands to generate.