X-Git-Url: http://drtracing.org/?a=blobdiff_plain;ds=sidebyside;f=sim%2Fcommon%2FMake-common.in;h=1766b83b8c16731ba044bceadf739c7ed8441218;hb=bb0974456ed6d421e8d0b257f1e108c242326afc;hp=37162c2b8619394274441d37f0c57dade273c6c1;hpb=149cfe5bb4a7ede3dabf1e9cee86472e408ec068;p=deliverable%2Fbinutils-gdb.git diff --git a/sim/common/Make-common.in b/sim/common/Make-common.in index 37162c2b86..1766b83b8c 100644 --- a/sim/common/Make-common.in +++ b/sim/common/Make-common.in @@ -1,20 +1,19 @@ # Makefile fragment for common parts of all simulators. -# Copyright 1997, 1998, 1999, 2000, 2001, 2004 Free Software Foundation, Inc. +# Copyright 1997-2015 Free Software Foundation, Inc. # Contributed by Cygnus Support. # This program is free software; you can redistribute it and/or modify # it under the terms of the GNU General Public License as published by -# the Free Software Foundation; either version 2 of the License, or +# the Free Software Foundation; either version 3 of the License, or # (at your option) any later version. -# +# # This program is distributed in the hope that it will be useful, # but WITHOUT ANY WARRANTY; without even the implied warranty of # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the # GNU General Public License for more details. -# +# # You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. +# along with this program. If not, see . # This Makefile fragment consists of two separate parts. # They are merged into the final Makefile at points denoted by @@ -35,10 +34,11 @@ ## COMMON_PRE_CONFIG_FRAG -VPATH = @srcdir@ +VPATH = @srcdir@:$(srccom) srcdir = @srcdir@ srccom = $(srcdir)/../common srcroot = $(srcdir)/../.. +srcsim = $(srcdir)/.. prefix = @prefix@ exec_prefix = @exec_prefix@ @@ -52,11 +52,13 @@ libdir = @libdir@ tooldir = $(libdir)/$(target_alias) datadir = @datadir@ +datarootdir = @datarootdir@ mandir = @mandir@ man1dir = $(mandir)/man1 infodir = @infodir@ includedir = @includedir@ +lt_cv_dlopen_libs = @lt_cv_dlopen_libs@ # This can be referenced by the gettext configuration code. top_builddir = .. @@ -108,7 +110,17 @@ AR_FLAGS = rc RANLIB = @RANLIB@ MAKEINFO = makeinfo -DEP = $(srcroot)/mkdep +# Dependency tracking information. +DEPMODE = @CCDEPMODE@ +DEPDIR = @DEPDIR@ +depcomp = $(SHELL) $(srcroot)/depcomp + +# Note that these are overridden by GNU make-specific code below if +# GNU make is used. The overrides implement dependency tracking. +COMPILE.pre = $(CC) +COMPILE.post = -c -o $@ +COMPILE = $(COMPILE.pre) $(ALL_CFLAGS) $(COMPILE.post) +POSTCOMPILE = @true # Each simulator's Makefile.in defines one or more of these variables # to override our settings as necessary. There is no need to define these @@ -127,7 +139,7 @@ SIM_EXTRA_LIBS = # List of extra program dependencies. SIM_EXTRA_LIBDEPS = # List of main object files for `run'. -SIM_RUN_OBJS = run.o +SIM_RUN_OBJS = nrun.o # Dependency of `all' to build any extra files. SIM_EXTRA_ALL = # Dependency of `install' to install any extra files. @@ -161,11 +173,16 @@ SIM_COMMON_HW_OBJS = \ SIM_NEW_COMMON_OBJS = \ sim-arange.o \ sim-bits.o \ + sim-close.o \ + sim-command.o \ sim-config.o \ sim-core.o \ + sim-cpu.o \ sim-endian.o \ + sim-engine.o \ sim-events.o \ sim-fpu.o \ + sim-hrw.o \ sim-io.o \ sim-info.o \ sim-load.o \ @@ -173,21 +190,32 @@ SIM_NEW_COMMON_OBJS = \ sim-module.o \ sim-options.o \ sim-profile.o \ + sim-reason.o \ + sim-reg.o \ sim-signal.o \ + sim-stop.o \ + sim-syscall.o \ sim-trace.o \ sim-utils.o \ sim-watch.o \ \ $(SIM_HW_OBJS) \ -# Add this to SIM_EXTRA_DEPS. -CGEN_INCLUDE_DEPS = \ - $(srccom)/cgen-cpu.h \ +# cgen-sim.h and the headers it includes +CGEN_SIM_DEPS = \ + $(srccom)/cgen-sim.h \ $(srccom)/cgen-defs.h \ - $(srccom)/cgen-engine.h \ $(srccom)/cgen-scache.h \ - $(srccom)/cgen-sim.h \ + $(srccom)/cgen-fpu.h \ + $(srccom)/cgen-par.h \ + $(srccom)/cgen-cpu.h \ $(srccom)/cgen-trace.h \ + cpuall.h + +# Add this to SIM_EXTRA_DEPS. +CGEN_INCLUDE_DEPS = \ + $(CGEN_SIM_DEPS) \ + $(srccom)/cgen-engine.h \ $(srccom)/cgen-types.h \ $(srcdir)/../../include/opcode/cgen.h @@ -228,24 +256,26 @@ CSEARCH = -I. -I$(srcdir) -I../common -I$(srccom) \ -I../../include -I$(srcroot)/include \ -I../../bfd -I$(srcroot)/bfd \ -I../../opcodes -I$(srcroot)/opcodes \ - -I../../intl -I$(srcroot)/intl + @INCINTL@ ALL_CFLAGS = $(CONFIG_CFLAGS) $(CSEARCH) $(CFLAGS) BUILD_CFLAGS = -g -O $(CSEARCH) COMMON_DEP_CFLAGS = $(CONFIG_CFLAGS) $(CSEARCH) +ZLIB = @zlibdir@ -lz LIBIBERTY_LIB = ../../libiberty/libiberty.a BFD_LIB = ../../bfd/libbfd.a +@PLUGINS_TRUE@LIBDL = @lt_cv_dlopen_libs@ OPCODES_LIB = ../../opcodes/libopcodes.a -INTLLIBS = @INTLLIBS@ -INTLDEPS = @INTLDEPS@ -CONFIG_LIBS = @LIBS@ -LIBDEPS = $(BFD_LIB) $(OPCODES_LIB) $(INTLLIBS) $(LIBIBERTY_LIB) \ +LIBINTL = @LIBINTL@ +LIBINTL_DEP = @LIBINTL_DEP@ +CONFIG_LIBS = @LIBS@ $(ZLIB) +LIBDEPS = $(BFD_LIB) $(OPCODES_LIB) $(LIBINTL_DEP) $(LIBIBERTY_LIB) \ $(SIM_EXTRA_LIBDEPS) -EXTRA_LIBS = $(BFD_LIB) $(OPCODES_LIB) $(INTLLIBS) $(LIBIBERTY_LIB) \ - $(CONFIG_LIBS) $(SIM_EXTRA_LIBS) +EXTRA_LIBS = $(BFD_LIB) $(OPCODES_LIB) $(LIBINTL) $(LIBIBERTY_LIB) \ + $(CONFIG_LIBS) $(SIM_EXTRA_LIBS) $(LIBDL) -LIB_OBJS = callback.o syscall.o targ-map.o $(SIM_OBJS) +LIB_OBJS = callback.o syscall.o targ-map.o version.o $(SIM_OBJS) RUNTESTFLAGS = @@ -260,25 +290,14 @@ libsim.a: $(LIB_OBJS) $(RANLIB) libsim.a run$(EXEEXT): $(SIM_RUN_OBJS) libsim.a $(LIBDEPS) - $(CC) $(ALL_CFLAGS) -o run$(EXEEXT) \ + $(CC) $(ALL_CFLAGS) $(LDFLAGS) -o run$(EXEEXT) \ $(SIM_RUN_OBJS) libsim.a $(EXTRA_LIBS) -run.o: $(srccom)/run.c config.h tconfig.h $(remote_sim_h) $(callback_h) - $(CC) -c $(srccom)/run.c $(ALL_CFLAGS) - # FIXME: Ideally, callback.o and friends live in a library outside of # both the gdb and simulator source trees (e.g. devo/remote. Not # devo/libremote because this directory would contain more than just # a library). -callback.o: $(srccom)/callback.c config.h tconfig.h $(callback_h) targ-vals.h - $(CC) -c $(srccom)/callback.c $(ALL_CFLAGS) - -syscall.o: $(srccom)/syscall.c config.h tconfig.h $(callback_h) targ-vals.h - $(CC) -c $(srccom)/syscall.c $(ALL_CFLAGS) - -targ-map.o: targ-map.c targ-vals.h - gentmap: Makefile $(srccom)/gentmap.c targ-vals.def $(CC_FOR_BUILD) $(srccom)/gentmap.c -o gentmap $(BUILD_CFLAGS) $(NL_TARGET) @@ -291,6 +310,10 @@ stamp-tvals: gentmap $(SHELL) $(srcroot)/move-if-change tmp-tmap.c targ-map.c touch stamp-tvals +version.c: Makefile $(srcroot)/gdb/version.in $(srcroot)/bfd/version.h $(srcroot)/gdb/common/create-version.sh + $(SHELL) $(srcroot)/gdb/common/create-version.sh $(srcroot)/gdb \ + $(host_alias) $(target_alias) version.c + # # Rules for building sim-* components. Triggered by listing the corresponding # .o file in the list of simulator targets. @@ -392,223 +415,76 @@ hw_main_headers = \ $(hw-ports_h) \ $(hw-properties_h) \ -# FIXME: If this complicated way of building .o files from ../common is -# necessary, the reason should be documented here. - -BUILT_SRC_FROM_COMMON= \ - sim-inline.c - -sim-abort.o: $(srccom)/sim-abort.c \ - $(SIM_EXTRA_DEPS) - $(CC) -c $(srccom)/sim-abort.c $(ALL_CFLAGS) - -sim-arange.o: $(srccom)/sim-arange.c $(sim-arange_h) $(SIM_EXTRA_DEPS) - $(CC) -c $(srccom)/sim-arange.c $(ALL_CFLAGS) - -sim-bits.o: $(srccom)/sim-bits.c $(sim-bits_h) $(sim-n-bits_h) \ - $(SIM_EXTRA_DEPS) - $(CC) -c $(srccom)/sim-bits.c $(ALL_CFLAGS) - -sim-config.o: $(srccom)/sim-config.c $(sim-config_h) sim-main.h \ - $(SIM_EXTRA_DEPS) - $(CC) -c $(srccom)/sim-config.c $(ALL_CFLAGS) - -sim-core.o: $(srccom)/sim-core.c $(sim_main_headers) \ - $(sim-core_h) $(sim-n-core_h) - $(CC) -c $(srccom)/sim-core.c $(ALL_CFLAGS) - -sim-cpu.o: $(srccom)/sim-cpu.c $(sim_main_headers) - $(CC) -c $(srccom)/sim-cpu.c $(ALL_CFLAGS) - -sim-endian.o: $(srccom)/sim-endian.c $(sim-endian_h) $(sim-n-endian_h) - $(CC) -c $(srccom)/sim-endian.c $(ALL_CFLAGS) - -sim-engine.o: $(srccom)/sim-engine.c $(sim_main_headers) $(sim-engine_h) - $(CC) -c $(srccom)/sim-engine.c $(ALL_CFLAGS) - -sim-events.o: $(srccom)/sim-events.c $(sim-events_h) sim-main.h \ - $(SIM_EXTRA_DEPS) - $(CC) -c $(srccom)/sim-events.c $(ALL_CFLAGS) - -sim-fpu.o: $(srccom)/sim-fpu.c $(sim-fpu_h) \ - $(SIM_EXTRA_DEPS) - $(CC) -c $(srccom)/sim-fpu.c $(ALL_CFLAGS) - -sim-hload.o: $(srccom)/sim-hload.c $(sim-assert_h) $(remote_sim_h) \ - $(SIM_EXTRA_DEPS) - $(CC) -c $(srccom)/sim-hload.c $(ALL_CFLAGS) - -sim-hrw.o: $(srccom)/sim-hrw.c $(sim-assert_h) $(sim_core_h) $(remote_sim_h) \ - $(SIM_EXTRA_DEPS) - $(CC) -c $(srccom)/sim-hrw.c $(ALL_CFLAGS) - -sim-hw.o: $(srccom)/sim-hw.c $(sim_main_headers) - $(CC) -c $(srccom)/sim-hw.c $(ALL_CFLAGS) - -sim-info.o: $(srccom)/sim-info.c $(sim-assert_h) $(remote_sim_h) \ - $(SIM_EXTRA_DEPS) - $(CC) -c $(srccom)/sim-info.c $(ALL_CFLAGS) - -sim-inline.c: $(srccom)/sim-inline.c - rm -f $@ tmp-$@ - echo "# 1 \"$(srccom)/$@\"" > tmp-$@ - cat $(srccom)/$@ >> tmp-$@ - $(SHELL) $(srcdir)/../../move-if-change tmp-$@ $@ - -sim-io.o: $(srccom)/sim-io.c $(sim_main_headers) $(sim-io_h) $(remote_sim_h) \ - targ-vals.h - $(CC) -c $(srccom)/sim-io.c $(ALL_CFLAGS) - -sim-memopt.o: $(srccom)/sim-memopt.c $(sim_main_headers) \ - $(sim-io_h) - $(CC) -c $(srccom)/sim-memopt.c $(ALL_CFLAGS) - -sim-module.o: $(srccom)/sim-module.c $(sim_main_headers) \ - $(sim-io_h) - $(CC) -c $(srccom)/sim-module.c $(ALL_CFLAGS) - -sim-options.o: $(srccom)/sim-options.c $(sim_main_headers) \ - $(sim-options_h) $(sim-io_h) - $(CC) -c $(srccom)/sim-options.c $(ALL_CFLAGS) - -sim-reason.o: $(srccom)/sim-reason.c $(sim_main_headers) $(remote_sim_h) - $(CC) -c $(srccom)/sim-reason.c $(ALL_CFLAGS) - -sim-reg.o: $(srccom)/sim-reg.c $(sim_main_headers) $(remote_sim_h) - $(CC) -c $(srccom)/sim-reg.c $(ALL_CFLAGS) - -sim-resume.o: $(srccom)/sim-resume.c $(sim_main_headers) $(remote_sim_h) - $(CC) -c $(srccom)/sim-resume.c $(ALL_CFLAGS) - -sim-run.o: $(srccom)/sim-run.c $(sim_main_headers) - $(CC) -c $(srccom)/sim-run.c $(ALL_CFLAGS) - -sim-signal.o: $(srccom)/sim-signal.c $(sim_main_headers) $(sim-signal_h) - $(CC) -c $(srccom)/sim-signal.c $(ALL_CFLAGS) - -sim-stop.o: $(srccom)/sim-stop.c $(sim_main_headers) - $(CC) -c $(srccom)/sim-stop.c $(ALL_CFLAGS) - -sim-trace.o: $(srccom)/sim-trace.c $(sim_main_headers) \ - $(sim-options_h) $(sim-io_h) - $(CC) -c $(srccom)/sim-trace.c $(ALL_CFLAGS) - -sim-profile.o: $(srccom)/sim-profile.c $(sim_main_headers) \ - $(sim-options_h) $(sim-io_h) - $(CC) -c $(srccom)/sim-profile.c $(ALL_CFLAGS) - -sim-model.o: $(srccom)/sim-model.c $(sim_main_headers) \ - $(sim-io_h) - $(CC) -c $(srccom)/sim-model.c $(ALL_CFLAGS) - -sim-utils.o: $(srccom)/sim-utils.c $(sim_main_headers) - $(CC) -c $(srccom)/sim-utils.c $(ALL_CFLAGS) - -sim-watch.o: $(srccom)/sim-watch.c $(sim_main_headers) - $(CC) -c $(srccom)/sim-watch.c $(ALL_CFLAGS) - -sim-load.o: $(srccom)/sim-load.c $(callback_h) $(sim-basics_h) $(remote_sim_h) - $(CC) -c $(srccom)/sim-load.c $(ALL_CFLAGS) +# +# Dependency tracking. Most of this is conditional on GNU Make being +# found by configure; if GNU Make is not found, we fall back to a +# simpler scheme. +# +@GMAKE_TRUE@ifeq ($(DEPMODE),depmode=gcc3) +# Note that we put the dependencies into a .Tpo file, then move them +# into place if the compile succeeds. We need this because gcc does +# not atomically write the dependency output file. +@GMAKE_TRUE@override COMPILE.post = -c -o $@ -MT $@ -MMD -MP \ +@GMAKE_TRUE@ -MF $(DEPDIR)/$(basename $(@F)).Tpo +@GMAKE_TRUE@override POSTCOMPILE = @mv $(DEPDIR)/$(basename $(@F)).Tpo \ +@GMAKE_TRUE@ $(DEPDIR)/$(basename $(@F)).Po +@GMAKE_TRUE@else +@GMAKE_TRUE@override COMPILE.pre = source='$<' object='$@' libtool=no \ +@GMAKE_TRUE@ DEPDIR=$(DEPDIR) $(DEPMODE) $(depcomp) $(CC) +# depcomp handles atomicity for us, so we don't need a postcompile +# step. +@GMAKE_TRUE@override POSTCOMPILE = +@GMAKE_TRUE@endif + +all_object_files = $(LIB_OBJS) $(SIM_RUN_OBJS) +generated_files = \ + $(SIM_EXTRA_DEPS) \ + hw-config.h \ + targ-map.c \ + targ-vals.h \ + version.c + +# Ensure that generated files are created early. Use order-only +# dependencies if available. They require GNU make 3.80 or newer, +# and the .VARIABLES variable was introduced at the same time. +@GMAKE_TRUE@ifdef .VARIABLES +@GMAKE_TRUE@$(all_object_files): | $(generated_files) +@GMAKE_TRUE@else +$(all_object_files) : $(generated_files) +@GMAKE_TRUE@endif + +# Dependencies. +@GMAKE_TRUE@-include $(patsubst %.o, $(DEPDIR)/%.Po, $(all_object_files)) # FIXME This is one very simple-minded way of generating the file hw-config.h hw-config.h: Makefile.in $(srccom)/Make-common.in config.status Makefile rm -f tmp-hw.h echo "/* generated by Makefile */" > tmp-hw.h - for hw in $(SIM_HW) ; do \ + sim_hw="$(SIM_HW)"; \ + for hw in $$sim_hw ; do \ echo "extern const struct hw_descriptor dv_$${hw}_descriptor[];" ; \ done >> tmp-hw.h echo "const struct hw_descriptor *hw_descriptors[] = {" >> tmp-hw.h - for hw in $(SIM_HW) ; do \ + sim_hw="$(SIM_HW)"; \ + for hw in $$sim_hw ; do \ echo " dv_$${hw}_descriptor," ; \ done >> tmp-hw.h echo " NULL," >> tmp-hw.h echo "};" >> tmp-hw.h mv tmp-hw.h hw-config.h -hw-alloc.o: $(srccom)/hw-alloc.c $(hw_main_headers) - $(CC) -c $(srccom)/hw-alloc.c $(ALL_CFLAGS) - -hw-base.o: $(srccom)/hw-base.c $(hw_main_headers) hw-config.h - $(CC) -c $(srccom)/hw-base.c $(ALL_CFLAGS) - -hw-device.o: $(srccom)/hw-device.c $(hw_main_headers) - $(CC) -c $(srccom)/hw-device.c $(ALL_CFLAGS) - -hw-events.o: $(srccom)/hw-events.c $(hw_main_headers) $(sim_main_headers) - $(CC) -c $(srccom)/hw-events.c $(ALL_CFLAGS) - test-hw-events: $(srccom)/hw-events.c libsim.a $(CC) $(ALL_CFLAGS) -DMAIN -o test-hw-events$(EXEEXT) \ $(srccom)/hw-events.c libsim.a $(EXTRA_LIBS) -hw-instances.o: $(srccom)/hw-instances.c $(hw_main_headers) - $(CC) -c $(srccom)/hw-instances.c $(ALL_CFLAGS) - -hw-handles.o: $(srccom)/hw-handles.c $(hw_main_headers) - $(CC) -c $(srccom)/hw-handles.c $(ALL_CFLAGS) - -hw-ports.o: $(srccom)/hw-ports.c $(hw_main_headers) - $(CC) -c $(srccom)/hw-ports.c $(ALL_CFLAGS) - -hw-properties.o: $(srccom)/hw-properties.c $(hw_main_headers) - $(CC) -c $(srccom)/hw-properties.c $(ALL_CFLAGS) - -hw-tree.o: $(srccom)/hw-tree.c $(hw_main_headers) $(hw-tree_h) - $(CC) -c $(srccom)/hw-tree.c $(ALL_CFLAGS) - -# Devices. - -dv-core.o: $(srccom)/dv-core.c $(hw_main_headers) $(sim_main_headers) - $(CC) -c $(srccom)/dv-core.c $(ALL_CFLAGS) - -dv-glue.o: $(srccom)/dv-glue.c $(hw_main_headers) $(sim_main_headers) - $(CC) -c $(srccom)/dv-glue.c $(ALL_CFLAGS) - -dv-pal.o: $(srccom)/dv-pal.c $(hw_main_headers) $(sim_main_headers) - $(CC) -c $(srccom)/dv-pal.c $(ALL_CFLAGS) - -dv-sockser.o: $(srccom)/dv-sockser.h $(sim_main_headers) - $(CC) -c $(srccom)/dv-sockser.c $(ALL_CFLAGS) - - -nrun.o: $(srccom)/nrun.c config.h tconfig.h $(remote_sim_h) $(callback_h) \ - $(sim_main_headers) - $(CC) -c $(srccom)/nrun.c $(ALL_CFLAGS) - # CGEN support. # For use in Makefile.in for cpu-specific files. CGEN_MAIN_CPU_DEPS = \ $(SIM_MAIN_DEPS) \ - $(CGEN_INCLUDE_DEPS) \ $(srccom)/cgen-ops.h \ - $(srccom)/cgen-mem.h \ - $(srccom)/cgen-par.h \ - $(srccom)/cgen-fpu.h - -cgen-run.o: $(srccom)/cgen-run.c $(sim_main_headers) - $(CC) -c $(srccom)/cgen-run.c $(ALL_CFLAGS) - -cgen-scache.o: $(srccom)/cgen-scache.c $(sim_main_headers) - $(CC) -c $(srccom)/cgen-scache.c $(ALL_CFLAGS) - -cgen-trace.o: $(srccom)/cgen-trace.c $(sim_main_headers) - $(CC) -c $(srccom)/cgen-trace.c $(ALL_CFLAGS) - -cgen-fpu.o: $(srccom)/cgen-fpu.c $(sim_main_headers) $(sim-fpu_h) - $(CC) -c $(srccom)/cgen-fpu.c $(ALL_CFLAGS) - -cgen-accfp.o: $(srccom)/cgen-accfp.c $(sim_main_headers) $(sim-fpu_h) - $(CC) -c $(srccom)/cgen-accfp.c $(ALL_CFLAGS) - -cgen-utils.o: $(srccom)/cgen-utils.c $(sim_main_headers) \ - $(srccom)/cgen-mem.h $(srccom)/cgen-ops.h $(srccom)/cgen-engine.h - $(CC) -c $(srccom)/cgen-utils.c $(ALL_CFLAGS) - -cgen-par.o: $(srccom)/cgen-par.c $(sim_main_headers) \ - $(srccom)/cgen-mem.h $(srccom)/cgen-par.h - $(CC) -c $(srccom)/cgen-par.c $(ALL_CFLAGS) + $(srccom)/cgen-mem.h # Support targets. @@ -641,7 +517,7 @@ tags etags: TAGS # And the sim-n-foo.h files create functions that can't be found either. TAGS: force cd $(srcdir) && \ - etags --regex '/^\([a-z_]+\) (/\1/' --regex '/^\/[*] TAGS: .*/' \ + etags --regex '/^\([[:lower:]_]+\) (/\1/' --regex '/^\/[*] TAGS: .*/' \ *.[ch] ../common/*.[ch] clean: $(SIM_EXTRA_CLEAN) @@ -660,7 +536,8 @@ distclean mostlyclean maintainer-clean realclean: clean $(SIM_EXTRA_DISTCLEAN) rm -f targ-vals.def .c.o: - $(CC) -c $(ALL_CFLAGS) $< + $(COMPILE) $< + $(POSTCOMPILE) # Dummy target to force execution of dependent targets. force: @@ -686,6 +563,9 @@ CGEN = "`if [ -f ../../guile/libguile/guile ]; then echo ../../guile/libguile/gu CGENFLAGS = -v CGEN_CPU_DIR = $(CGENDIR)/cpu +# Most ports use the files here instead of cgen/cpu. +CPU_DIR = $(srcroot)/cpu + CGEN_READ_SCM = $(CGENDIR)/sim.scm CGEN_ARCH_SCM = $(CGENDIR)/sim-arch.scm CGEN_CPU_SCM = $(CGENDIR)/sim-cpu.scm $(CGENDIR)/sim-model.scm @@ -693,11 +573,12 @@ CGEN_DECODE_SCM = $(CGENDIR)/sim-decode.scm CGEN_DESC_SCM = $(CGENDIR)/desc.scm $(CGENDIR)/desc-cpu.scm # Various choices for which cpu specific files to generate. -CGEN_CPU_EXTR = -E tmp-ext.c1 -CGEN_CPU_READ = -R tmp-read.c1 -CGEN_CPU_WRITE = -W tmp-write.c1 -CGEN_CPU_SEM = -S tmp-sem.c1 -CGEN_CPU_SEMSW = -X tmp-semsw.c1 +# These are passed to cgen.sh in the "extrafiles" argument. +CGEN_CPU_EXTR = /extr/ +CGEN_CPU_READ = /read/ +CGEN_CPU_WRITE = /write/ +CGEN_CPU_SEM = /sem/ +CGEN_CPU_SEMSW = /semsw/ CGEN_FLAGS_TO_PASS = \ CGEN='$(CGEN)' \