deliverable/linux.git
2016-02-26  Shawn Linclk: skip unnecessary set_phase if nothing to do
2016-02-26  Martin Sperlclk: bcm2835: added missing clock register definitions
2016-02-25  Simon Hormanclk: shmobile: Remove ARCH_SHMOBILE_MULTI
2016-02-25  Stephen BoydMerge tag 'clk-samsung-4.6' of git://linuxtv.org/snawro...
2016-02-25  Kevin Smithclk: mvebu: Move corediv config to mvebu config
2016-02-25  Kevin Smithclk: mvebu: Remove corediv clock from Armada XP
2016-02-25  Linus Walleijclk: versatile: sp810: support reentrance
2016-02-25  Stephen Boydclk: Get rid of HAVE_MACH_CLKDEV
2016-02-25  Stephen BoydMerge branch 'clk-ipq4019' into clk-next
2016-02-25  Varadarajan... clk: qcom: Add IPQ4019 Global Clock Controller support
2016-02-25  Stephen Boydclk: shmobile: Free 'clock' on error path
2016-02-25  Jonghwa Leeclk: samsung: exynos5433: Fix wrong registers of PCLK_G...
2016-02-25  Sylwester Nawrockiclk: samsung: exynos5433: Fix mout_aclk_cam1*_user...
2016-02-25  Sylwester Nawrockiclk: samsung: exynos5433: Drop RO registers from the...
2016-02-25  Marek Szyprowskiclk: samsung: exynos5433: Fix definitions of SCLK ISP...
2016-02-25  Sylwester Nawrockiclk: samsung: exynos5433: Fix definitions of MUX_SEL_CA...
2016-02-24  Sudip Mukherjeeclk: shmobile: check for failure
2016-02-23  Sylwester Nawrockiclk: samsung: exynos5433: Fix typos in *_ISP_MPWM clock...
2016-02-22  Stephen Boydclk: Update some outdated comments
2016-02-22  Stephen BoydRevert "clk: avoid circular clock topology"
2016-02-22  Dinh Nguyenclk: socfpga: allow for multiple parents on Arria10...
2016-02-22  Tero Kristoclk: ti: dpll: convert DPLL support code to use clk_hw...
2016-02-22  Stephen BoydMerge branch 'clk-fixes' into clk-next
2016-02-22  Srinivas Kandagatlaclk: qcom: msm8960: fix ce3_core clk enable register
2016-02-22  Tero Kristoclk: ti: omap3+: dpll: use non-locking version of clk_g...
2016-02-22  Michael TurquetteMerge branch 'clk-samsung' into clk-next
2016-02-22  Krzysztof Kozlowskiclk: samsung: Don't build ARMv8 clock drivers on ARMv7
2016-02-19  Michael TurquetteMerge branch 'clk-shmobile-for-v4.6' of git://git....
2016-02-19  Stephen BoydMerge branch 'clk-fixes' into clk-next
2016-02-19  Stephen Boydclk: gpio: Really allow an optional clock= DT property
2016-02-18  Magnus Dammclk: shmobile: r8a7795: Add INTC-EX clock
2016-02-18  Andrew F. Davisclk: Make of_clk_get_from_provider() available to modules
2016-02-17  Krzysztof Kozlowskiclk: samsung: Enable COMPILE_TEST for Samsung clocks
2016-02-16  Michael TurquetteMerge branch 'clk-bcm2835' into clk-next
2016-02-16  Eric Anholtclk: bcm2835: Reuse CLK_DIVIDER_MAX_AT_ZERO for recalc_...
2016-02-16  Eric Anholtclk: bcm2835: Fix setting of PLL divider clock rates
2016-02-16  Geert Uytterhoevenclk: shmobile: cpg-mssr: Update serial port clock in...
2016-02-15  Michael TurquetteMerge tag 'v4.6-rockchip-clk1' of git://git./linux...
2016-02-12  Stephen BoydRevert "clk: qcom: Specify LE device endianness"
2016-02-12  Rajendra Nayakclk: qcom: mmcc8974: Use gdscs .parent and remove genpd...
2016-02-12  Rajendra Nayakclk: qcom: gdsc: Add mmcc gdscs for msm8996 family
2016-02-12  Rajendra Nayakclk: qcom: gdsc: Add GDSCs in msm8996 GCC
2016-02-12  Rajendra Nayakclk: qcom: gdsc: Add support for votable gdscs
2016-02-12  Rajendra Nayakclk: qcom: gdsc: Add support for gdscs with gds hw...
2016-02-12  Rajendra Nayakclk: qcom: gdsc: Add support for hierarchical power...
2016-02-10  Sylvain Lemieuxclk: lpc32xx: add HCLK PLL output configuration
2016-02-10  Stephen BoydMerge branch 'clk-fixes' into clk-next
2016-02-10  Linus Walleijclk: versatile: mask VCO bits before writing
2016-02-10  Sylvain Lemieuxclk: lpc32xx: do not register clock "0"
2016-02-09  Masahiro Yamadaclk: fix __clk_init_parent() for single parent clocks
2016-02-09  Sudip Mukherjeeclk: qcom: common: check for failure
2016-02-09  Sudip Mukherjeedevm: add helper devm_add_action_or_reset()
2016-02-08  Andreas Färberclk: meson: Fix meson_clk_register_clks() signature...
2016-02-08  Arnd Bergmannclk: socfpga: fix __init annotation
2016-02-08  Mike Looijmansdrivers/clk/Kconfig: Move the TI CDCE chips close together
2016-02-08  Mike Looijmansdrivers/clk/Kconfig: Fix typo "Sypport" instead of...
2016-02-08  Stephen BoydMerge branch 'clk-fixes' into clk-next
2016-02-08  Stephen BoydMerge tag 'tegra-for-4.5-clk-fixes' of git://git./linux...
2016-02-08  Yoshihiro Shimodaclk: shmobile: r8a7795: Add USB-DMAC clocks
2016-02-08  Dirk Behmeclk: shmobile: r8a7795: Add SD divider support
2016-02-07  Stephen Boydclk: provider: Remove of_gpio_{gate,mux}_clk_setup...
2016-02-07  Stephen Boydclk: Deprecate CLK_IS_ROOT
2016-02-07  Stephen Boydclk: gpio: Make into a platform driver
2016-02-04  Heiko Stuebnerclk: rockchip: convert manually created factor clocks...
2016-02-04  Heiko Stuebnerclk: rockchip: add a factor clock type
2016-02-04  Heiko Stuebnerclk: rockchip: fix parent of hclk_vcodec on rk3036
2016-02-03  Andrzej Hajdaclk/samsung: exynos5433: add pclk_decon clock
2016-02-03  Andrzej Hajdaclk/samsung: exynos5433: add definitions of HDMI-PHY...
2016-02-02  Shawn Linclk: rockchip: free memory in error cases when register...
2016-02-02  Insu Yunclk: unlock for handling unregistered clock
2016-02-02  Arnd Bergmannclk: vt8500: don't return possibly uninitialized data
2016-02-02  Masahiro Yamadaclk: slightly optimize clk_core_set_parent()
2016-02-02  Masahiro Yamadaclk: simplify clk_fetch_parent_index() function
2016-02-02  Masahiro Yamadaclk: make sure parent is not NULL in clk_fetch_parent_i...
2016-02-02  Masahiro Yamadaclk: walk the orphan clock list more simply
2016-02-02  Masahiro Yamadaclk: avoid circular clock topology
2016-02-02  Masahiro Yamadaclk: simplify __clk_init_parent()
2016-02-02  Masahiro Yamadaclk: move checking .get_parent to __clk_core_init()
2016-02-02  Jon Hunterclk: tegra: super: Fix sparse warnings for functions...
2016-02-02  Jon Hunterclk: tegra: Fix sparse warnings for functions not decla...
2016-02-02  Jon Hunterclk: tegra: Fix sparse warning for pll_m
2016-02-02  Jon Hunterclk: tegra: Use definition for pll_u override bit
2016-02-02  Jon Hunterclk: tegra: Fix warning caused by pll_u failing to...
2016-02-02  Jon Hunterclk: tegra: Fix clock sources for Tegra210 EMC
2016-02-02  Jon Hunterclk: tegra: Add the APB2APE audio clock on Tegra210
2016-02-02  Amitoj Kaur... clk: tegra: Add missing of_node_put()
2016-02-02  Mark Kuoclk: tegra: Fix PLLE SS coefficients
2016-02-02  Rhyland Kleinclk: tegra: Fix typos around clearing PLLE bits during...
2016-02-02  Mark Kuoclk: tegra: Do not disable PLLE when under hardware...
2016-02-02  Rhyland Kleinclk: tegra: Fix pllx dyn step calculation
2016-02-02  Andrew Brestickerclk: tegra: pll: Fix potential sleeping-while-atomic
2016-02-02  Rhyland Kleinclk: tegra: Fix the misnaming of nvenc from msenc
2016-02-02  Rhyland Kleinclk: tegra: Fix naming of MISC registers
2016-02-02  Masahiro Yamadaclk: replace pr_warn() with pr_err() for fatal cases
2016-02-02  Masahiro Yamadaclk: drop the initial core->parents look-ups from __clk...
2016-02-02  Masahiro Yamadaclk: simplify clk_core_get_parent_by_index()
2016-02-02  Masahiro Yamadaclk: move core->parents allocation to clk_register()
2016-02-02  Masahiro Yamadaclk: change sizeof(struct clk *) to sizeof(*core->parents)
2016-02-02  Masahiro Yamadaclk: remove unnecessary !core->parents conditional
2016-02-02  Masahiro Yamadaclk: rename __clk_init() into __clk_core_init()
next
This page took 0.038836 seconds and 5 git commands to generate.